diff -Nru fwknop-2.5.1/CREDITS fwknop-2.6.0/CREDITS --- fwknop-2.5.1/CREDITS 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/CREDITS 2014-01-13 03:29:04.000000000 +0000 @@ -73,6 +73,12 @@ user. The result was the FORCE_NAT mode. Hank Leininger + - Contributed a patch to greatly extend libfko error code descriptions at + various places in order to give much better information on what certain + error conditions mean. Closes #98. + - Suggested the ability to read a passphrase from STDIN and via a new --fd + command line argument (github #74) to allow things like: + $ gpg -d passphrasefile.pgp | fwknop -R -n myserver - For iptables firewalls, suggested a check for the 'comment' match to ensure the local environment will properly support fwknopd operations. The result is the new ENABLE_IPT_COMMENT_CHECK functionality. @@ -136,11 +142,6 @@ suggested a fix derived from yaSSL: http://www.mail-archive.com/debian-bugs-rc@lists.debian.org/msg320402.html -hlein - - Suggested the ability to read a passphrase from STDIN and via a new --fd - command line argument (github #74) to allow things like: - $ gpg -d passphrasefile.pgp | fwknop -R -n myserver - Blair Zajac - Contributed patches to handle endian issues on PPC systems. - Reported an issue where strndup() is not available on some PPC systems @@ -149,3 +150,28 @@ - Suggested throwing an error in '-M legacy' mode to warn users about the inability of older fwknopd daemons to handle Rijndael keys > 16 bytes. Any release after and including 2.5 does not have this limitation. + +Radostan Riedel + - Contributed an AppArmor policy that is known to work on Debian and Ubuntu + systems. The policy file is available in extras/apparmor. + +Les Aker + - Reported an issue with Arch Linux that resulted in fwknopd hanging for a + pcap_dispatch() packet count of zero when using libpcap-1.5.1. This + issue was tracked on github as issue #110, and the default packet count + is now set at 100 as a result. + +Marek Wrzosek + - Suggested doc update to fwknop man pages to accurately describe the usage + of digits instead of bytes for SPA random data. About 53 bits of entropy + are actually used, although this is in addition to the 64-bit random salt + in for key derivation used by PBKDF1 in Rjindael CBC mode. + - Various excellent feedback on crypto design, including the need to remove + the GPG_IGNORE_SIG_VERIFY_ERROR mode. + +Gerry Reno + - Updated the Android client to be compatible with Android-4.4. + - Provided guidance on Android client issues along with testing candidate + patches to update various things - this work is being tracked in the + android4.4_support branch. + diff -Nru fwknop-2.5.1/ChangeLog fwknop-2.6.0/ChangeLog --- fwknop-2.5.1/ChangeLog 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/ChangeLog 2014-01-13 03:29:04.000000000 +0000 @@ -1,3 +1,61 @@ +fwknop-2.6.0 (01/12/2014): + - (Radostan Riedel) Added an AppArmor policy for fwknopd that is known to + work on Debian and Ubuntu systems. The policy file is available at + extras/apparmor/usr.sbin/fwknopd. + - [libfko] Nikolay Kolev reported a build issue with Mac OS X Mavericks + where local fwknop copies of strlcat() and strlcpy() were conflicting + with those that already ship with OS X 10.9. Closes #108 on github. + - [libfko] (Franck Joncourt) Consolidated FKO context dumping function into + lib/fko_util.c. In addition to adding a shared utility function for + printing an FKO context, this change also makes the FKO context output + slightly easier to parse by printing each FKO attribute on a single line + (this change affected the printing of the final SPA packet data). The + test suite has been updated to account for this change as well. + - [libfko] Bug fix to not attempt SPA packet decryption with GnuPG without + an fko object with encryption_mode set to FKO_ENC_MODE_ASYMMETRIC. This + bug was caught with valgrind validation against the perl FKO extension + together with the set of SPA fuzzing packets in + test/fuzzing/fuzzing_spa_packets. Note that this bug cannot be + triggered via fwknopd because additional checks are made within fwknopd + itself to force FKO_ENC_MODE_ASYMMETRIC whenever an access.conf stanza + contains GPG key information. This fix strengthens libfko itself to + independently require that the usage of fko objects without GPG key + information does not result in attempted GPG decryption operations. + Hence this fix applies mostly to third party usage of libfko - i.e. + stock installations of fwknopd are not affected. As always, it is + recommended to use HMAC authenticated encryption whenever possible even + for GPG modes since this also provides a work around even for libfko + prior to this fix. + - [Android] (Gerry Reno) Updated the Android client to be compatible with + Android-4.4. + - [Android] Added HMAC support (currently optional). + - [server] Updated pcap_dispatch() default packet count from zero to 100. + This change was made to ensure backwards compatibility with older + versions of libpcap per the pcap_dispatch() man page, and also because + some of a report from Les Aker of an unexpected crash on Arch Linux with + libpcap-1.5.1 that is fixed by this change (closes #110). + - [server] Bug fix for SPA NAT modes on iptables firewalls to ensure that + custom fwknop chains are re-created if they get deleted out from under + the running fwknopd instance. + - [server] Added FORCE_SNAT to the access.conf file so that per-access + stanza SNAT criteria can be specified for SPA access. + - [test suite] added --gdb-test to allow a previously executed fwknop + or fwknopd command to be sent through gdb with the same command line + args as the test suite used. This is for convenience to rapidly allow + gdb to be launched when investigating fwknop/fwknopd problems. + - [client] (Franck Joncourt) Added --stanza-list argument to show the + stanza names from ~/.fwknoprc. + - [libfko] (Hank Leininger) Contributed a patch to greatly extend libfko + error code descriptions at various places in order to give much better + information on what certain error conditions mean. Closes #98. + - [test suite] Added the ability to run perl FKO module built-in tests in + the t/ directory underneath the CPAN Test::Valgrind module. This allows + valgrind memory checks to be applied to libfko functions via the perl + FKO module (and hence rapid prototyping can be combined with memory leak + detection). A check is made to see whether the Test::Valgrind module + has been installed, and --enable-valgrind is also required (or + --enable-all) on the test-fwknop.pl command line. + fwknop-2.5.1 (07/25/2013): - [client] Bug fix to reset terminal setting to orignal values after entering keys via stdin. @@ -19,7 +77,7 @@ side, the directive "ENCRYPTION_MODE legacy" will need to be added to every access.conf stanza that uses Rijndael and that needs to support SPA packets from pre-2.5 clients. On the client side when generating - Rijndael-encrypted SPA packets from a pre-2.5 server, the command line + Rijndael-encrypted SPA packets for a pre-2.5 server, the command line argument "-M legacy" will need to be given. GnuPG operations are not affected however and don't require the above steps whenever the new HMAC authenticated encryption feature (offered in fwknop-2.5) is not used. diff -Nru fwknop-2.5.1/ChangeLog.git fwknop-2.6.0/ChangeLog.git --- fwknop-2.5.1/ChangeLog.git 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/ChangeLog.git 2014-01-13 03:29:04.000000000 +0000 @@ -1,86 +1,1902 @@ -commit 798b7db2da911d5968173ffc19af4748ab46a046 (HEAD, refs/heads/master) +commit 177290c5c3c50af616aa5cb2dc5dee0bf2c611ec (HEAD, refs/heads/master) Author: Michael Rash -Date: Thu Jul 25 21:27:20 2013 -0400 +Date: Sun Jan 12 22:27:38 2014 -0500 - added 'Release: 2' for libfko RPM versioning (since libfko did not change from 2.5 -> 2.5.1) + updated fwknop.spec file release note for 2.6.0 - fwknop.spec | 2 ++ + fwknop.spec | 4 ++++ + 1 file changed, 4 insertions(+) + +commit 56966ee832ccb21651292e0209aec23e468a8d01 +Author: Michael Rash +Date: Sun Jan 12 21:41:54 2014 -0500 + + added Coverity finds/fixes to ChangeLog.git for 2.6.0 + + ChangeLog.git | 30 +++++++++++++++++++++++++++++- + 1 file changed, 29 insertions(+), 1 deletion(-) + +commit cf6a38ea0dc8e0c66e37da4c3eb926476738deba +Author: Michael Rash +Date: Sun Jan 12 21:40:20 2014 -0500 + + [server] better checking for read() return value flagged by Coverity + + server/fwknopd.c | 7 +++++-- + 1 file changed, 5 insertions(+), 2 deletions(-) + +commit 05d6e1c4a744007d3ac04be486d16f13f38cfa9e +Author: Michael Rash +Date: Sun Jan 12 21:08:55 2014 -0500 + + [server] fixed copy-and-paste NULL check bug found by Coverity + + server/access.c | 2 +- + 1 file changed, 1 insertion(+), 1 deletion(-) + +commit 431caa287a85c06521630fe6efefc4e8c1992cfd +Author: Michael Rash +Date: Sat Jan 11 23:33:53 2014 -0500 + + added ChangeLog.git to show changes since 2.5.1 + + ChangeLog | 2 +- + ChangeLog.git | 1864 +++++++++++++++++++++++++++++++++++++++++++++++++++++++-- + 2 files changed, 1818 insertions(+), 48 deletions(-) + +commit 825c361958580b459d88080798bc72398f967352 (refs/remotes/web/master, refs/remotes/origin/master, refs/remotes/origin/HEAD) +Merge: a347be3 1e17299 +Author: Michael Rash +Date: Sat Jan 11 15:21:10 2014 -0500 + + Merge branch 'master' of https://github.com/mrash/fwknop + +commit 1e1729905e27d7b71f5ea75faed97efa12424034 +Author: Damien Stuart +Date: Sat Jan 11 10:58:01 2014 -0500 + + Bumped libfko and protocol versions to 2.0.1. Added dependencies for this version to the fwknop.spec file. + + fwknop.spec | 13 ++++++------- + lib/Makefile.am | 2 +- + lib/fko.h | 2 +- + 3 files changed, 8 insertions(+), 9 deletions(-) + +commit a347be354d646d29e304f668eb5aa8f94863d18d +Merge: 551b243 bd0b8a1 +Author: Michael Rash +Date: Fri Jan 10 22:46:54 2014 -0500 + + merged android4.4_support branch + +commit 551b243007d8e481e284a9d90ab9372fefc09b87 (tag: refs/tags/2.6.0-pre1, refs/remotes/minastirith/master) +Author: Michael Rash +Date: Thu Jan 2 20:47:41 2014 -0500 + + (Marek Wrzosek) Update docs to reflect random 'digits' use instead of 'bytes' + + Suggested doc update to fwknop man pages to accurately describe the usage + of digits instead of bytes for SPA random data. About 53 bits of entropy + are actually used, although this is in addition to the 64-bit random salt + in for key derivation used by PBKDF1 in Rjindael CBC mode. + + CREDITS | 8 ++++++++ + client/fwknop.8.in | 10 +++++----- + doc/fwknop.man.asciidoc | 15 ++++++++------- + 3 files changed, 21 insertions(+), 12 deletions(-) + +commit 6add06f76cbe2de3650d85ddf738c0f0327b9887 +Author: Michael Rash +Date: Wed Jan 1 22:27:07 2014 -0500 + + bumped version to 2.6.0 + + ChangeLog | 2 +- + VERSION | 2 +- + configure.ac | 2 +- + 3 files changed, 3 insertions(+), 3 deletions(-) + +commit 3820b6439478ff9b1b090120859bbb56918ec594 +Author: Michael Rash +Date: Wed Jan 1 19:45:38 2014 -0500 + + [libfko] ensure a NULL HMAC key is properly handled + + lib/fko_hmac.c | 6 ++++++ + 1 file changed, 6 insertions(+) + +commit 34a3808b99e0008728777c97d00d28216f56c9b9 +Author: Michael Rash +Date: Wed Jan 1 19:45:02 2014 -0500 + + [test suite] minor display_ctx() call position update + + test/fko-wrapper/fko_wrapper.c | 3 +-- + 1 file changed, 1 insertion(+), 2 deletions(-) + +commit f5fd8de48204e3a3c2a0fcdb11e81ffef614e553 +Author: Michael Rash +Date: Wed Jan 1 14:07:39 2014 -0500 + + [test suite] better loop output for fko-wrapper + + test/fko-wrapper/fko_wrapper.c | 31 ++++++++++++++++--------------- + 1 file changed, 16 insertions(+), 15 deletions(-) + +commit 3adb3599323ae4b1abc7e4a1537e753addf1d99c +Author: Michael Rash +Date: Wed Jan 1 13:42:13 2014 -0500 + + minor README update + + README | 7 ++++--- + 1 file changed, 4 insertions(+), 3 deletions(-) + +commit 227d0ab947f82a579aa2c55ba934256e9d64a078 +Author: Michael Rash +Date: Tue Dec 31 23:27:05 2013 -0500 + + [libfko] ensure NULL is handled properly for all fko_get_* functions + + lib/fko_client_timeout.c | 3 +++ + lib/fko_digest.c | 6 +++++ + lib/fko_encode.c | 3 +++ + lib/fko_encryption.c | 3 +++ + lib/fko_funcs.c | 6 +++++ + lib/fko_hmac.c | 6 +++++ + lib/fko_message.c | 6 +++++ + lib/fko_nat_access.c | 3 +++ + lib/fko_rand_value.c | 3 +++ + lib/fko_server_auth.c | 3 +++ + lib/fko_timestamp.c | 3 +++ + lib/fko_user.c | 3 +++ + test/fko-wrapper/fko_wrapper.c | 53 +++++++++++++++++++++++++++++------------- + 13 files changed, 85 insertions(+), 16 deletions(-) + +commit 7aa6d37fff7e638b4845ce97ac0e85a17b507f6f +Author: Michael Rash +Date: Mon Dec 30 21:56:08 2013 -0500 + + [libfko] added NULL check for fko_set_spa_data() data arg + + lib/fko_funcs.c | 3 +++ + test/fko-wrapper/fko_wrapper.c | 44 ++++++++++++++++++++++++++++++++++-------- + 2 files changed, 39 insertions(+), 8 deletions(-) + +commit 5022beaf12902c0c0aeef089f4cf9ec74727c8c7 +Author: Michael Rash +Date: Mon Dec 30 21:09:27 2013 -0500 + + [libfko] < 0 checks not needed for size_t vars which are unsigned + + lib/cipher_funcs.c | 3 --- + lib/fko_util.c | 12 ------------ + 2 files changed, 15 deletions(-) + +commit 297d7d00fe4d6e6b6fd9aa3b8b1a042e1571bd2d +Author: Michael Rash +Date: Sun Dec 29 22:44:16 2013 -0500 + + [libfko] enc key NULL checks with fko-wrapper test support + + lib/fko_encryption.c | 4 ++++ + lib/fko_funcs.c | 9 +++++++-- + test/fko-wrapper/fko_wrapper.c | 8 +++++++- + 3 files changed, 18 insertions(+), 3 deletions(-) + +commit 0c6911941b18cc9eb9a41d5a31770260b7baa13f +Author: Michael Rash +Date: Sun Dec 29 21:05:04 2013 -0500 + + [libfko] reject negative length values + + Integer lengths that are negative are never valid. This commit also + extends the fuzzing capabilities of the test/fko-wrapper code to + validate libfko calls with negative length arguments, and one crash + scenario with a negative length for the encryption key was found (and + fixed) this way. + + lib/base64.c | 2 +- + lib/cipher_funcs.c | 3 + + lib/fko.h | 5 +- + lib/fko_encryption.c | 10 ++- + lib/fko_funcs.c | 6 ++ + lib/fko_hmac.c | 4 +- + lib/fko_util.c | 12 ++++ + lib/gpgme_funcs.c | 6 +- + test/fko-wrapper/fko_wrapper.c | 145 ++++++++++++++++++++++++++++++++--------- + 9 files changed, 154 insertions(+), 39 deletions(-) + +commit d09e2786461dbbeee79237d8719932a0a3a064e5 +Author: Michael Rash +Date: Sun Dec 29 20:02:56 2013 -0500 + + added fko-wrapper no valgrind script + + Makefile.am | 1 + + 1 file changed, 1 insertion(+) + +commit 283c72e4636b74a3ad0f8ff40bacb685c426ff45 +Author: Michael Rash +Date: Sun Dec 29 19:59:16 2013 -0500 + + [test suite] run fko-wrapper without valgrind, closes #113 + + test/fko-wrapper/run_no_valgrind.sh | 3 ++ + test/test-fwknop.pl | 63 +++++++++++++++++++++++++++++++++++++ + test/tests/rijndael_fuzzing.pl | 6 ++++ + 3 files changed, 72 insertions(+) + +commit 8a7ca121e9052a70ff293fef9c1293d270dea4c7 +Author: Michael Rash +Date: Sat Dec 28 15:22:01 2013 -0500 + + [test suite] use ctx_update() where possible for fko-wrapper + + test/fko-wrapper/fko_wrapper.c | 101 ++++++----------------------------------- + 1 file changed, 15 insertions(+), 86 deletions(-) + +commit bf9fa57ca81fda211de61e120d42f30a39163f2a +Author: Michael Rash +Date: Sat Dec 28 14:56:35 2013 -0500 + + [test suite] added 'getset' versions of fko_ int/short wrapper functions + + test/fko-wrapper/fko_wrapper.c | 110 ++++++++++++++++++++++++++++++++++------- + 1 file changed, 92 insertions(+), 18 deletions(-) + +commit 8f3ea42b3fe5c41d78f730617c033e206c2b43a9 +Author: Michael Rash +Date: Sat Dec 28 14:20:11 2013 -0500 + + [test suite] update fko-wrapper to use constants from fko.h + + test/fko-wrapper/fko_wrapper.c | 20 +++++++++++++------- + 1 file changed, 13 insertions(+), 7 deletions(-) + +commit 4c42d5575e8a0c98156c0af0250c12ba0427e8d5 +Author: Michael Rash +Date: Sat Dec 28 14:10:47 2013 -0500 + + [test suite] added ctx_update() function to fko-wrapper test + + test/fko-wrapper/fko_wrapper.c | 38 ++++++++++++++++++-------------------- + 1 file changed, 18 insertions(+), 20 deletions(-) + +commit 05eb4ebb7b4802d99818d7586979c4e1f21b2e1e +Author: Michael Rash +Date: Fri Dec 27 23:24:05 2013 -0500 + + [test suite] call FKO functions via function pointers (interim commit) + + test/fko-wrapper/fko_wrapper.c | 170 ++++++++++++++++++++++------------------- + 1 file changed, 93 insertions(+), 77 deletions(-) + +commit bd0b8a1953b12bcabdf15f9c7a3c049fa7c71969 (refs/remotes/minastirith/android4.4_support) +Author: Michael Rash +Date: Thu Dec 26 20:44:35 2013 -0500 + + [android] updated README file, added project/sdk.paths file + + Makefile.am | 1 + + android/README | 35 +++++++++++++++++++++++++++++++++++ + android/project/sdk.paths | 3 +++ + 3 files changed, 39 insertions(+) + +commit db58f2008efbafc4f8a0acc39254772c2fcfb0e3 +Author: Michael Rash +Date: Mon Dec 23 23:16:03 2013 -0500 + + [android] Added test/conf/hmac_android_access.conf file to Makefile.am + + Makefile.am | 1 + + 1 file changed, 1 insertion(+) + +commit 509dcf93ddf9a9bef73d2f18b239d7f50643d190 +Author: Michael Rash +Date: Mon Dec 23 23:15:11 2013 -0500 + + [android] added HMAC test along with non-legacy Rijndael test + + ChangeLog | 5 +++-- + test/conf/android_access.conf | 2 +- + test/conf/hmac_android_access.conf | 4 ++++ + test/test-fwknop.pl | 1 + + test/tests/rijndael_backwards_compatibility.pl | 18 ++++++++++++++++++ + test/tests/rijndael_hmac.pl | 18 ++++++++++++++++++ + 6 files changed, 45 insertions(+), 3 deletions(-) + +commit 8fdb5d63958ad5de2d85f03a66843f5cae5eda7f +Author: Michael Rash +Date: Mon Dec 23 22:51:26 2013 -0500 + + [android] added ant.properties file + + Makefile.am | 1 + + android/project/ant.properties | 22 ++++++++++++++++++++++ + 2 files changed, 23 insertions(+) + +commit 171da60f238a4c2c6ff84a7f345053ce74a2dc55 +Author: Michael Rash +Date: Mon Dec 23 22:44:53 2013 -0500 + + [android] added project.properties file + + Makefile.am | 1 + + android/project/project.properties | 14 ++++++++++++++ + 2 files changed, 15 insertions(+) + +commit 3b330f2036cb2680af4d37a1351fe698cbf812db +Author: Michael Rash +Date: Mon Dec 23 22:40:18 2013 -0500 + + [android] Makefile.am minor script path update + + Makefile.am | 2 +- + 1 file changed, 1 insertion(+), 1 deletion(-) + +commit e25d05f05007a00d44e106ae4ef75d19d8e61e5b +Author: Michael Rash +Date: Mon Dec 23 22:39:21 2013 -0500 + + [android] update Makefile.am for latest Android directory tree + + Makefile.am | 5 ++--- + 1 file changed, 2 insertions(+), 3 deletions(-) + +commit 204bc6e58f286fac65cf23e916d1ce74cc1f1921 +Author: Michael Rash +Date: Mon Dec 23 22:29:51 2013 -0500 + + [android] add HMAC support (currently optional) + + android/project/jni/fwknop/fwknop_client.c | 26 ++++++++++++++++++++-- + android/project/res/layout/main.xml | 22 ++++++++++++++++++ + .../src/com/max2idea/android/fwknop/Fwknop.java | 13 +++++++++++ + 3 files changed, 59 insertions(+), 2 deletions(-) + +commit dc19e07d65c0826907bffd9e8fd8d0ac71327e46 +Author: Michael Rash +Date: Mon Dec 23 20:38:04 2013 -0500 + + [android] update to copy fko.h and associated files to jni/fwknop/ via get_libfko_header.sh + + android/project/custom_rules.xml | 25 +++ + android/project/jni/fwknop/fko.h | 286 ------------------------ + android/project/jni/fwknop/fko_limits.h | 67 ------ + android/project/jni/fwknop/fko_message.h | 44 ---- + android/project/jni/fwknop/get_libfko_header.sh | 37 +++ + 5 files changed, 62 insertions(+), 397 deletions(-) + +commit 8dfd57677aee237bf36b7f15c982326fb518b6a7 +Author: Michael Rash +Date: Sun Dec 22 21:12:26 2013 -0500 + + added Gerry Reno + + CREDITS | 6 ++++++ + ChangeLog | 2 ++ + 2 files changed, 8 insertions(+) + +commit d43d2fc8175f073094cfeb20f14055c78bd52800 +Author: Michael Rash +Date: Sun Dec 22 15:25:32 2013 -0500 + + [android] applied Gerry Reno's patch for Android-4.4 + + android/project/AndroidManifest.xml | 2 +- + android/project/build-4.1.2.properties | 16 --- + android/project/build.properties | 16 --- + android/project/build.xml | 129 +++++++++++++-------- + android/project/default.properties | 11 -- + android/project/jni/Android.mk | 3 +- + .../project/src/com/max2idea/android/fwknop/R.java | 52 --------- + 7 files changed, 81 insertions(+), 148 deletions(-) + +commit 8ed0d9d8d929b07b6843dc153a1493521be05502 +Author: Michael Rash +Date: Sun Dec 22 15:10:23 2013 -0500 + + Fix 'string literal' warning for Android client + + Under Android-4.4 this commit fixes the following warning: + + [exec] jni/./fwknop/fwknop_client.c: In function 'Java_com_max2idea_android_fwknop_Fwknop_sendSPAPacket': + [exec] jni/./fwknop/fwknop_client.c:181:5: error: format not a string literal and no format arguments [-Werror=format-security] + [exec] cc1: some warnings being treated as errors + + android/project/jni/fwknop/fwknop_client.c | 2 +- + 1 file changed, 1 insertion(+), 1 deletion(-) + +commit 6cba5d2ec92bfda5074767611019912d3fdc67bf +Author: Michael Rash +Date: Mon Dec 16 22:33:55 2013 -0500 + + [test suite] bug fix for python FKO extension library path (found on Fedora 19) + + test/test-fwknop.pl | 9 +++++++++ + 1 file changed, 9 insertions(+) + +commit 919f25f85d53fa6b550241be36ab5f11cb9f5b24 +Author: Michael Rash +Date: Sat Dec 14 19:41:00 2013 -0500 + + [server] fw_initialize() vs. fw_config_init() bug fix for use_masquerade + + server/config_init.c | 2 +- + server/fw_util_iptables.c | 28 ++++++++++++---------------- + 2 files changed, 13 insertions(+), 17 deletions(-) + +commit 92cdb47ff7eb34ebf4924d0eed75ac3ea7ce1429 +Author: Michael Rash +Date: Sat Dec 14 15:44:39 2013 -0500 + + [server] added FORCE_MASQUERADE to fwknopd(8) man page, closes #101 + + This commit completes the addition of generalized NAT (both DNAT and + SNAT) capabilities to access.conf stanzas. + + doc/fwknopd.man.asciidoc | 27 ++++++++++++++++----------- + server/config_init.c | 6 ++++++ + server/fw_util_iptables.c | 29 ++++++++++++++++------------- + server/fwknopd.8.in | 21 +++++++++++++++++---- + server/fwknopd_common.h | 5 +++++ + 5 files changed, 60 insertions(+), 28 deletions(-) + +commit 3a2c33cd3c9ee0c45a2a0173f5cb1966d2ada33d (refs/remotes/github/master) +Author: Michael Rash +Date: Wed Dec 11 23:01:44 2013 -0600 + + Added Les Aker to credits file + + CREDITS | 5 +++++ + 1 file changed, 5 insertions(+) + +commit 3b2cd063fe45232d1ac346a80257570435a0eed2 +Author: Michael Rash +Date: Tue Dec 10 22:24:39 2013 -0600 + + [server] pcap_dispatch() packet count default to 100 + + Updated pcap_dispatch() default packet count from zero to 100. + This change was made to ensure backwards compatibility with older + versions of libpcap per the pcap_dispatch() man page, and also because + some of a report from Les Aker of an unexpected crash on Arch Linux with + libpcap-1.5.1 that is fixed by this change (closes #110). + + ChangeLog | 5 +++++ + server/fwknopd_common.h | 2 +- + 2 files changed, 6 insertions(+), 1 deletion(-) + +commit aeed8323f7c1cf3c69f85432b0118b6932e79ce4 +Author: Michael Rash +Date: Tue Dec 10 21:31:03 2013 -0600 + + [test suite] multi-packet pcap test for pcap_dispatch() validation + + This commit adds a new pcap file to the test suite with an SPA packet after + 99 other garbage packets. This can be used for pcap_dispatch() testing, + though this is not meant to be super instensive - it is just to ensure that + if a PCAP_DISPATCH_COUNT of, say, 10 is selected that the SPA is still seen + by fwknopd. This commit is in support of #110. + + Makefile.am | 1 + + test/conf/multi_pkts.pcap | Bin 0 -> 46890 bytes + test/test-fwknop.pl | 3 ++- + test/tests/rijndael.pl | 2 +- + test/tests/rijndael_hmac.pl | 14 ++++++++++++++ + 5 files changed, 18 insertions(+), 2 deletions(-) + +commit 5f50ac22dbec45cbe625c11c19a4c006469745f4 +Author: Michael Rash +Date: Mon Dec 9 22:10:46 2013 -0600 + + [server] use SIGKILL if necessary for -K + + This change sends SIGKILL to fwknopd under -K if SIGTERM does not do the job + first. This can be necessary in some cases if libpcap does not properly handle + a packet count of zero in pcap_dispatch() (see github issue #110). On a side + note, the default packet dispatch count of zero will likely be changed because + of that issue too. + + server/fwknopd.c | 47 +++++++++++++++++++++++++++++++++++++++++++---- + test/test-fwknop.pl | 39 ++++++++++++++++++--------------------- + 2 files changed, 61 insertions(+), 25 deletions(-) + +commit 3ef9e5645bbcb39559424dfe211b3531d6fb3089 +Author: Michael Rash +Date: Thu Dec 5 23:37:10 2013 -0500 + + [test suite] added masquerade exception for non-Linux systems + + test/test-fwknop.pl | 1 + + 1 file changed, 1 insertion(+) + +commit 0319b723343f6cab6214a274153a87c14e9e173e +Author: Michael Rash +Date: Thu Dec 5 23:01:12 2013 -0500 + + [test suite] added missing config files + + test/conf/hmac_force_masq_access.conf | 6 ++++++ + test/conf/hmac_force_snat_access.conf | 6 ++++++ + 2 files changed, 12 insertions(+) + +commit 46b5f2ecaf475cb1a58acbf5d47e6afbcaaa02b8 +Author: Michael Rash +Date: Thu Dec 5 23:00:19 2013 -0500 + + [server] added the ability to use FORCE_MASQUERADE to access.conf stanzas + + Makefile.am | 3 ++- + server/access.c | 18 ++++++++++++++++++ + server/fw_util_iptables.c | 8 ++++++++ + server/fwknopd_common.h | 1 + + test/test-fwknop.pl | 1 + + test/tests/rijndael_hmac.pl | 39 +++++++++++++++++++++++++++++++++++++++ + 6 files changed, 69 insertions(+), 1 deletion(-) + +commit 79f0cb898639fca71e43d95c231181075e1135bd +Author: Michael Rash +Date: Wed Dec 4 23:11:11 2013 -0500 + + [libfko] added defensive NULL check for is_valid_ipv4_addr() + + lib/fko_util.c | 3 +++ + 1 file changed, 3 insertions(+) + +commit e0114e60c26727268b2b16b3098e8fb117d4a449 +Author: Michael Rash +Date: Wed Dec 4 21:52:07 2013 -0500 + + [server] Added FORCE_SNAT to access.conf stanzas. + + Added FORCE_SNAT to the access.conf file so that per-access stanza SNAT + criteria can be specified for SPA access. + + ChangeLog | 2 ++ + doc/fwknopd.man.asciidoc | 10 ++++++++ + server/access.c | 57 ++++++++++++++++++++++++++++++++++++++++++++- + server/fw_util_iptables.c | 44 ++++++++++++++++------------------ + server/fwknopd_common.h | 9 +++++++ + test/test-fwknop.pl | 3 +++ + test/tests/rijndael_hmac.pl | 47 +++++++++++++++++++++++++++++++++---- + 7 files changed, 143 insertions(+), 29 deletions(-) + +commit d7aa820e33e5e65fb87c86f1b8f9e1ca9b1af435 +Author: Michael Rash +Date: Tue Dec 3 21:42:23 2013 -0500 + + [server] Bug fix for SPA NAT modes on iptables firewalls for chain re-creation + + For SPA NAT modes this commit ensures that custom fwknop chains are re-created + if they get deleted out from under the running fwknopd instance. + + ChangeLog | 3 ++ + server/fw_util_iptables.c | 30 ++++++++++++++++- + test/test-fwknop.pl | 26 ++++++++++++++ + test/tests/rijndael.pl | 26 +++++++------- + test/tests/rijndael_hmac.pl | 82 +++++++++++++++++++++++++++++++++++++-------- + 5 files changed, 139 insertions(+), 28 deletions(-) + +commit bd73ceb5bd6fc0a907141f3da63e180960424f8e +Author: Michael Rash +Date: Wed Nov 27 21:58:13 2013 -0500 + + [test suite] added FreeBSD-9.2 and OpenBSD-5.4 compatibility tests + + test/tests/os_compatibility.pl | 67 ++++++++++++++++++++++++++++++++++++++++++ + 1 file changed, 67 insertions(+) + +commit c382febf3dac5f6acbe79565c08661885c263761 +Author: Michael Rash +Date: Tue Nov 26 23:48:56 2013 -0500 + + [client] use libfko is_valid_ipv4_addr() for IP address validation + + client/config_init.c | 22 +++++++++++++++++++++- + client/fwknop.c | 32 +------------------------------- + test/tests/basic_operations.pl | 4 ++-- + test/tests/rijndael.pl | 2 +- + 4 files changed, 25 insertions(+), 35 deletions(-) + +commit aeb415d0e0f91fc08e6b2d831b25e1ca9a1e5d08 +Author: Michael Rash +Date: Tue Nov 26 21:44:53 2013 -0500 + + move fuzzing_spa_packets file to perl/FKO/t/ for fuzzing tests + + This change moves the fuzzing_spa_packets file from the test/fuzzing/ + directory into the perl FKO extension t/ directory and is now referenced + directly by the t/04_fuzzing.t test file. The test suite itself also uses + this file for fuzzing tests as well, but having the FKO built-in tests + enables Test::Valgrind memory checks so it is useful to have this included + in the FKO sources. (When the FKO module is submitted to CPAN, it should + not depend on non-local files, but it's ok for the test suite to reference + the ../perl/FKO/t/ directory.) + + Makefile.am | 2 +- + perl/FKO/MANIFEST | 2 + + perl/FKO/t/04_fuzzing.t | 33 +- + perl/FKO/t/fuzzing_spa_packets | 2275 ++++++++++++++++++++++++++++++++++++++ + test/fuzzing/fuzzing_spa_packets | 2275 -------------------------------------- + test/test-fwknop.pl | 2 +- + 6 files changed, 2311 insertions(+), 2278 deletions(-) + +commit a15be4005e5c064ed758a7512d127566ed5b60a7 +Author: Michael Rash +Date: Mon Nov 25 23:15:35 2013 -0500 + + minor ChangeLog rewording for GPG fix + + ChangeLog | 14 ++++++++++---- + 1 file changed, 10 insertions(+), 4 deletions(-) + +commit be904769c48fa591fb25b5c87677617a2fded8fb +Author: Michael Rash +Date: Mon Nov 25 23:11:01 2013 -0500 + + [libfko] Bug fix to not decrypt with GnuGP without FKO_ENC_MODE_ASYMMETRIC + + [libfko] Bug fix to not attempt SPA packet decryption with GnuPG without + an fko object with encryption_mode set to FKO_ENC_MODE_ASYMMETRIC. This + bug was caught with valgrind validation against the perl FKO extension + together with the set of SPA fuzzing packets in + test/fuzzing/fuzzing_spa_packets. Note that this bug cannot be + triggered via fwknopd because additional checks are made within fwknopd + itself to force FKO_ENC_MODE_ASYMMETRIC whenever an access.conf stanza + contains GPG key information. This fix strengthens libfko itself to + independently require that the usage of fko objects without GPG key + information does not result in attempted GPG decryption operations. Hence + this fix applies mostly to third party usage of libfko - i.e. stock + installations of fwknopd are not affected. As always, it is recommended to + use HMAC authenticated encryption whenever possible even for GPG modes since + this also provides a work around even for libfko prior to this fix. + + ChangeLog | 15 ++++++++++++--- + lib/fko_encryption.c | 3 ++- + 2 files changed, 14 insertions(+), 4 deletions(-) + +commit 6dd5ab8e359c61e83ccb9311c7086b07ac6040c9 +Author: Michael Rash +Date: Fri Nov 22 23:00:20 2013 -0500 + + [test suite] added --cmd-verbose to control fwknop command verbosity levels + + This commit provides an easy way to control how verbose fwknop command + execution will be. For example, fwknopd only calls hex_dump() against + SPA packets when --verbose > 2, so invoking the tests suite as follows + will result in hex_dump() being included in fwknopd output (see the + output/1_fwknopd.test file: + + ./test-fwknop.pl --include "Rijndael.*complete.*22" --test-limit 1 --cmd-verbose "--verbose --verbose --verbose" + + [+] candidate SPA packet payload: + + 0x0000: 39 62 72 51 58 75 7a 4b 57 54 53 67 57 56 35 66 9brQXuzKWTSgWV5f + 0x0010: 73 63 78 42 35 78 69 51 65 6c 55 4f 53 78 69 45 scxB5xiQelUOSxiE + 0x0020: 51 30 59 6a 41 50 70 31 4f 70 43 62 32 51 4a 4c Q0YjAPp1OpCb2QJL + 0x0030: 48 34 42 65 68 64 6d 47 35 49 31 50 36 2f 5a 69 H4BehdmG5I1P6/Zi + 0x0040: 6a 34 4b 41 62 34 53 68 6a 59 66 4f 71 2b 46 6c j4KAb4ShjYfOq+Fl + 0x0050: 4a 35 52 75 70 33 39 6f 6e 65 42 79 72 51 46 57 J5Rup39oneByrQFW + 0x0060: 61 38 6c 37 63 48 6e 38 5a 54 36 59 6e 55 56 47 a8l7cHn8ZT6YnUVG + 0x0070: 50 36 6e 53 6f 69 30 61 70 72 32 52 39 62 6b 56 P6nSoi0apr2R9bkV + 0x0080: 37 50 61 67 41 61 6b 49 44 63 58 59 44 6b 2f 64 7PagAakIDcXYDk/d + 0x0090: 67 51 45 61 37 39 32 6f 30 4d 38 6e 30 30 6e 35 gQEa792o0M8n00n5 + 0x00a0: 55 U + + test/test-fwknop.pl | 19 +++++++++++------- + test/tests/basic_operations.pl | 6 +++--- + test/tests/gpg.pl | 8 ++++---- + test/tests/gpg_hmac.pl | 8 ++++---- + test/tests/gpg_no_pw.pl | 8 ++++---- + test/tests/gpg_no_pw_hmac.pl | 8 ++++---- + test/tests/rijndael.pl | 43 ++++++++++++++++++++--------------------- + test/tests/rijndael_cmd_exec.pl | 2 +- + test/tests/rijndael_hmac.pl | 32 +++++++++++++++--------------- + 9 files changed, 69 insertions(+), 65 deletions(-) + +commit cba2873e2285b52c83faf7f7981fea2679c150bc +Author: Michael Rash +Date: Fri Nov 22 22:36:17 2013 -0500 + + AppArmor profile update to allow GnuPG link operations, closes #109 + + This fix was submitted by Raybuntu through github. + + extras/apparmor/usr.sbin.fwknopd | 2 +- + 1 file changed, 1 insertion(+), 1 deletion(-) + +commit 72eb3421b6751b051b2d04ed68074a4fb96d9892 +Merge: f396b81 65195d7 +Author: Michael Rash +Date: Thu Nov 21 21:02:08 2013 -0500 + + Merge branch 'master' of ssh://192.168.10.1/home/mbr/git/fwknop + +commit f396b816a1225313a1f55a26bdea544ba71fe637 +Author: Michael Rash +Date: Thu Nov 21 21:01:17 2013 -0500 + + [test suite] fix LD_LIBRARY_PATH for perl FKO 'make test' run + + test/test-fwknop.pl | 7 ++++++- + 1 file changed, 6 insertions(+), 1 deletion(-) + +commit 65195d74aeac623eccc8913e07ee8edbaae93c1c +Author: Michael Rash +Date: Thu Nov 21 20:47:50 2013 -0500 + + added AppArmor policy to Makefile.am + + Makefile.am | 2 ++ + 1 file changed, 2 insertions(+) + +commit eeda4e0f10ddf7a3a627f28d15dfdd37b900b08d +Author: Michael Rash +Date: Wed Nov 20 23:14:57 2013 -0500 + + [test suite] better --lib-dir support for non-default LD_LIBRARY_PATH values + + This commit allow the test suite to easily use a non-default LD_LIBRARY_PATH + in order to test mixed combinations of newly compiled fwknop client/server + binaries and previously installed versions of libfko. This allows backwards + compatibility (and forwards compatibility) to be verified by the test suite. + + For example, after compiling the fwknop client and server for the 2.5.2 + release, one could use libfko from 2.5.1 to verify compatibility: + + ./test-fwknop.pl --enable-all --lib-path /home/mbr/install/fwknop-2.0.4/lib + + See the --fwknop-path and --fwknopd-path args as well in order to support + arbitrary client/server/libfko combinations. + + test/test-fwknop.pl | 126 ++++++++++++++++++++++++++++------------------------ + 1 file changed, 67 insertions(+), 59 deletions(-) + +commit 28a915c8c8720053d6b0fdd5f54bd40847083fca +Author: Michael Rash +Date: Wed Nov 20 23:10:36 2013 -0500 + + [test suite] added short and long IP tests (1.1.1.1 and 123.123.123.123) + + test/tests/rijndael.pl | 24 ++++++++++++++++++++++++ + test/tests/rijndael_hmac.pl | 30 ++++++++++++++++++++++++++++++ + 2 files changed, 54 insertions(+) + +commit 78f696b2f75b57393328bdae7dead5aec7751fce +Author: Michael Rash +Date: Tue Nov 19 23:31:09 2013 -0500 + + [libfko] implemented shared utility function for ipv4 address checking + + This commit implements a single shared utility function for checking the + validaty of an IPv4 address, and both libfko and the fwknopd server use it + now. The client will be updated as well. + + lib/fko_message.c | 27 +++------------------- + lib/fko_util.c | 57 +++++++++++++++++++++++++++++++++++++++++++++++ + lib/fko_util.h | 1 + + server/access.c | 7 ++++++ + server/config_init.c | 12 ++++++++++ + server/fw_util_iptables.c | 8 +++++++ + server/incoming_spa.c | 21 +++++++++++++++-- + 7 files changed, 107 insertions(+), 26 deletions(-) + +commit 5f5367cf6261f9191498df1d92a71c963d53de78 +Author: Michael Rash +Date: Tue Nov 19 23:14:46 2013 -0500 + + [server] minor error code text typo fixes + + server/fwknopd_errors.c | 4 ++-- + 1 file changed, 2 insertions(+), 2 deletions(-) + +commit 8cb5653d5e919b972e4a64cee024ce1e1dd1b512 +Author: Michael Rash +Date: Mon Nov 18 22:22:02 2013 -0500 + + [test suite] minor update for SNAT tests to not restrict --fw-list search to 127.0.0.2 + + test/tests/rijndael.pl | 2 ++ + test/tests/rijndael_hmac.pl | 2 ++ + 2 files changed, 4 insertions(+) + +commit 71d19031e6f8126c116a426815ca7a196d2ab306 +Author: Michael Rash +Date: Sun Nov 17 22:27:07 2013 -0500 + + [test suite] remove init file before starting test run + + test/test-fwknop.pl | 2 ++ 1 file changed, 2 insertions(+) -commit e20586dfe65d9c2f194407d2752bdd981da588e3 +commit 38fe23c398ea4feda57eb2490864427c0462c8b7 +Author: Michael Rash +Date: Sun Nov 17 21:26:51 2013 -0500 + + added tests/code_structure.pl file to Makefile.am + + Makefile.am | 1 + + 1 file changed, 1 insertion(+) + +commit 796bd761a943f5918b999026b0033e09d15c9397 +Author: Michael Rash +Date: Sun Nov 17 20:44:41 2013 -0500 + + [python extension] bug fix for missing error code constants (caught with code structure test) + + python/fko.py | 177 ++++++++++++++++++++++++++++++++++++++++++++-------------- + 1 file changed, 134 insertions(+), 43 deletions(-) + +commit 93c0faafeb26ba11a94a5ca78afb99958e652167 +Author: Michael Rash +Date: Sun Nov 17 20:43:28 2013 -0500 + + [test suite] extended code structure errstr test to validate python extention error code constants + + test/test-fwknop.pl | 24 ++++++++++++++++++++++++ + 1 file changed, 24 insertions(+) + +commit 6469f818600bf5013c024d458a4c56a26d237b5a +Author: Michael Rash +Date: Sun Nov 17 19:52:09 2013 -0500 + + [perl FKO module] bug fix for missing error code constants (caught with code structure test) + + perl/FKO/lib/FKO_Constants.pl | 6 ++++++ + 1 file changed, 6 insertions(+) + +commit eebfa8924d649c5b2f1fba34d277492a4a47a78e +Author: Michael Rash +Date: Sun Nov 17 19:50:42 2013 -0500 + + [test suite] extended code structure errstr test to validate perl FKO constants + + test/test-fwknop.pl | 80 ++++++++++++++++++++++++++++++++++++++++++++++++++++- + 1 file changed, 79 insertions(+), 1 deletion(-) + +commit c019a4380893719b4c9427f0e7aedb9db7e3acc3 +Author: Michael Rash +Date: Sat Nov 16 23:23:32 2013 -0500 + + [libfko] bug fix caught by new code structure error str test to add string for FKO_ERROR_INVALID_DATA_DECODE_EXTRA_TOOBIG + + lib/fko_error.c | 3 +++ + 1 file changed, 3 insertions(+) + +commit a9cc97cd2a3c60fbe06ac9627c1fab02b21a74d2 +Author: Michael Rash +Date: Sat Nov 16 23:22:25 2013 -0500 + + [test suite] added tests/code_structure.pl with a test for expected lib/fko.h error code fko_errstr() handling + + test/test-fwknop.pl | 76 ++++++++++++++++++++++++++++++++++++++++++++ + test/tests/code_structure.pl | 9 ++++++ + 2 files changed, 85 insertions(+) + +commit cd15502bf179f43b81e6e0e262e1ac67d693ee89 +Author: Michael Rash +Date: Sat Nov 16 20:36:39 2013 -0500 + + [test suite] minor addition to fko-wrapper to call fko_errstr() across valid and invalid values + + test/fko-wrapper/fko_wrapper.c | 6 ++++++ + 1 file changed, 6 insertions(+) + +commit 196fef65b6d5d4d6805d177bc50b4cad2c5b99c8 +Author: Michael Rash +Date: Sat Nov 16 19:20:08 2013 -0500 + + [libfko] move is_base64 check to libfko + + This commit replaces the separately implemented client/server + is_base64() check with a single libfko function, and libfko itself now + uses it as well before prepending Rijndael or GnuPG base64 encoded + prefixes. + + client/utils.c | 21 ----- + client/utils.h | 1 - + lib/cipher_funcs.c | 14 ++++ + lib/fko.h | 1 + + lib/fko_error.c | 3 + + lib/fko_util.c | 21 +++++ + lib/fko_util.h | 1 + + perl/FKO/lib/FKO_Constants.pl | 185 +++++++++++++++++++++--------------------- + server/utils.c | 21 ----- + server/utils.h | 1 - + 10 files changed, 133 insertions(+), 136 deletions(-) + +commit 173b7518e11949f47d0c2eb0b46b76f8d265ec94 (refs/remotes/minastirith/mac_os_x_mavericks_build) +Merge: 6d78c49 63568d0 +Author: Michael Rash +Date: Fri Nov 15 14:55:28 2013 -0500 + + Merge branch 'mac_os_x_mavericks_build' of ssh://10.211.55.3/home/parallels/git/fwknop into mac_os_x_mavericks_build + +commit 63568d061b0e842e0721858a995b959bb782a0e4 +Author: Michael Rash +Date: Thu Nov 14 22:55:51 2013 -0500 + + minor hex_dump() formatting bug fix to properly align ascii remainder output + + client/utils.c | 2 ++ + server/utils.c | 2 ++ + 2 files changed, 4 insertions(+) + +commit 6d78c49ef90e3635e6111644e85f924865ef2443 +Author: Michael Rash +Date: Thu Nov 14 23:13:33 2013 -0500 + + [libfko] minor update to print 'None' for the HMAC type when an HMAC is not used instead of just diplaying '()' + + lib/fko_util.c | 2 +- + 1 file changed, 1 insertion(+), 1 deletion(-) + +commit cb2fc3abbe0ddaebfa1962957425caee91c22e87 +Author: Michael Rash +Date: Thu Nov 14 22:47:13 2013 -0500 + + [test suite] handle LD_LIBRARY_PATH from the main test-fwknop.pl script + + test/test-fwknop.pl | 67 ++++-- + test/tests/basic_operations.pl | 69 ++---- + test/tests/gpg.pl | 32 +-- + test/tests/gpg_hmac.pl | 15 +- + test/tests/gpg_no_pw.pl | 30 +-- + test/tests/gpg_no_pw_hmac.pl | 12 +- + test/tests/os_compatibility.pl | 30 +-- + test/tests/perl_FKO_module.pl | 9 +- + test/tests/preliminaries.pl | 13 +- + test/tests/python_fko.pl | 3 +- + test/tests/rijndael.pl | 295 +++++++++---------------- + test/tests/rijndael_backwards_compatibility.pl | 30 +-- + test/tests/rijndael_cmd_exec.pl | 6 +- + test/tests/rijndael_fuzzing.pl | 48 ++-- + test/tests/rijndael_hmac.pl | 216 ++++++------------ + test/tests/rijndael_replay_attacks.pl | 9 +- + 16 files changed, 323 insertions(+), 561 deletions(-) + +commit a6f030412f6d9866cb13c2701521e7c433c2b074 +Author: Michael Rash +Date: Wed Nov 13 23:17:09 2013 -0500 + + [test suite] added Rijndael/HMAC compatibility tests for Mac OS X 10.9 + + test/tests/os_compatibility.pl | 35 +++++++++++++++++++++++++++++++++++ + 1 file changed, 35 insertions(+) + +commit 6870e65800e3f18140bd57e8125f517662546b8c +Author: Michael Rash +Date: Wed Nov 13 23:11:43 2013 -0500 + + [test suite] minor cleanup to remove uncessary 'fatal' test hash keys + + test/test-fwknop.pl | 19 ++---- + test/tests/basic_operations.pl | 75 --------------------- + test/tests/build_security.pl | 14 ---- + test/tests/gpg.pl | 24 ------- + test/tests/gpg_hmac.pl | 11 ---- + test/tests/gpg_no_pw.pl | 17 ----- + test/tests/gpg_no_pw_hmac.pl | 10 --- + test/tests/os_compatibility.pl | 8 --- + test/tests/perl_FKO_module.pl | 30 --------- + test/tests/preliminaries.pl | 8 --- + test/tests/python_fko.pl | 3 - + test/tests/rijndael.pl | 90 -------------------------- + test/tests/rijndael_backwards_compatibility.pl | 10 --- + test/tests/rijndael_cmd_exec.pl | 1 - + test/tests/rijndael_fuzzing.pl | 16 ----- + test/tests/rijndael_hmac.pl | 70 -------------------- + test/tests/rijndael_replay_attacks.pl | 3 - + 17 files changed, 7 insertions(+), 402 deletions(-) + +commit 5f51d7b3ebf57b962bc3563d9a42c8b15067f925 +Author: Michael Rash +Date: Tue Nov 12 23:32:24 2013 -0500 + + [test suite] added support for 'otool' instead of 'ldd' on Mac OS X systems + + test/test-fwknop.pl | 22 +++++++++++++++++----- + 1 file changed, 17 insertions(+), 5 deletions(-) + +commit af3d4fa9266862095ad22e59363dd35e64962f59 +Author: Michael Rash +Date: Tue Nov 12 23:26:58 2013 -0500 + + minor extras/apparmor configure_args.sh path typo fix + + extras/apparmor/configure_args.sh | 2 +- + 1 file changed, 1 insertion(+), 1 deletion(-) + +commit 10ac35b344136a178511a2194926e683b382b5cd +Author: Michael Rash +Date: Tue Nov 12 23:26:54 2013 -0500 + + added extras/apparmor configure_args.sh helper script for building fwknop with args that AppArmor expects + + extras/apparmor/configure_args.sh | 13 +++++++++++++ + 1 file changed, 13 insertions(+) + +commit 1299a8ee8772d5fb46465d46f9a52199632e11ea (refs/remotes/origin/mac_os_x_mavericks_build) +Author: Michael Rash +Date: Tue Nov 12 23:05:16 2013 -0500 + + [test suite] added DYLD_LIBRARY_PATH for Mac OS X 10.9 + + test/run-test-suite.sh | 2 +- + test/test-fwknop.pl | 3 ++- + 2 files changed, 3 insertions(+), 2 deletions(-) + +commit e75117616e067030d57d6c4a649438a5f28b10f0 +Author: Michael Rash +Date: Tue Nov 12 23:04:35 2013 -0500 + + [server] ignore pcap direction for sniffing link type DLT_NULL interfaces (fixes OS X 10.9 test suite runs) + + server/pcap_capture.c | 1 + + 1 file changed, 1 insertion(+) + +commit 23ef1d4e59833e3c0a7dc53cd374eb699a4663b8 +Author: Michael Rash +Date: Tue Nov 12 21:36:14 2013 -0500 + + [libfko] Candidate build fix for Mac OS X 10.9 (closes #108) + + Nikolay Kolev reported a build issue on Mac OS X 10.9 (Mavericks) where fwknop + copies of strlcpy() and strlcat() functions were conflicting with those that ship + with OS X 10.9. + + The solution was to add a configure.ac check for strlcat() and strlcpy() and + wrap "#if !HAVE_..." checks around those functions. + + A portion of the build errors looked like this: + + /Applications/Xcode.app/Contents/Developer/usr/bin/make all-recursive + Making all in lib + /bin/sh ../libtool --tag=CC --mode=compile gcc -DHAVE_CONFIG_H -I. -I.. -I ../common -g -O2 -Wall -Wformat -Wformat-security -fstack-protector-all -fstack-protector -fPIE -D_FORTIFY_SOURCE=2 -MT base64.lo -MD -MP -MF .deps/base64.Tpo -c -o base64.lo base64.c + libtool: compile: gcc -DHAVE_CONFIG_H -I. -I.. -I ../common -g -O2 -Wall -Wformat -Wformat-security -fstack-protector-all -fstack-protector -D_FORTIFY_SOURCE=2 -MT base64.lo -MD -MP -MF .deps/base64.Tpo -c base64.c -fno-common -DPIC -o .libs/base64.o + In file included from base64.c:34: + In file included from ./fko_common.h:149: + ./fko_util.h:56:9: error: expected parameter declarator + size_t strlcat(char *dst, const char *src, size_t siz); + ^ + /usr/include/secure/_string.h:111:44: note: expanded from macro 'strlcat' + __builtin___strlcat_chk (dest, src, len, __darwin_obsz (dest)) + ^ + /usr/include/secure/_common.h:39:62: note: expanded from macro '__darwin_obsz' + #define __darwin_obsz(object) __builtin_object_size (object, _USE_FORTIFY_LEVEL > 1 ? 1 : 0) + ^ + + ChangeLog | 3 +++ + configure.ac | 2 +- + lib/fko_util.h | 5 +++++ + lib/strlcat.c | 2 ++ + lib/strlcpy.c | 2 ++ + 5 files changed, 13 insertions(+), 1 deletion(-) + +commit 5cfbcce7d34a1d5ea3d52be2ca210cc1d0ed9621 Author: Michael Rash -Date: Thu Jul 25 20:36:45 2013 -0400 +Date: Wed Oct 30 23:39:48 2013 -0400 - updated ChangeLog.git file to reflect changes from 2.5 -> 2.5.1 + [perl FKO module] added a series of encryption + HMAC key tests with single bytes converted to NULL - ChangeLog.git | 6875 +-------------------------------------------------------- - 1 file changed, 37 insertions(+), 6838 deletions(-) + perl/FKO/t/04_fuzzing.t | 56 ++++++++++++++++++++++++++++++++++++++++++++++++- + 1 file changed, 55 insertions(+), 1 deletion(-) -commit 90841762cf57504018ff4a93c85c0114f8f27bb1 +commit 6785462573f0cf298a338facc46964dba1e788f7 Author: Michael Rash -Date: Thu Jul 25 20:33:37 2013 -0400 +Date: Wed Oct 30 23:30:31 2013 -0400 - bumped version to 2.5.1 + added perl FKO t/04_fuzzing.t tests file - configure.ac | 2 +- + Makefile.am | 1 + + 1 file changed, 1 insertion(+) + +commit 2f2156d54f933e1d9d35f9b77c6e0022c5ae7086 +Author: Michael Rash +Date: Sun Oct 27 22:24:12 2013 -0400 + + [perl FKO module] additional fuzzing tests + + perl/FKO/t/04_fuzzing.t | 15 +++++++++++++-- + 1 file changed, 13 insertions(+), 2 deletions(-) + +commit a98317d367d147273840422aae0fb98969258fa8 +Author: Michael Rash +Date: Sun Oct 27 15:08:01 2013 -0400 + + [test suite] minor negative output match addition for Test::Valgrind test + + test/tests/perl_FKO_module.pl | 2 +- + 1 file changed, 1 insertion(+), 1 deletion(-) + +commit 0451a7394c2cd411b6423c0ef244cd70aa66c925 +Author: Michael Rash +Date: Fri Oct 25 22:38:09 2013 -0400 + + [test suite] added valgrind output interpretation for Test::Valgrind output for previous run comparisons + + test/test-fwknop.pl | 10 ++++++++++ + 1 file changed, 10 insertions(+) + +commit 66f3c1236b0a2dd24f8e1d59495dd76b5da96e3b +Author: Michael Rash +Date: Thu Oct 24 23:17:51 2013 -0400 + + [perl FKO module] added t/04_fuzzing tests + + perl/FKO/t/02_functions.t | 42 +++---- + perl/FKO/t/03_errors.t | 2 +- + perl/FKO/t/04_fuzzing.t | 295 ++++++++++++++++++++++++++++++++++++++++++++++ + 3 files changed, 317 insertions(+), 22 deletions(-) + +commit 55bceaddc877b06f927420121f92a48e09f9ddd1 +Author: Michael Rash +Date: Tue Oct 22 23:05:36 2013 -0400 + + [test suite] minor wording update for Test::Valgrind test + + test/tests/perl_FKO_module.pl | 2 +- + 1 file changed, 1 insertion(+), 1 deletion(-) + +commit b063bd57349e4e71e2f2cec527482487d27f1d51 +Author: Michael Rash +Date: Tue Oct 22 22:58:58 2013 -0400 + + added Test::Valgrind note to the ChangeLog + + ChangeLog | 7 +++++++ + 1 file changed, 7 insertions(+) + +commit ceb213d545103d72631a81fd10a3489599524c7d +Author: Michael Rash +Date: Tue Oct 22 22:24:47 2013 -0400 + + [perl FKO] interim commit to update the perldoc info + + perl/FKO/lib/FKO.pm | 81 ++++++++++++++++++++++++++++++++++++++--------------- + 1 file changed, 58 insertions(+), 23 deletions(-) + +commit 62939521ac731ab401db1dc3722e6d3300694f20 +Author: Michael Rash +Date: Tue Oct 22 21:38:47 2013 -0400 + + [test suite] minor python update to use a main() function + + python/README | 12 ++++++----- + test/fko-python.py | 59 ++++++++++++++++++++++++++++++------------------------ + 2 files changed, 40 insertions(+), 31 deletions(-) + +commit 682966469cbf617d142d46f0dac6853e3e144551 +Author: Michael Rash +Date: Tue Oct 22 20:37:58 2013 -0400 + + [test suite] minor Test::Valgrind name typo fix + + test/test-fwknop.pl | 2 +- + 1 file changed, 1 insertion(+), 1 deletion(-) + +commit 0d80f72c005fcc24f98e72242bb6fb48bdb7d206 +Author: Michael Rash +Date: Tue Oct 22 20:36:30 2013 -0400 + + Revert "[test suite] minor Test::Valgrind name typo fix" + + This reverts commit 642024041534d79c897a21b2e19ef3e1ed7b2a61. + + lib/fko_funcs.c | 7 ------- + test/test-fwknop.pl | 2 +- + 2 files changed, 1 insertion(+), 8 deletions(-) + +commit 642024041534d79c897a21b2e19ef3e1ed7b2a61 +Author: Michael Rash +Date: Mon Oct 21 20:47:01 2013 -0400 + + [test suite] minor Test::Valgrind name typo fix + + lib/fko_funcs.c | 7 +++++++ + test/test-fwknop.pl | 2 +- + 2 files changed, 8 insertions(+), 1 deletion(-) + +commit b091a1a1bcc8b926109196add75d63fbcca6a784 +Author: Michael Rash +Date: Mon Oct 21 20:45:21 2013 -0400 + + [test suite, FKO module] new(), spa_data_final(), and other fcns don't require key length args + + perl/FKO/t/00_init.t | 7 ++----- + test/test-fwknop.pl | 54 ++++++++++++++++++++++++---------------------------- + 2 files changed, 27 insertions(+), 34 deletions(-) + +commit e77a02882e380e9b6f031b1b79da395a1c89f600 +Author: Michael Rash +Date: Mon Oct 21 20:34:22 2013 -0400 + + [test suite] Add support for Test::Valgrind against the perl FKO module + + When --enable-valgrind is used, this commit adds support for running the + perl FKO built-in tests (in the t/ directory) under the CPAN + Test::Valgrind module. A check is performed to see whether + Test::Valgrind is install before attempting to use it. Any 'fko_' + function that shows up under the test output is flagged and causes the + test-suite test to fail. + + test/test-fwknop.pl | 51 +++++++++++++++++++++++++++++++++++++++++++ + test/tests/perl_FKO_module.pl | 8 +++++++ + 2 files changed, 59 insertions(+) + +commit 431a5e3ecdc8838c18aa495cd096258e78e7fe5a +Author: Michael Rash +Date: Thu Oct 10 22:43:45 2013 -0400 + + [perl FKO module] switch to CBC mode tests from ECB + + perl/FKO/t/00_init.t | 2 +- + perl/FKO/t/03_errors.t | 8 ++++---- + 2 files changed, 5 insertions(+), 5 deletions(-) + +commit ab0d5ac3e289404137cc01a066216fad62363261 +Author: Michael Rash +Date: Thu Oct 10 22:34:25 2013 -0400 + + [test suite] display all possible tests under --list (with a --enable-* note) + + test/test-fwknop.pl | 46 ++++++++++++++++++++++++++++------------------ + 1 file changed, 28 insertions(+), 18 deletions(-) + +commit 0dc47f7e5c446aefe3f972bf7d06f69c22ab3cd5 +Author: Damien Stuart +Date: Sun Sep 15 14:33:42 2013 -0400 + + Fixed missing error codes and error tests. + + perl/FKO/lib/FKO.pm | 2 +- + perl/FKO/lib/FKO_Constants.pl | 259 +++++++++++++++++++++--------------------- + perl/FKO/t/03_errors.t | 53 ++++----- + 3 files changed, 158 insertions(+), 156 deletions(-) + +commit a36bfab7809947529c636e271e228ed0415590a9 +Merge: 6daabaf 1047146 +Author: Damien Stuart +Date: Fri Sep 6 23:18:39 2013 -0400 + + Merge branch 'perl_module' + +commit 6daabaf3bef15ddd706108cd88b47e079832ce69 +Author: Michael Rash +Date: Thu Sep 5 20:31:08 2013 -0400 + + minor ChangeLog typo update + + ChangeLog | 2 +- + 1 file changed, 1 insertion(+), 1 deletion(-) + +commit 1047146b2351d56ea3b73dfb5d7b180d2280f0d4 (refs/remotes/origin/perl_module) +Author: Damien Stuart +Date: Wed Sep 4 15:19:43 2013 -0400 + + Updated Perl FKO tests for lastest changes to libfko. Fixed bug where $fko->hmac() was always returning -1. + + perl/FKO/FKO.xs | 1 + + perl/FKO/lib/FKO.pm | 27 ++++------- + perl/FKO/t/02_functions.t | 113 +++++++++++++++++++++++++++++++--------------- + 3 files changed, 86 insertions(+), 55 deletions(-) + +commit 85140f2048a7ef7d25ec396256c8ddbb9d4e411c +Merge: 83952fc 5693b05 +Author: Michael Rash +Date: Wed Aug 28 21:55:39 2013 -0700 + + Merge pull request #105 from fjoncourt/master + + Make sure log_msg() sends messages to STDERR until the context gets initialized. + +commit 5693b0536a9a52b2ecc5a3ac85a68a123a9f9e87 +Author: Franck Joncourt +Date: Tue Aug 27 20:45:17 2013 +0200 + + Fixed *Value stored is never read* warning found by clang. + + lib/fko_util.c | 2 +- + 1 file changed, 1 insertion(+), 1 deletion(-) + +commit 69ed30edb4a0f4fae266fda5eddb3f0163277588 +Author: Franck Joncourt +Date: Tue Aug 27 20:39:03 2013 +0200 + + Make sure all calls to log_msg() send messages to STDERR until the config files are parsed. + (mrash/fwknop#102) + + server/log_msg.c | 6 ++++-- + server/log_msg.h | 1 + + 2 files changed, 5 insertions(+), 2 deletions(-) + +commit 83952fc734a0838b593ae8a6e961da6dbaa00914 +Author: Michael Rash +Date: Sun Aug 18 23:02:44 2013 -0400 + + added Radostan Riedel's AppArmor policy note + + CREDITS | 5 +++++ + ChangeLog | 3 +++ + 2 files changed, 8 insertions(+) + +commit a5c308f9c55e6f04da889675e435502dbcde53ef +Author: Michael Rash +Date: Sun Aug 18 22:58:10 2013 -0400 + + Added AppArmor policy + + This commit adds an AppArmor policy that is known to work in Debian and Ubuntu + systems. The original version of this policy was contributed by Radostan Riedel + to the fwknop mailing list. + + extras/apparmor/usr.sbin.fwknopd | 31 +++++++++++++++++++++++++++++++ + 1 file changed, 31 insertions(+) + +commit a68503c7c9d7e01c3a0dcad1eae09032ca3ce62d +Author: Michael Rash +Date: Sun Aug 18 22:15:15 2013 -0400 + + [server] fix crash if replay digest tracking init() fails + + This commit fixes a crash if the replay digest init() routine fails - fwknopd + attempted to make use of replay tracking anyway. The crash was discovered + during testing fwknopd with an AppArmor enforce policy deployed. The + following stack trace shows the crash (taken before the previous static + function commit): + + Program received signal SIGSEGV, Segmentation fault. + __strlen_sse2 () at ../sysdeps/x86_64/multiarch/../strlen.S:31 + 31 ../sysdeps/x86_64/multiarch/../strlen.S: No such file or directory. + (gdb) where + #0 __strlen_sse2 () at ../sysdeps/x86_64/multiarch/../strlen.S:31 + #1 0x00007f59cabd8b26 in add_replay_file_cache (opts=opts@entry=0x7fff3eaa0bb0, digest=digest@entry=0x0) at replay_cache.c:516 + #2 0x00007f59cabd8cf5 in add_replay (opts=opts@entry=0x7fff3eaa0bb0, digest=digest@entry=0x0) at replay_cache.c:472 + #3 0x00007f59cabd62eb in incoming_spa (opts=0x7fff3eaa0bb0) at incoming_spa.c:536 + #4 0x00007f59ca56164e in ?? () from /usr/lib/x86_64-linux-gnu/libpcap.so.0.8 + #5 0x00007f59cabd7175 in pcap_capture (opts=opts@entry=0x7fff3eaa0bb0) at pcap_capture.c:269 + #6 0x00007f59cabd3d4d in main (argc=5, argv=0x7fff3eaa1458) at fwknopd.c:314 + + server/incoming_spa.c | 4 +++- + server/replay_cache.c | 6 ++++++ + 2 files changed, 9 insertions(+), 1 deletion(-) + +commit 5d49f30c01d42de961071f2d2918431fe9518b09 +Author: Michael Rash +Date: Sun Aug 18 21:56:53 2013 -0400 + + [server] minor replay code update to make functions static where possible + + server/replay_cache.c | 119 +++++++++++++++++++++++++------------------------- + server/replay_cache.h | 7 --- + 2 files changed, 59 insertions(+), 67 deletions(-) + +commit c271f01d0094065f99bc93dbf8f49f6a6a7a474b +Author: Michael Rash +Date: Sat Aug 17 23:51:23 2013 -0400 + + [test suite] added 'make test' check for FKO perl module + + All built-in tests in the FKO module must pass for this new test to pass. This commit + is in support of #103 + + test/test-fwknop.pl | 27 +++++++++++++++++++++++++++ + test/tests/perl_FKO_module.pl | 9 +++++++++ + 2 files changed, 36 insertions(+) + +commit 89c5e88219d72f67a424b0a427dea3eb74f42d1e +Author: Damien Stuart +Date: Mon Aug 12 20:53:29 2013 -0400 + + First round of updates to get the Perl module up-to-date with the new libfko. Added new error refs and commented out bad/invalid tests. + + perl/FKO/lib/FKO.pm | 16 ++- + perl/FKO/lib/FKO_Constants.pl | 301 +++++++++++++++++++++++++++++++++--------- + perl/FKO/t/00_init.t | 15 ++- + perl/FKO/t/01_constants.t | 34 +++-- + perl/FKO/t/02_functions.t | 41 ++++-- + perl/FKO/t/03_errors.t | 41 +++--- + 6 files changed, 345 insertions(+), 103 deletions(-) + +commit e8fe29bbe1941276fe6e6cc251c47b10ab0554b6 +Merge: c0c8048 12916f2 +Author: Michael Rash +Date: Sun Aug 11 22:09:22 2013 -0400 + + Merge branch 'master' of github.com:mrash/fwknop + +commit c0c8048ee1c33e8c40a8b9b2a335f353178d1ea8 +Author: Michael Rash +Date: Sun Aug 11 22:07:02 2013 -0400 + + Added fko context dumper change to ChangeLog, updated test suite to account for single line printing of final SPA data + + ChangeLog | 6 ++++++ + lib/fko_util.c | 9 ++------- + test/test-fwknop.pl | 19 +++++-------------- + 3 files changed, 13 insertions(+), 21 deletions(-) + +commit 12916f21efc25935a1a3806cedb896db3d7a3848 +Author: Damien Stuart +Date: Sun Aug 11 22:02:50 2013 -0400 + + Moved new invalid data error definitions above the GPGME_ERR_START marker. + + lib/fko.h | 103 ++++++++++++++++++++++++++++++++------------------------------ + 1 file changed, 53 insertions(+), 50 deletions(-) + +commit fa985c19434f37b1f806b4a275399970ba5fe5c6 +Merge: 45e29f6 f8ae3b8 +Author: Michael Rash +Date: Sun Aug 11 15:02:01 2013 -0400 + + Merge remote-tracking branch 'fjoncourt/fko_dump' + + This implements an FKO context dumping function in lib/fko_utils.c, and closes #100 + +commit 45e29f6450b49587db66cc08a0e86d8f4de3b01c +Author: Michael Rash +Date: Sun Aug 11 14:30:37 2013 -0400 + + minor edit to credits file for Hank Leininger + + CREDITS | 14 ++++++-------- + 1 file changed, 6 insertions(+), 8 deletions(-) + +commit be2bb71c7408d6ae677fceff9bb233afdff7caeb +Author: Michael Rash +Date: Sat Aug 10 21:03:07 2013 -0400 + + [test suite] minor bug fix for GPG no password HMAC test rc file + + test/tests/gpg_no_pw_hmac.pl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) -commit 694fb39a85e29128781c01bbdcb1faabfb0df8ec +commit 5176f9e04bd27bce35f09bb66717332a3bf35252 +Author: Michael Rash +Date: Sat Aug 10 16:08:19 2013 -0400 + + [server] minor addition to access stanza dump output to include hmac digest type + + server/access.c | 2 ++ + 1 file changed, 2 insertions(+) + +commit c04efc20dd8ad5471285366e58715537eb8b18ee +Author: Michael Rash +Date: Sat Aug 10 15:45:51 2013 -0400 + + [test suite] added Rijndael HMAC digest mismatch tests + + Makefile.am | 4 ++ + test/conf/hmac_sha256_digest1_mismatch_access.conf | 5 ++ + test/conf/hmac_sha256_digest2_mismatch_access.conf | 5 ++ + test/conf/hmac_sha256_digest3_mismatch_access.conf | 5 ++ + test/conf/hmac_sha256_digest4_mismatch_access.conf | 5 ++ + test/test-fwknop.pl | 4 ++ + test/tests/rijndael_hmac.pl | 61 ++++++++++++++++++++++ + 7 files changed, 89 insertions(+) + +commit dfc2a0654777510086842061af9df5b8c422ccff +Author: Michael Rash +Date: Sat Aug 10 14:27:10 2013 -0400 + + [test suite] added Rijndael HMAC + RAND_PORT test + + Makefile.am | 1 + + test/conf/fwknoprc_rand_port_hmac_base64_key | 5 +++++ + test/test-fwknop.pl | 1 + + test/tests/rijndael_hmac.pl | 17 +++++++++++++++++ + 4 files changed, 24 insertions(+) + +commit 4775327d985a3d6907cb8b6f7af5fd7418d9277c +Author: Michael Rash +Date: Sat Aug 10 13:54:03 2013 -0400 + + [test suite] added two GnuPG HMAC SHA512 tests + + Makefile.am | 4 ++++ + test/conf/fwknoprc_gpg_hmac_sha512_key | 4 ++++ + test/conf/fwknoprc_hmac_sha512_base64_key | 4 ++++ + test/conf/gpg_hmac_sha512_access.conf | 9 +++++++++ + test/conf/gpg_no_pw_hmac_sha512_access.conf | 8 ++++++++ + test/test-fwknop.pl | 4 ++++ + test/tests/gpg_hmac.pl | 16 ++++++++++++++++ + test/tests/gpg_no_pw_hmac.pl | 18 ++++++++++++++++++ + 8 files changed, 67 insertions(+) + +commit f8ae3b8da37b4c5d04f3a30613a4d31601737aeb +Merge: b590932 333302a +Author: Franck Joncourt +Date: Sat Aug 10 14:32:34 2013 +0200 + + Merge remote-tracking branch 'upstream/master' into fko_dump + +commit b590932fb67e061836d64b0adb952447da3f0415 +Author: Franck Joncourt +Date: Sat Aug 10 14:30:40 2013 +0200 + + * Removed goto statements. We do not do any further processings + when we reach the error label. + + lib/fko_util.c | 67 +++++++++++++++++++++++++++++++--------------------------- + 1 file changed, 36 insertions(+), 31 deletions(-) + +commit 333302a7cf694a3f5968d4609794d8fdc0cb29e7 +Author: Michael Rash +Date: Fri Aug 9 21:47:38 2013 -0400 + + ChangeLog/CREDITS update for Hank's libfko error code patch + + CREDITS | 5 ++++- + ChangeLog | 3 +++ + 2 files changed, 7 insertions(+), 1 deletion(-) + +commit 4023da87d60dc0d3f7aa51b6c2aa32b97fe0ce71 +Merge: eb7914d bc907e0 +Author: Michael Rash +Date: Fri Aug 9 21:46:49 2013 -0400 + + Merge remote-tracking branch 'hlein/unique_errors' + + [libfko] (Hank Leininger) Contributed a patch to greatly extend libfko + error code descriptions at various places in order to give much better + information on what certain error conditions mean. Closes #98. + +commit eb7914d45cd08e861848cd63ea7ea328936648cc +Author: Michael Rash +Date: Thu Aug 8 21:37:44 2013 -0400 + + minor ChangeLog update for --stanza-list + + ChangeLog | 2 ++ + client/fwknop.8.in | 9 +++++++-- + doc/fwknop.man.asciidoc | 2 +- + 3 files changed, 10 insertions(+), 3 deletions(-) + +commit d9ba40d48f23ac0f8ec2f4b9d89f24ad7594c44b Author: Michael Rash -Date: Thu Jul 25 20:33:19 2013 -0400 +Date: Thu Aug 8 20:55:10 2013 -0400 - [test suite] Bug fix to not run an iptables Rijndael HMAC test on non-Linux systems + [server] fix compilation warning dealing with new iptables chain validation - ChangeLog | 2 ++ - test/tests/rijndael_hmac.pl | 2 +- - 2 files changed, 3 insertions(+), 1 deletion(-) + server/config_init.c | 4 ++++ + 1 file changed, 4 insertions(+) -commit 22836d9915ddca38c74b73d1823c6e95510fe5a6 (tag: refs/tags/fwknop-2.5.1-pre1, refs/remotes/web/master, refs/remotes/origin/master) +commit 05e7d52a5f75d62391cc769772f2442965d9df11 +Merge: 320008b 04f72ea Author: Michael Rash -Date: Wed Jul 24 23:11:46 2013 -0400 +Date: Thu Aug 8 20:54:07 2013 -0400 - updated version and release date for 2.5.1 + [client] merged --stanza-list changes from Franck, closes #94 - ChangeLog | 2 +- - VERSION | 2 +- - fwknop.spec | 5 ++++- - 3 files changed, 6 insertions(+), 3 deletions(-) +commit bc907e0b24146ba98d2aa04480a2114f1d0a06c4 +Author: Hank Leininger +Date: Mon Aug 5 22:21:10 2013 -0400 + + Add unique errors for every FKO_ERROR_INVALID_DATA. Needed this to + track down mystery errors (#98). + + lib/fko.h | 88 ++++++++++++++++ + lib/fko_client_timeout.c | 2 +- + lib/fko_decode.c | 68 ++++++------- + lib/fko_digest.c | 4 +- + lib/fko_encode.c | 4 +- + lib/fko_encryption.c | 36 +++---- + lib/fko_error.c | 255 +++++++++++++++++++++++++++++++++++++++++++++++ + lib/fko_funcs.c | 14 +-- + lib/fko_hmac.c | 10 +- + lib/fko_message.c | 14 +-- + lib/fko_nat_access.c | 2 +- + lib/fko_rand_value.c | 2 +- + lib/fko_server_auth.c | 2 +- + lib/fko_timestamp.c | 2 +- + lib/fko_user.c | 6 +- + lib/fko_util.c | 4 +- + 16 files changed, 428 insertions(+), 85 deletions(-) -commit 246c4da322478cc9e83e00013b440672bd080260 +commit 320008b8de4034f523555f8ab2996cbb7d28efa3 Author: Michael Rash -Date: Wed Jul 24 23:04:40 2013 -0400 +Date: Mon Aug 5 21:08:40 2013 -0400 - added 2.5.1 material + minor ChangeLog update for the test suite --gdb-test feature ChangeLog | 6 ++++++ 1 file changed, 6 insertions(+) -commit dcb7871d02a196b93b8554fe3c155464fcfdd91b +commit 7296d3f3bf13cb65a6dde52276a608b6b2f4b92f +Author: Franck Joncourt +Date: Mon Aug 5 23:28:07 2013 +0200 + + * Interim commit to add a dump function to dump the FKO context shared + by both the server and client. mrash/fwknop#95 + + client/fwknop.c | 94 +++++----------------------- + lib/fko_util.c | 165 +++++++++++++++++++++++++++++++++++++++++++++++++- + lib/fko_util.h | 4 ++ + server/incoming_spa.c | 13 +++- + server/utils.c | 120 ------------------------------------ + server/utils.h | 2 - + 6 files changed, 193 insertions(+), 205 deletions(-) + +commit 8c73c7801ba0dd718da5ce4a2b763523a28496c7 Author: Michael Rash -Date: Wed Jul 24 23:04:31 2013 -0400 +Date: Mon Aug 5 00:00:45 2013 -0400 - [server] don't print PID file existence warning in daemon mode (suggested by Ilya Tumaykin) + [server] send IPT_*_ACCESS vars through basic validation at fwknopd.conf parse time - server/fwknopd.c | 3 ++- - 1 file changed, 2 insertions(+), 1 deletion(-) + server/config_init.c | 48 ++++++++++++++++++++++++++++++++++++++++++ + server/fw_util_iptables.c | 39 +++++++++++++++++++++++++++++++--- + server/fw_util_iptables.h | 2 ++ + server/fwknopd.conf | 2 +- + test/test-fwknop.pl | 2 +- + test/tests/basic_operations.pl | 5 ----- + 6 files changed, 88 insertions(+), 10 deletions(-) + +commit 5fa93c621a371820032d3672de37d9dd79f6e992 +Author: Michael Rash +Date: Sun Aug 4 23:23:07 2013 -0400 + + [test suite] minor seg fault test message update + + test/test-fwknop.pl | 6 +++--- + 1 file changed, 3 insertions(+), 3 deletions(-) + +commit 131c643caddba7e130ed8c15a08afc86dc1a6927 +Author: Michael Rash +Date: Sun Aug 4 23:20:53 2013 -0400 + + [server] make IPT_INPUT_ACCESS validation more strict on allowed chars + + server/fw_util_iptables.c | 17 ++++++++++++++--- + test/tests/basic_operations.pl | 6 +++--- + 2 files changed, 17 insertions(+), 6 deletions(-) + +commit 39fa4cc012b8f93dab78619beca17d4601e12631 +Author: Michael Rash +Date: Sun Aug 4 23:01:33 2013 -0400 + + [server] if iptables init fails then no need to remove fwknop chains + + This commit fixes a crash at init time in fwknopd if an improperly formatted + IPT_INPUT_ACCESS variable is used in fwknopd.conf file. fwknopd should not + try to delete chains with a bogus IPT_INPUT_ACCESS variable, and valgrind + verifies that this change does not introduce any memory leaks (see the + 'invalid iptables INPUT spec' tests run in --enable-valgrind mode). + + server/fwknopd.c | 2 +- + 1 file changed, 1 insertion(+), 1 deletion(-) -commit ea9d6a0fdc56706d0934021cf7ca9a15e5c8d261 +commit a7030b038ad181c2a71a073342ddcb78edc2de45 Author: Michael Rash -Date: Wed Jul 24 22:44:08 2013 -0400 +Date: Sun Aug 4 21:46:38 2013 -0400 - [client] apply patch from Ilya Tumaykin for terminal setting type + [test suite] added --gdb-test mode + + This commit allows the test suite to execute the same fwknop/fwknopd command + used in a specified test output file under gdb. This is a convenience + measure to allow the user to more rapidly execute fwknop/fwknopd commands + under gdb in the same way the test suite does without having to copy and paste + command line args. - This commit also fixes a 'possible use of uninitialized value' warning from gcc for - the old_c_lflag variable. + Here is a basic example: + + root@lorien:/home/mbr/git/fwknop.git/test# ./test-fwknop.pl --gdb output/6.test + GNU gdb + Copyright (C) 2012 Free Software Foundation, Inc. + License GPLv3+: GNU GPL version 3 or later + This is free software: you are free to change and redistribute it. + There is NO WARRANTY, to the extent permitted by law. Type "show copying" + and "show warranty" for details. + This GDB was configured as "i686-linux-gnu". + For bug reporting instructions, please see: + ... + Reading symbols from /home/mbr/git/fwknop.git/server/.libs/fwknopd...done. + (gdb) run + Starting program: /home/mbr/git/fwknop.git/server/.libs/fwknopd -c conf/invalid_ipt_input_chain_6_fwknopd.conf -a conf/default_access.conf -d run/digest.cache -p run/fwknopd.pid -i lo --foreground --verbose --verbose - client/getpasswd.c | 19 +++++++++++-------- - 1 file changed, 11 insertions(+), 8 deletions(-) + test/test-fwknop.pl | 32 ++++++++++++++++++++++++++++++++ + 1 file changed, 32 insertions(+) -commit 5ec4998aaa603b01f607a6da6877a03501a513ac -Author: Damien Stuart -Date: Wed Jul 24 14:38:08 2013 -0400 +commit 92e888a34fd5db7d00a619598aa8d5f24ff14333 +Author: Michael Rash +Date: Sun Aug 4 21:24:44 2013 -0400 + + [test suite] minor removal of duplicate Cwd usage + + test/test-fwknop.pl | 1 - + 1 file changed, 1 deletion(-) + +commit 870a08c9f5c33d84d3673e5ef2082449c0f6236a +Author: Michael Rash +Date: Sun Aug 4 21:22:35 2013 -0400 + + [test suite] added invalid IPT input chain specification tests + + Makefile.am | 8 +++ + test/conf/invalid_ipt_input_chain_2_fwknopd.conf | 2 + + test/conf/invalid_ipt_input_chain_3_fwknopd.conf | 2 + + test/conf/invalid_ipt_input_chain_4_fwknopd.conf | 2 + + test/conf/invalid_ipt_input_chain_5_fwknopd.conf | 2 + + test/conf/invalid_ipt_input_chain_6_fwknopd.conf | 2 + + test/conf/invalid_ipt_input_chain_fwknopd.conf | 2 + + test/test-fwknop.pl | 6 ++ + test/tests/basic_operations.pl | 77 ++++++++++++++++++++++++ + 9 files changed, 103 insertions(+) + +commit ce3a7bc16d4ba8b53587d03ed6e7ac7c173be41b +Author: Michael Rash +Date: Sun Aug 4 17:55:41 2013 -0400 + + [test suite] have Makefile.am test/conf/ file inclusion only write errors + + test/test-fwknop.pl | 10 ++-------- + 1 file changed, 2 insertions(+), 8 deletions(-) + +commit 3395e5c1326d8edc013725ccc4bca18923ce5952 +Author: Michael Rash +Date: Sun Aug 4 11:25:58 2013 -0400 + + [test suite] don't append segfault searches to every test output file + + test/test-fwknop.pl | 4 +++- + 1 file changed, 3 insertions(+), 1 deletion(-) + +commit 433b18501c2b2e512202b7c3b517064b2627828d +Author: Michael Rash +Date: Sun Aug 4 04:51:39 2013 -0400 + + [test suite] additional non-HMAC SNAT tests + + test/tests/rijndael.pl | 37 +++++++++++++++++++++++++++++++++++++ + test/tests/rijndael_hmac.pl | 4 ++-- + 2 files changed, 39 insertions(+), 2 deletions(-) + +commit 2f7a3f0a8af1f9c2bf36986f94b2ac049b9aea23 +Author: Michael Rash +Date: Sat Aug 3 20:52:27 2013 -0400 + + [test suite] SNAT MASQUERADE test + + test/tests/basic_operations.pl | 12 ------------ + test/tests/rijndael_hmac.pl | 23 ++++++++++++++++++++++- + 2 files changed, 22 insertions(+), 13 deletions(-) + +commit 24101ac33ae5faeda87cd8d7f8cab1fdf8ff2898 +Author: Michael Rash +Date: Sat Aug 3 20:37:50 2013 -0400 + + [server] add NULL check for SNAT translate IP + + server/fw_util_iptables.c | 3 ++- + 1 file changed, 2 insertions(+), 1 deletion(-) + +commit 0200169dfdb35e7ce8fa9b30a1f82751d6818c68 +Author: Michael Rash +Date: Sat Aug 3 13:36:32 2013 -0400 + + [test suite] started on SNAT tests + + test/conf/snat_fwknopd.conf | 3 +++ + test/conf/snat_no_translate_ip_fwknopd.conf | 2 ++ + test/test-fwknop.pl | 2 ++ + test/tests/basic_operations.pl | 13 +++++++++++++ + test/tests/rijndael_hmac.pl | 19 +++++++++++++++++++ + 5 files changed, 39 insertions(+) + +commit f062ac570643efce3b24197d6830b90d63a34674 +Author: Michael Rash +Date: Fri Aug 2 23:22:10 2013 -0400 + + [server] minor enable check via strncasecmp() + + server/pcap_capture.c | 2 +- + 1 file changed, 1 insertion(+), 1 deletion(-) + +commit cc896bbcdeb0ecd244c8b8b8e6ea3e9d3ebf3298 +Author: Michael Rash +Date: Fri Aug 2 15:09:00 2013 -0400 + + [test suite] added checks to look for segfaults/crashes + + test/test-fwknop.pl | 32 ++++++++++++++++++++++++++++++++ + 1 file changed, 32 insertions(+) + +commit 2f0ad7c4be76f71e0d13c95e83f894895b3b1aac +Author: Michael Rash +Date: Wed Jul 31 13:57:49 2013 -0400 + + [test suite] have fko_wrapper only require fko.h + + test/fko-wrapper/Makefile | 2 +- + test/fko-wrapper/fko_wrapper.c | 4 ---- + 2 files changed, 1 insertion(+), 5 deletions(-) + +commit 04f72ea7241679c71f0757b469fe61a8e6875697 +Author: Franck Joncourt +Date: Tue Jul 30 23:00:19 2013 +0200 + + * Fixed typos. + + client/config_init.c | 8 ++++---- + 1 file changed, 4 insertions(+), 4 deletions(-) + +commit 836921a9ea1b634c7c4cd1ce312671b213fb3bcc +Author: Franck Joncourt +Date: Tue Jul 30 22:54:10 2013 +0200 + + * Added new test to validate --stanza-list + + test/conf/fwknoprc_stanza_list | 8 ++++++++ + test/test-fwknop.pl | 1 + + test/tests/basic_operations.pl | 10 ++++++++++ + 3 files changed, 19 insertions(+) + +commit ccee56b99894c8893d5948444aa938e1b6e69483 +Author: Franck Joncourt +Date: Tue Jul 30 21:49:33 2013 +0200 + + * A bit more of documentation. + + client/config_init.c | 3 +++ + 1 file changed, 3 insertions(+) + +commit 80528e21f6cc9f9daade525d9513b9e4fdf7424f +Author: Franck Joncourt +Date: Tue Jul 30 21:45:24 2013 +0200 + + * Updated fwknop manpage to mention the new --stanza-list. + + doc/fwknop.man.asciidoc | 3 +++ + 1 file changed, 3 insertions(+) + +commit d74cc9927630b2d4825d719bc8b838b528015f95 +Merge: 4c478c1 fc39de6 +Author: Franck Joncourt +Date: Tue Jul 30 21:42:42 2013 +0200 + + Merge remote-tracking branch 'upstream/master' + +commit 4c478c1bb6a45488629e1f04a03dea4b5631954b +Author: Franck Joncourt +Date: Tue Jul 30 21:38:54 2013 +0200 + + * Added a new --stanza-list command line to fwknop to dump the stanzas + configured in ./fwknoprc. The default stanza is not displayed. + + client/cmd_opts.h | 2 ++ + client/config_init.c | 63 ++++++++++++++++++++++++++++++++++++++++++++++++++ + client/fwknop_common.h | 1 + + 3 files changed, 66 insertions(+) + +commit fc39de607cb258efac7cabe593b4dcfa094ae8b3 +Author: Michael Rash +Date: Mon Jul 29 00:06:52 2013 -0400 - Reset terminal setting to orignal values after entering keys via stdin + minor man page update to move --syslog-enable to the server man page - client/getpasswd.c | 8 ++++++++ - 1 file changed, 8 insertions(+) + doc/fwknop.man.asciidoc | 3 --- + doc/fwknopd.man.asciidoc | 3 +++ + server/fwknopd.8.in | 9 +++++++-- + 3 files changed, 10 insertions(+), 5 deletions(-) + +commit f1cee780d29521c9701a8ed5382a992427dd4ab5 +Merge: 1977973 54ab33a +Author: Franck Joncourt +Date: Sun Jul 28 22:11:16 2013 +0200 + + Merge remote-tracking branch 'upstream/master' + +commit 197797302041b6f65c9b82510a9eb44f43886cc8 +Author: Franck Joncourt +Date: Sun Jul 28 22:07:14 2013 +0200 + + * Allow messages to be sent to syslog even if the foreground mode is invoked. + + doc/fwknop.man.asciidoc | 3 +++ + server/cmd_opts.h | 2 ++ + server/config_init.c | 5 +++++ + server/fwknopd_common.h | 2 ++ + server/log_msg.c | 9 +++++++-- + 5 files changed, 19 insertions(+), 2 deletions(-) diff -Nru fwknop-2.5.1/Makefile.am fwknop-2.6.0/Makefile.am --- fwknop-2.5.1/Makefile.am 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/Makefile.am 2014-01-13 03:29:04.000000000 +0000 @@ -19,10 +19,12 @@ android/COPYING \ android/Application.mk \ android/README \ - android/project/src/com/max2idea/android/fwknop/R.java \ android/project/src/com/max2idea/android/fwknop/Fwknop.java \ + android/project/project.properties \ + android/project/sdk.paths \ + android/project/ant.properties \ android/project/build.xml \ - android/project/default.properties \ + android/project/custom_rules.xml \ android/project/res/values/strings.xml \ android/project/res/drawable-hdpi/icon.png \ android/project/res/drawable-mdpi/icon.png \ @@ -38,10 +40,10 @@ android/project/nbproject/private/private.xml \ android/project/nbproject/private/config.properties \ android/project/nbproject/genfiles.properties \ - android/project/build.properties \ android/project/AndroidManifest.xml \ android/project/jni/fwknop/fwknop_client.c \ android/project/jni/fwknop/fwknop_client.h \ + android/project/jni/fwknop/get_libfko_header.sh \ android/project/jni/fwknop/send_spa_packet.c \ android/project/jni/config.h \ android/project/jni/libfwknop/README \ @@ -62,6 +64,8 @@ extras/openwrt/package/gpgme/Makefile \ extras/fwknop-launcher/fwknop-launcher-lsof.pl \ extras/fwknop-launcher/fwknop-launcher.conf \ + extras/apparmor/usr.sbin.fwknopd \ + extras/apparmor/configure_args.sh \ fwknop.spec \ iphone/main.m \ iphone/Fwknop.xcodeproj \ @@ -97,6 +101,8 @@ perl/FKO/MANIFEST \ perl/FKO/ppport.h \ perl/FKO/FKO.xs \ + perl/FKO/t/fuzzing_spa_packets \ + perl/FKO/t/04_fuzzing.t \ perl/FKO/t/03_errors.t \ perl/FKO/t/02_functions.t \ perl/FKO/t/01_constants.t \ @@ -123,6 +129,7 @@ test/conf/server-gpg-no-pw/pubring.gpg \ test/conf/server-gpg-no-pw/secring.gpg \ test/conf/server-gpg-no-pw/trustdb.gpg \ + test/conf/hmac_android_access.conf \ test/conf/android_access.conf \ test/conf/android_legacy_iv_access.conf \ test/conf/base64_key_access.conf \ @@ -141,7 +148,6 @@ test/conf/expired_epoch_stanza_access.conf \ test/conf/expired_stanza_access.conf \ test/conf/force_nat_access.conf \ - test/conf/force_nat_access.conf \ test/conf/future_expired_stanza_access.conf \ test/conf/fuzzing_open_ports_access.conf \ test/conf/fuzzing_restrict_ports_access.conf \ @@ -150,6 +156,10 @@ test/conf/gpg_hmac_access.conf \ test/conf/gpg_no_pw_access.conf \ test/conf/gpg_no_pw_hmac_access.conf \ + test/conf/fwknoprc_gpg_hmac_sha512_key \ + test/conf/gpg_hmac_sha512_access.conf \ + test/conf/fwknoprc_hmac_sha512_base64_key \ + test/conf/gpg_no_pw_hmac_sha512_access.conf \ test/conf/no_flush_init_fwknopd.conf \ test/conf/no_flush_exit_fwknopd.conf \ test/conf/no_flush_init_or_exit_fwknopd.conf \ @@ -178,7 +188,10 @@ test/conf/hmac_simple_keys_access.conf \ test/conf/hmac_sha256_open_ports_access.conf \ test/conf/hmac_force_nat_access.conf \ + test/conf/hmac_force_snat_access.conf \ + test/conf/hmac_force_masq_access.conf \ test/conf/hmac_no_b64_cygwin_access.conf \ + test/conf/multi_pkts.pcap \ test/conf/fwknoprc_default_hmac_base64_key \ test/conf/fwknoprc_hmac_key2 \ test/conf/fwknoprc_gpg_hmac_key \ @@ -200,6 +213,11 @@ test/conf/fwknoprc_hmac_sha512_short_key \ test/conf/fwknoprc_hmac_sha512_long_key \ test/conf/fwknoprc_hmac_simple_keys \ + test/conf/hmac_sha256_digest1_mismatch_access.conf \ + test/conf/hmac_sha256_digest3_mismatch_access.conf \ + test/conf/hmac_sha256_digest2_mismatch_access.conf \ + test/conf/hmac_sha256_digest4_mismatch_access.conf \ + test/conf/fwknoprc_rand_port_hmac_base64_key \ test/conf/fwknoprc_invalid_base64_key \ test/conf/fwknoprc_named_key \ test/conf/fwknoprc_with_default_base64_key \ @@ -209,9 +227,16 @@ test/conf/fwknoprc_gpg_args_no_pw_hmac_key \ test/conf/fwknoprc_gpg_signing_pw \ test/conf/fwknoprc_named_gpg_signing_pw \ + test/conf/fwknoprc_stanza_list \ test/conf/icmp_pcap_filter_fwknopd.conf \ test/conf/invalid_expire_access.conf \ test/conf/invalid_source_access.conf \ + test/conf/invalid_ipt_input_chain_fwknopd.conf \ + test/conf/invalid_ipt_input_chain_2_fwknopd.conf \ + test/conf/invalid_ipt_input_chain_3_fwknopd.conf \ + test/conf/invalid_ipt_input_chain_4_fwknopd.conf \ + test/conf/invalid_ipt_input_chain_5_fwknopd.conf \ + test/conf/invalid_ipt_input_chain_6_fwknopd.conf \ test/conf/ipfw_active_expire_equal_fwknopd.conf \ test/conf/ip_source_match_access.conf \ test/conf/legacy_iv_access.conf \ @@ -237,10 +262,13 @@ test/conf/subnet_source_match_access.conf \ test/conf/tcp_pcap_filter_fwknopd.conf \ test/conf/tcp_server_fwknopd.conf \ + test/conf/snat_fwknopd.conf \ + test/conf/snat_no_translate_ip_fwknopd.conf \ test/conf/spa_replay.pcap \ test/fko-wrapper/Makefile \ test/fko-wrapper/fko_wrapper.c \ test/fko-wrapper/run_valgrind.sh \ + test/fko-wrapper/run_no_valgrind.sh \ test/fuzzing/patches/enable_perl_fko_bogus_packets.patch \ test/fuzzing/patches/encoding_append_b64_modified_byte_eq.patch \ test/fuzzing/patches/encoding_append_b64_modified_byte.patch \ @@ -261,9 +289,9 @@ test/fuzzing/patches/invalid_long_proto_define_rijndael_trigger.patch \ test/fuzzing/patches/long_ip.patch \ test/fuzzing/patches/non_b64_user_char.patch \ - test/fuzzing/fuzzing_spa_packets \ test/tests/build_security.pl \ test/tests/preliminaries.pl \ + test/tests/code_structure.pl \ test/tests/basic_operations.pl \ test/tests/rijndael_hmac.pl \ test/tests/rijndael_backwards_compatibility.pl \ diff -Nru fwknop-2.5.1/Makefile.in fwknop-2.6.0/Makefile.in --- fwknop-2.5.1/Makefile.in 2013-07-26 01:30:38.000000000 +0000 +++ fwknop-2.6.0/Makefile.in 2014-01-13 03:30:53.000000000 +0000 @@ -1,9 +1,8 @@ -# Makefile.in generated by automake 1.11.6 from Makefile.am. +# Makefile.in generated by automake 1.13.3 from Makefile.am. # @configure_input@ -# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002, -# 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011 Free Software -# Foundation, Inc. +# Copyright (C) 1994-2013 Free Software Foundation, Inc. + # This Makefile.in is free software; the Free Software Foundation # gives unlimited permission to copy and/or distribute it, # with or without modifications, as long as this notice is preserved. @@ -15,23 +14,51 @@ @SET_MAKE@ VPATH = @srcdir@ -am__make_dryrun = \ - { \ - am__dry=no; \ +am__is_gnu_make = test -n '$(MAKEFILE_LIST)' && test -n '$(MAKELEVEL)' +am__make_running_with_option = \ + case $${target_option-} in \ + ?) ;; \ + *) echo "am__make_running_with_option: internal error: invalid" \ + "target option '$${target_option-}' specified" >&2; \ + exit 1;; \ + esac; \ + has_opt=no; \ + sane_makeflags=$$MAKEFLAGS; \ + if $(am__is_gnu_make); then \ + sane_makeflags=$$MFLAGS; \ + else \ case $$MAKEFLAGS in \ *\\[\ \ ]*) \ - echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \ - | grep '^AM OK$$' >/dev/null || am__dry=yes;; \ - *) \ - for am__flg in $$MAKEFLAGS; do \ - case $$am__flg in \ - *=*|--*) ;; \ - *n*) am__dry=yes; break;; \ - esac; \ - done;; \ + bs=\\; \ + sane_makeflags=`printf '%s\n' "$$MAKEFLAGS" \ + | sed "s/$$bs$$bs[$$bs $$bs ]*//g"`;; \ + esac; \ + fi; \ + skip_next=no; \ + strip_trailopt () \ + { \ + flg=`printf '%s\n' "$$flg" | sed "s/$$1.*$$//"`; \ + }; \ + for flg in $$sane_makeflags; do \ + test $$skip_next = yes && { skip_next=no; continue; }; \ + case $$flg in \ + *=*|--*) continue;; \ + -*I) strip_trailopt 'I'; skip_next=yes;; \ + -*I?*) strip_trailopt 'I';; \ + -*O) strip_trailopt 'O'; skip_next=yes;; \ + -*O?*) strip_trailopt 'O';; \ + -*l) strip_trailopt 'l'; skip_next=yes;; \ + -*l?*) strip_trailopt 'l';; \ + -[dEDm]) skip_next=yes;; \ + -[JT]) skip_next=yes;; \ esac; \ - test $$am__dry = yes; \ - } + case $$flg in \ + *$$target_option*) has_opt=yes; break;; \ + esac; \ + done; \ + test $$has_opt = yes +am__make_dryrun = (target_option=n; $(am__make_running_with_option)) +am__make_keepgoing = (target_option=k; $(am__make_running_with_option)) pkgdatadir = $(datadir)/@PACKAGE@ pkgincludedir = $(includedir)/@PACKAGE@ pkglibdir = $(libdir)/@PACKAGE@ @@ -52,12 +79,16 @@ host_triplet = @host@ target_triplet = @target@ subdir = . -DIST_COMMON = README $(am__configure_deps) $(srcdir)/Makefile.am \ - $(srcdir)/Makefile.in $(srcdir)/config.h.in \ - $(top_srcdir)/configure AUTHORS COPYING ChangeLog INSTALL NEWS \ - config/compile config/config.guess config/config.sub \ - config/depcomp config/install-sh config/ltmain.sh \ - config/mdate-sh config/missing config/texinfo.tex +DIST_COMMON = INSTALL NEWS README AUTHORS ChangeLog \ + $(srcdir)/Makefile.in $(srcdir)/Makefile.am \ + $(top_srcdir)/configure $(am__configure_deps) \ + $(srcdir)/config.h.in COPYING config/compile \ + config/config.guess config/config.sub config/install-sh \ + config/missing config/ltmain.sh $(top_srcdir)/config/compile \ + $(top_srcdir)/config/config.guess \ + $(top_srcdir)/config/config.sub \ + $(top_srcdir)/config/install-sh $(top_srcdir)/config/ltmain.sh \ + $(top_srcdir)/config/missing ACLOCAL_M4 = $(top_srcdir)/aclocal.m4 am__aclocal_m4_deps = $(top_srcdir)/m4/gpgme.m4 \ $(top_srcdir)/m4/libtool.m4 $(top_srcdir)/m4/ltoptions.m4 \ @@ -71,15 +102,28 @@ CONFIG_HEADER = config.h CONFIG_CLEAN_FILES = CONFIG_CLEAN_VPATH_FILES = +AM_V_P = $(am__v_P_@AM_V@) +am__v_P_ = $(am__v_P_@AM_DEFAULT_V@) +am__v_P_0 = false +am__v_P_1 = : +AM_V_GEN = $(am__v_GEN_@AM_V@) +am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@) +am__v_GEN_0 = @echo " GEN " $@; +am__v_GEN_1 = +AM_V_at = $(am__v_at_@AM_V@) +am__v_at_ = $(am__v_at_@AM_DEFAULT_V@) +am__v_at_0 = @ +am__v_at_1 = SOURCES = DIST_SOURCES = -RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \ - html-recursive info-recursive install-data-recursive \ - install-dvi-recursive install-exec-recursive \ - install-html-recursive install-info-recursive \ - install-pdf-recursive install-ps-recursive install-recursive \ - installcheck-recursive installdirs-recursive pdf-recursive \ - ps-recursive uninstall-recursive +RECURSIVE_TARGETS = all-recursive check-recursive cscopelist-recursive \ + ctags-recursive dvi-recursive html-recursive info-recursive \ + install-data-recursive install-dvi-recursive \ + install-exec-recursive install-html-recursive \ + install-info-recursive install-pdf-recursive \ + install-ps-recursive install-recursive installcheck-recursive \ + installdirs-recursive pdf-recursive ps-recursive \ + tags-recursive uninstall-recursive am__can_run_installinfo = \ case $$AM_UPDATE_INFO_DIR in \ n|no|NO) false;; \ @@ -87,11 +131,33 @@ esac RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive \ distclean-recursive maintainer-clean-recursive -AM_RECURSIVE_TARGETS = $(RECURSIVE_TARGETS:-recursive=) \ - $(RECURSIVE_CLEAN_TARGETS:-recursive=) tags TAGS ctags CTAGS \ - distdir dist dist-all distcheck +am__recursive_targets = \ + $(RECURSIVE_TARGETS) \ + $(RECURSIVE_CLEAN_TARGETS) \ + $(am__extra_recursive_targets) +AM_RECURSIVE_TARGETS = $(am__recursive_targets:-recursive=) TAGS CTAGS \ + cscope distdir dist dist-all distcheck +am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) \ + $(LISP)config.h.in +# Read a list of newline-separated strings from the standard input, +# and print each of them once, without duplicates. Input order is +# *not* preserved. +am__uniquify_input = $(AWK) '\ + BEGIN { nonempty = 0; } \ + { items[$$0] = 1; nonempty = 1; } \ + END { if (nonempty) { for (i in items) print i; }; } \ +' +# Make sure the list of sources is unique. This is necessary because, +# e.g., the same source file might be shared among _SOURCES variables +# for different programs/libraries. +am__define_uniq_tagged_files = \ + list='$(am__tagged_files)'; \ + unique=`for i in $$list; do \ + if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \ + done | $(am__uniquify_input)` ETAGS = etags CTAGS = ctags +CSCOPE = cscope DIST_SUBDIRS = lib common client server doc DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST) distdir = $(PACKAGE)-$(VERSION) @@ -102,6 +168,7 @@ && rm -rf "$(distdir)" \ || { sleep 5 && rm -rf "$(distdir)"; }; \ else :; fi +am__post_remove_distdir = $(am__remove_distdir) am__relativize = \ dir0=`pwd`; \ sed_first='s,^\([^/]*\)/.*$$,\1,'; \ @@ -129,12 +196,14 @@ reldir="$$dir2" DIST_ARCHIVES = $(distdir).tar.gz GZIP_ENV = --best +DIST_TARGETS = dist-gzip distuninstallcheck_listfiles = find . -type f -print am__distuninstallcheck_listfiles = $(distuninstallcheck_listfiles) \ | sed 's|^\./|$(prefix)/|' | grep -v '$(infodir)/dir$$' distcleancheck_listfiles = find . -type f -print ACLOCAL = @ACLOCAL@ AMTAR = @AMTAR@ +AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@ AR = @AR@ AUTOCONF = @AUTOCONF@ AUTOHEADER = @AUTOHEADER@ @@ -272,10 +341,12 @@ android/COPYING \ android/Application.mk \ android/README \ - android/project/src/com/max2idea/android/fwknop/R.java \ android/project/src/com/max2idea/android/fwknop/Fwknop.java \ + android/project/project.properties \ + android/project/sdk.paths \ + android/project/ant.properties \ android/project/build.xml \ - android/project/default.properties \ + android/project/custom_rules.xml \ android/project/res/values/strings.xml \ android/project/res/drawable-hdpi/icon.png \ android/project/res/drawable-mdpi/icon.png \ @@ -291,10 +362,10 @@ android/project/nbproject/private/private.xml \ android/project/nbproject/private/config.properties \ android/project/nbproject/genfiles.properties \ - android/project/build.properties \ android/project/AndroidManifest.xml \ android/project/jni/fwknop/fwknop_client.c \ android/project/jni/fwknop/fwknop_client.h \ + android/project/jni/fwknop/get_libfko_header.sh \ android/project/jni/fwknop/send_spa_packet.c \ android/project/jni/config.h \ android/project/jni/libfwknop/README \ @@ -315,6 +386,8 @@ extras/openwrt/package/gpgme/Makefile \ extras/fwknop-launcher/fwknop-launcher-lsof.pl \ extras/fwknop-launcher/fwknop-launcher.conf \ + extras/apparmor/usr.sbin.fwknopd \ + extras/apparmor/configure_args.sh \ fwknop.spec \ iphone/main.m \ iphone/Fwknop.xcodeproj \ @@ -350,6 +423,8 @@ perl/FKO/MANIFEST \ perl/FKO/ppport.h \ perl/FKO/FKO.xs \ + perl/FKO/t/fuzzing_spa_packets \ + perl/FKO/t/04_fuzzing.t \ perl/FKO/t/03_errors.t \ perl/FKO/t/02_functions.t \ perl/FKO/t/01_constants.t \ @@ -376,6 +451,7 @@ test/conf/server-gpg-no-pw/pubring.gpg \ test/conf/server-gpg-no-pw/secring.gpg \ test/conf/server-gpg-no-pw/trustdb.gpg \ + test/conf/hmac_android_access.conf \ test/conf/android_access.conf \ test/conf/android_legacy_iv_access.conf \ test/conf/base64_key_access.conf \ @@ -394,7 +470,6 @@ test/conf/expired_epoch_stanza_access.conf \ test/conf/expired_stanza_access.conf \ test/conf/force_nat_access.conf \ - test/conf/force_nat_access.conf \ test/conf/future_expired_stanza_access.conf \ test/conf/fuzzing_open_ports_access.conf \ test/conf/fuzzing_restrict_ports_access.conf \ @@ -403,6 +478,10 @@ test/conf/gpg_hmac_access.conf \ test/conf/gpg_no_pw_access.conf \ test/conf/gpg_no_pw_hmac_access.conf \ + test/conf/fwknoprc_gpg_hmac_sha512_key \ + test/conf/gpg_hmac_sha512_access.conf \ + test/conf/fwknoprc_hmac_sha512_base64_key \ + test/conf/gpg_no_pw_hmac_sha512_access.conf \ test/conf/no_flush_init_fwknopd.conf \ test/conf/no_flush_exit_fwknopd.conf \ test/conf/no_flush_init_or_exit_fwknopd.conf \ @@ -431,7 +510,10 @@ test/conf/hmac_simple_keys_access.conf \ test/conf/hmac_sha256_open_ports_access.conf \ test/conf/hmac_force_nat_access.conf \ + test/conf/hmac_force_snat_access.conf \ + test/conf/hmac_force_masq_access.conf \ test/conf/hmac_no_b64_cygwin_access.conf \ + test/conf/multi_pkts.pcap \ test/conf/fwknoprc_default_hmac_base64_key \ test/conf/fwknoprc_hmac_key2 \ test/conf/fwknoprc_gpg_hmac_key \ @@ -453,6 +535,11 @@ test/conf/fwknoprc_hmac_sha512_short_key \ test/conf/fwknoprc_hmac_sha512_long_key \ test/conf/fwknoprc_hmac_simple_keys \ + test/conf/hmac_sha256_digest1_mismatch_access.conf \ + test/conf/hmac_sha256_digest3_mismatch_access.conf \ + test/conf/hmac_sha256_digest2_mismatch_access.conf \ + test/conf/hmac_sha256_digest4_mismatch_access.conf \ + test/conf/fwknoprc_rand_port_hmac_base64_key \ test/conf/fwknoprc_invalid_base64_key \ test/conf/fwknoprc_named_key \ test/conf/fwknoprc_with_default_base64_key \ @@ -462,9 +549,16 @@ test/conf/fwknoprc_gpg_args_no_pw_hmac_key \ test/conf/fwknoprc_gpg_signing_pw \ test/conf/fwknoprc_named_gpg_signing_pw \ + test/conf/fwknoprc_stanza_list \ test/conf/icmp_pcap_filter_fwknopd.conf \ test/conf/invalid_expire_access.conf \ test/conf/invalid_source_access.conf \ + test/conf/invalid_ipt_input_chain_fwknopd.conf \ + test/conf/invalid_ipt_input_chain_2_fwknopd.conf \ + test/conf/invalid_ipt_input_chain_3_fwknopd.conf \ + test/conf/invalid_ipt_input_chain_4_fwknopd.conf \ + test/conf/invalid_ipt_input_chain_5_fwknopd.conf \ + test/conf/invalid_ipt_input_chain_6_fwknopd.conf \ test/conf/ipfw_active_expire_equal_fwknopd.conf \ test/conf/ip_source_match_access.conf \ test/conf/legacy_iv_access.conf \ @@ -490,10 +584,13 @@ test/conf/subnet_source_match_access.conf \ test/conf/tcp_pcap_filter_fwknopd.conf \ test/conf/tcp_server_fwknopd.conf \ + test/conf/snat_fwknopd.conf \ + test/conf/snat_no_translate_ip_fwknopd.conf \ test/conf/spa_replay.pcap \ test/fko-wrapper/Makefile \ test/fko-wrapper/fko_wrapper.c \ test/fko-wrapper/run_valgrind.sh \ + test/fko-wrapper/run_no_valgrind.sh \ test/fuzzing/patches/enable_perl_fko_bogus_packets.patch \ test/fuzzing/patches/encoding_append_b64_modified_byte_eq.patch \ test/fuzzing/patches/encoding_append_b64_modified_byte.patch \ @@ -514,9 +611,9 @@ test/fuzzing/patches/invalid_long_proto_define_rijndael_trigger.patch \ test/fuzzing/patches/long_ip.patch \ test/fuzzing/patches/non_b64_user_char.patch \ - test/fuzzing/fuzzing_spa_packets \ test/tests/build_security.pl \ test/tests/preliminaries.pl \ + test/tests/code_structure.pl \ test/tests/basic_operations.pl \ test/tests/rijndael_hmac.pl \ test/tests/rijndael_backwards_compatibility.pl \ @@ -612,22 +709,25 @@ -rm -f libtool config.lt # This directory's subdirectories are mostly independent; you can cd -# into them and run `make' without going through this Makefile. -# To change the values of `make' variables: instead of editing Makefiles, -# (1) if the variable is set in `config.status', edit `config.status' -# (which will cause the Makefiles to be regenerated when you run `make'); -# (2) otherwise, pass the desired values on the `make' command line. -$(RECURSIVE_TARGETS): - @fail= failcom='exit 1'; \ - for f in x $$MAKEFLAGS; do \ - case $$f in \ - *=* | --[!k]*);; \ - *k*) failcom='fail=yes';; \ - esac; \ - done; \ +# into them and run 'make' without going through this Makefile. +# To change the values of 'make' variables: instead of editing Makefiles, +# (1) if the variable is set in 'config.status', edit 'config.status' +# (which will cause the Makefiles to be regenerated when you run 'make'); +# (2) otherwise, pass the desired values on the 'make' command line. +$(am__recursive_targets): + @fail=; \ + if $(am__make_keepgoing); then \ + failcom='fail=yes'; \ + else \ + failcom='exit 1'; \ + fi; \ dot_seen=no; \ target=`echo $@ | sed s/-recursive//`; \ - list='$(SUBDIRS)'; for subdir in $$list; do \ + case "$@" in \ + distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \ + *) list='$(SUBDIRS)' ;; \ + esac; \ + for subdir in $$list; do \ echo "Making $$target in $$subdir"; \ if test "$$subdir" = "."; then \ dot_seen=yes; \ @@ -642,57 +742,12 @@ $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \ fi; test -z "$$fail" -$(RECURSIVE_CLEAN_TARGETS): - @fail= failcom='exit 1'; \ - for f in x $$MAKEFLAGS; do \ - case $$f in \ - *=* | --[!k]*);; \ - *k*) failcom='fail=yes';; \ - esac; \ - done; \ - dot_seen=no; \ - case "$@" in \ - distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \ - *) list='$(SUBDIRS)' ;; \ - esac; \ - rev=''; for subdir in $$list; do \ - if test "$$subdir" = "."; then :; else \ - rev="$$subdir $$rev"; \ - fi; \ - done; \ - rev="$$rev ."; \ - target=`echo $@ | sed s/-recursive//`; \ - for subdir in $$rev; do \ - echo "Making $$target in $$subdir"; \ - if test "$$subdir" = "."; then \ - local_target="$$target-am"; \ - else \ - local_target="$$target"; \ - fi; \ - ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \ - || eval $$failcom; \ - done && test -z "$$fail" -tags-recursive: - list='$(SUBDIRS)'; for subdir in $$list; do \ - test "$$subdir" = . || ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \ - done -ctags-recursive: - list='$(SUBDIRS)'; for subdir in $$list; do \ - test "$$subdir" = . || ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \ - done - -ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES) - list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \ - unique=`for i in $$list; do \ - if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \ - done | \ - $(AWK) '{ files[$$0] = 1; nonempty = 1; } \ - END { if (nonempty) { for (i in files) print i; }; }'`; \ - mkid -fID $$unique -tags: TAGS +ID: $(am__tagged_files) + $(am__define_uniq_tagged_files); mkid -fID $$unique +tags: tags-recursive +TAGS: tags -TAGS: tags-recursive $(HEADERS) $(SOURCES) config.h.in $(TAGS_DEPENDENCIES) \ - $(TAGS_FILES) $(LISP) +tags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files) set x; \ here=`pwd`; \ if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \ @@ -708,12 +763,7 @@ set "$$@" "$$include_option=$$here/$$subdir/TAGS"; \ fi; \ done; \ - list='$(SOURCES) $(HEADERS) config.h.in $(LISP) $(TAGS_FILES)'; \ - unique=`for i in $$list; do \ - if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \ - done | \ - $(AWK) '{ files[$$0] = 1; nonempty = 1; } \ - END { if (nonempty) { for (i in files) print i; }; }'`; \ + $(am__define_uniq_tagged_files); \ shift; \ if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \ test -n "$$unique" || unique=$$empty_fix; \ @@ -725,15 +775,11 @@ $$unique; \ fi; \ fi -ctags: CTAGS -CTAGS: ctags-recursive $(HEADERS) $(SOURCES) config.h.in $(TAGS_DEPENDENCIES) \ - $(TAGS_FILES) $(LISP) - list='$(SOURCES) $(HEADERS) config.h.in $(LISP) $(TAGS_FILES)'; \ - unique=`for i in $$list; do \ - if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \ - done | \ - $(AWK) '{ files[$$0] = 1; nonempty = 1; } \ - END { if (nonempty) { for (i in files) print i; }; }'`; \ +ctags: ctags-recursive + +CTAGS: ctags +ctags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files) + $(am__define_uniq_tagged_files); \ test -z "$(CTAGS_ARGS)$$unique" \ || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \ $$unique @@ -742,9 +788,31 @@ here=`$(am__cd) $(top_builddir) && pwd` \ && $(am__cd) $(top_srcdir) \ && gtags -i $(GTAGS_ARGS) "$$here" +cscope: cscope.files + test ! -s cscope.files \ + || $(CSCOPE) -b -q $(AM_CSCOPEFLAGS) $(CSCOPEFLAGS) -i cscope.files $(CSCOPE_ARGS) +clean-cscope: + -rm -f cscope.files +cscope.files: clean-cscope cscopelist +cscopelist: cscopelist-recursive + +cscopelist-am: $(am__tagged_files) + list='$(am__tagged_files)'; \ + case "$(srcdir)" in \ + [\\/]* | ?:[\\/]*) sdir="$(srcdir)" ;; \ + *) sdir=$(subdir)/$(srcdir) ;; \ + esac; \ + for i in $$list; do \ + if test -f "$$i"; then \ + echo "$(subdir)/$$i"; \ + else \ + echo "$$sdir/$$i"; \ + fi; \ + done >> $(top_builddir)/cscope.files distclean-tags: -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags + -rm -f cscope.out cscope.in.out cscope.po.out cscope.files distdir: $(DISTFILES) $(am__remove_distdir) @@ -815,40 +883,36 @@ || chmod -R a+r "$(distdir)" dist-gzip: distdir tardir=$(distdir) && $(am__tar) | GZIP=$(GZIP_ENV) gzip -c >$(distdir).tar.gz - $(am__remove_distdir) + $(am__post_remove_distdir) dist-bzip2: distdir tardir=$(distdir) && $(am__tar) | BZIP2=$${BZIP2--9} bzip2 -c >$(distdir).tar.bz2 - $(am__remove_distdir) + $(am__post_remove_distdir) dist-lzip: distdir tardir=$(distdir) && $(am__tar) | lzip -c $${LZIP_OPT--9} >$(distdir).tar.lz - $(am__remove_distdir) - -dist-lzma: distdir - tardir=$(distdir) && $(am__tar) | lzma -9 -c >$(distdir).tar.lzma - $(am__remove_distdir) + $(am__post_remove_distdir) dist-xz: distdir tardir=$(distdir) && $(am__tar) | XZ_OPT=$${XZ_OPT--e} xz -c >$(distdir).tar.xz - $(am__remove_distdir) + $(am__post_remove_distdir) dist-tarZ: distdir tardir=$(distdir) && $(am__tar) | compress -c >$(distdir).tar.Z - $(am__remove_distdir) + $(am__post_remove_distdir) dist-shar: distdir shar $(distdir) | GZIP=$(GZIP_ENV) gzip -c >$(distdir).shar.gz - $(am__remove_distdir) + $(am__post_remove_distdir) dist-zip: distdir -rm -f $(distdir).zip zip -rq $(distdir).zip $(distdir) - $(am__remove_distdir) + $(am__post_remove_distdir) -dist dist-all: distdir - tardir=$(distdir) && $(am__tar) | GZIP=$(GZIP_ENV) gzip -c >$(distdir).tar.gz - $(am__remove_distdir) +dist dist-all: + $(MAKE) $(AM_MAKEFLAGS) $(DIST_TARGETS) am__post_remove_distdir='@:' + $(am__post_remove_distdir) # This target untars the dist file and tries a VPATH configuration. Then # it guarantees that the distribution is self-contained by making another @@ -859,8 +923,6 @@ GZIP=$(GZIP_ENV) gzip -dc $(distdir).tar.gz | $(am__untar) ;;\ *.tar.bz2*) \ bzip2 -dc $(distdir).tar.bz2 | $(am__untar) ;;\ - *.tar.lzma*) \ - lzma -dc $(distdir).tar.lzma | $(am__untar) ;;\ *.tar.lz*) \ lzip -dc $(distdir).tar.lz | $(am__untar) ;;\ *.tar.xz*) \ @@ -872,9 +934,9 @@ *.zip*) \ unzip $(distdir).zip ;;\ esac - chmod -R a-w $(distdir); chmod u+w $(distdir) - mkdir $(distdir)/_build - mkdir $(distdir)/_inst + chmod -R a-w $(distdir) + chmod u+w $(distdir) + mkdir $(distdir)/_build $(distdir)/_inst chmod a-w $(distdir) test -d $(distdir)/_build || exit 0; \ dc_install_base=`$(am__cd) $(distdir)/_inst && pwd | sed -e 's,^[^:\\/]:[\\/],/,'` \ @@ -906,7 +968,7 @@ && $(MAKE) $(AM_MAKEFLAGS) distcleancheck \ && cd "$$am__cwd" \ || exit 1 - $(am__remove_distdir) + $(am__post_remove_distdir) @(echo "$(distdir) archives ready for distribution: "; \ list='$(DIST_ARCHIVES)'; for i in $$list; do echo $$i; done) | \ sed -e 1h -e 1s/./=/g -e 1p -e 1x -e '$$p' -e '$$x' @@ -1042,26 +1104,25 @@ uninstall-am: uninstall-local -.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) all \ - ctags-recursive install-am install-exec-am install-strip \ - tags-recursive - -.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \ - all all-am am--refresh check check-am clean clean-generic \ - clean-libtool ctags ctags-recursive dist dist-all dist-bzip2 \ - dist-gzip dist-hook dist-lzip dist-lzma dist-shar dist-tarZ \ - dist-xz dist-zip distcheck distclean distclean-generic \ - distclean-hdr distclean-libtool distclean-tags distcleancheck \ - distdir distuninstallcheck dvi dvi-am html html-am info \ - info-am install install-am install-data install-data-am \ - install-dvi install-dvi-am install-exec install-exec-am \ - install-exec-hook install-html install-html-am install-info \ - install-info-am install-man install-pdf install-pdf-am \ - install-ps install-ps-am install-strip installcheck \ - installcheck-am installdirs installdirs-am maintainer-clean \ - maintainer-clean-generic mostlyclean mostlyclean-generic \ - mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \ - uninstall uninstall-am uninstall-local +.MAKE: $(am__recursive_targets) all install-am install-exec-am \ + install-strip + +.PHONY: $(am__recursive_targets) CTAGS GTAGS TAGS all all-am \ + am--refresh check check-am clean clean-cscope clean-generic \ + clean-libtool cscope cscopelist-am ctags ctags-am dist \ + dist-all dist-bzip2 dist-gzip dist-hook dist-lzip dist-shar \ + dist-tarZ dist-xz dist-zip distcheck distclean \ + distclean-generic distclean-hdr distclean-libtool \ + distclean-tags distcleancheck distdir distuninstallcheck dvi \ + dvi-am html html-am info info-am install install-am \ + install-data install-data-am install-dvi install-dvi-am \ + install-exec install-exec-am install-exec-hook install-html \ + install-html-am install-info install-info-am install-man \ + install-pdf install-pdf-am install-ps install-ps-am \ + install-strip installcheck installcheck-am installdirs \ + installdirs-am maintainer-clean maintainer-clean-generic \ + mostlyclean mostlyclean-generic mostlyclean-libtool pdf pdf-am \ + ps ps-am tags tags-am uninstall uninstall-am uninstall-local # Make dist makes the man pages to put them in the distribution. We diff -Nru fwknop-2.5.1/README fwknop-2.6.0/README --- fwknop-2.5.1/README 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/README 2014-01-13 03:29:04.000000000 +0000 @@ -9,9 +9,10 @@ default-drop filtering stance. The main application of SPA is to use a firewall to drop all attempts to connect to services such as SSH in order to make the exploitation of vulnerabilities (both 0-day and unpatched code) more difficult. -Any service that is concealed by SPA naturally cannot be scanned for with Nmap. -The fwknop project supports three different firewalls: iptables on Linux -systems, pf on OpenBSD, and ipfw on FreeBSD and Mac OS X. +Because there are no open ports, any service that is concealed by SPA naturally +cannot be scanned for with Nmap. The fwknop project supports three different +firewalls: iptables on Linux systems, pf on OpenBSD, and ipfw on FreeBSD and +Mac OS X. SPA is essentially next generation Port Knocking (PK), but solves many of the limitations exhibited by PK while retaining its core benefits. PK limitations diff -Nru fwknop-2.5.1/VERSION fwknop-2.6.0/VERSION --- fwknop-2.5.1/VERSION 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/VERSION 2014-01-13 03:29:04.000000000 +0000 @@ -1 +1 @@ -fwknop-2.5.1 +2.6.0 diff -Nru fwknop-2.5.1/aclocal.m4 fwknop-2.6.0/aclocal.m4 --- fwknop-2.5.1/aclocal.m4 2013-07-26 01:30:35.000000000 +0000 +++ fwknop-2.6.0/aclocal.m4 2014-01-13 03:30:52.000000000 +0000 @@ -1,8 +1,7 @@ -# generated automatically by aclocal 1.11.6 -*- Autoconf -*- +# generated automatically by aclocal 1.13.3 -*- Autoconf -*- + +# Copyright (C) 1996-2013 Free Software Foundation, Inc. -# Copyright (C) 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004, -# 2005, 2006, 2007, 2008, 2009, 2010, 2011 Free Software Foundation, -# Inc. # This file is free software; the Free Software Foundation # gives unlimited permission to copy and/or distribute it, # with or without modifications, as long as this notice is preserved. @@ -12,33 +11,31 @@ # even the implied warranty of MERCHANTABILITY or FITNESS FOR A # PARTICULAR PURPOSE. +m4_ifndef([AC_CONFIG_MACRO_DIRS], [m4_defun([_AM_CONFIG_MACRO_DIRS], [])m4_defun([AC_CONFIG_MACRO_DIRS], [_AM_CONFIG_MACRO_DIRS($@)])]) m4_ifndef([AC_AUTOCONF_VERSION], [m4_copy([m4_PACKAGE_VERSION], [AC_AUTOCONF_VERSION])])dnl m4_if(m4_defn([AC_AUTOCONF_VERSION]), [2.69],, [m4_warning([this file was generated for autoconf 2.69. You have another version of autoconf. It may work, but is not guaranteed to. If you have problems, you may need to regenerate the build system entirely. -To do so, use the procedure documented by the package, typically `autoreconf'.])]) +To do so, use the procedure documented by the package, typically 'autoreconf'.])]) -# Copyright (C) 2002, 2003, 2005, 2006, 2007, 2008, 2011 Free Software -# Foundation, Inc. +# Copyright (C) 2002-2013 Free Software Foundation, Inc. # # This file is free software; the Free Software Foundation # gives unlimited permission to copy and/or distribute it, # with or without modifications, as long as this notice is preserved. -# serial 1 - # AM_AUTOMAKE_VERSION(VERSION) # ---------------------------- # Automake X.Y traces this macro to ensure aclocal.m4 has been # generated from the m4 files accompanying Automake X.Y. # (This private macro should not be called outside this file.) AC_DEFUN([AM_AUTOMAKE_VERSION], -[am__api_version='1.11' +[am__api_version='1.13' dnl Some users find AM_AUTOMAKE_VERSION and mistake it for a way to dnl require some minimum version. Point them to the right macro. -m4_if([$1], [1.11.6], [], +m4_if([$1], [1.13.3], [], [AC_FATAL([Do not call $0, use AM_INIT_AUTOMAKE([$1]).])])dnl ]) @@ -54,24 +51,22 @@ # Call AM_AUTOMAKE_VERSION and AM_AUTOMAKE_VERSION so they can be traced. # This function is AC_REQUIREd by AM_INIT_AUTOMAKE. AC_DEFUN([AM_SET_CURRENT_AUTOMAKE_VERSION], -[AM_AUTOMAKE_VERSION([1.11.6])dnl +[AM_AUTOMAKE_VERSION([1.13.3])dnl m4_ifndef([AC_AUTOCONF_VERSION], [m4_copy([m4_PACKAGE_VERSION], [AC_AUTOCONF_VERSION])])dnl _AM_AUTOCONF_VERSION(m4_defn([AC_AUTOCONF_VERSION]))]) # AM_AUX_DIR_EXPAND -*- Autoconf -*- -# Copyright (C) 2001, 2003, 2005, 2011 Free Software Foundation, Inc. +# Copyright (C) 2001-2013 Free Software Foundation, Inc. # # This file is free software; the Free Software Foundation # gives unlimited permission to copy and/or distribute it, # with or without modifications, as long as this notice is preserved. -# serial 1 - # For projects using AC_CONFIG_AUX_DIR([foo]), Autoconf sets -# $ac_aux_dir to `$srcdir/foo'. In other projects, it is set to -# `$srcdir', `$srcdir/..', or `$srcdir/../..'. +# $ac_aux_dir to '$srcdir/foo'. In other projects, it is set to +# '$srcdir', '$srcdir/..', or '$srcdir/../..'. # # Of course, Automake must honor this variable whenever it calls a # tool from the auxiliary directory. The problem is that $srcdir (and @@ -90,7 +85,7 @@ # # The reason of the latter failure is that $top_srcdir and $ac_aux_dir # are both prefixed by $srcdir. In an in-source build this is usually -# harmless because $srcdir is `.', but things will broke when you +# harmless because $srcdir is '.', but things will broke when you # start a VPATH build or use an absolute $srcdir. # # So we could use something similar to $top_srcdir/$ac_aux_dir/missing, @@ -116,22 +111,19 @@ # AM_CONDITIONAL -*- Autoconf -*- -# Copyright (C) 1997, 2000, 2001, 2003, 2004, 2005, 2006, 2008 -# Free Software Foundation, Inc. +# Copyright (C) 1997-2013 Free Software Foundation, Inc. # # This file is free software; the Free Software Foundation # gives unlimited permission to copy and/or distribute it, # with or without modifications, as long as this notice is preserved. -# serial 9 - # AM_CONDITIONAL(NAME, SHELL-CONDITION) # ------------------------------------- # Define a conditional. AC_DEFUN([AM_CONDITIONAL], -[AC_PREREQ(2.52)dnl - ifelse([$1], [TRUE], [AC_FATAL([$0: invalid condition: $1])], - [$1], [FALSE], [AC_FATAL([$0: invalid condition: $1])])dnl +[AC_PREREQ([2.52])dnl + m4_if([$1], [TRUE], [AC_FATAL([$0: invalid condition: $1])], + [$1], [FALSE], [AC_FATAL([$0: invalid condition: $1])])dnl AC_SUBST([$1_TRUE])dnl AC_SUBST([$1_FALSE])dnl _AM_SUBST_NOTMAKE([$1_TRUE])dnl @@ -150,16 +142,14 @@ Usually this means the macro was only invoked conditionally.]]) fi])]) -# Copyright (C) 1999, 2000, 2001, 2002, 2003, 2004, 2005, 2006, 2009, -# 2010, 2011 Free Software Foundation, Inc. +# Copyright (C) 1999-2013 Free Software Foundation, Inc. # # This file is free software; the Free Software Foundation # gives unlimited permission to copy and/or distribute it, # with or without modifications, as long as this notice is preserved. -# serial 12 -# There are a few dirty hacks below to avoid letting `AC_PROG_CC' be +# There are a few dirty hacks below to avoid letting 'AC_PROG_CC' be # written in clear, in which case automake, when reading aclocal.m4, # will think it sees a *use*, and therefore will trigger all it's # C support machinery. Also note that it means that autoscan, seeing @@ -169,7 +159,7 @@ # _AM_DEPENDENCIES(NAME) # ---------------------- # See how the compiler implements dependency checking. -# NAME is "CC", "CXX", "GCJ", or "OBJC". +# NAME is "CC", "CXX", "OBJC", "OBJCXX", "UPC", or "GJC". # We try a few techniques and use that to set a single cache variable. # # We don't AC_REQUIRE the corresponding AC_PROG_CC since the latter was @@ -182,12 +172,13 @@ AC_REQUIRE([AM_MAKE_INCLUDE])dnl AC_REQUIRE([AM_DEP_TRACK])dnl -ifelse([$1], CC, [depcc="$CC" am_compiler_list=], - [$1], CXX, [depcc="$CXX" am_compiler_list=], - [$1], OBJC, [depcc="$OBJC" am_compiler_list='gcc3 gcc'], - [$1], UPC, [depcc="$UPC" am_compiler_list=], - [$1], GCJ, [depcc="$GCJ" am_compiler_list='gcc3 gcc'], - [depcc="$$1" am_compiler_list=]) +m4_if([$1], [CC], [depcc="$CC" am_compiler_list=], + [$1], [CXX], [depcc="$CXX" am_compiler_list=], + [$1], [OBJC], [depcc="$OBJC" am_compiler_list='gcc3 gcc'], + [$1], [OBJCXX], [depcc="$OBJCXX" am_compiler_list='gcc3 gcc'], + [$1], [UPC], [depcc="$UPC" am_compiler_list=], + [$1], [GCJ], [depcc="$GCJ" am_compiler_list='gcc3 gcc'], + [depcc="$$1" am_compiler_list=]) AC_CACHE_CHECK([dependency style of $depcc], [am_cv_$1_dependencies_compiler_type], @@ -195,8 +186,8 @@ # We make a subdir and do the tests there. Otherwise we can end up # making bogus files that we don't know about and never remove. For # instance it was reported that on HP-UX the gcc test will end up - # making a dummy file named `D' -- because `-MD' means `put the output - # in D'. + # making a dummy file named 'D' -- because '-MD' means "put the output + # in D". rm -rf conftest.dir mkdir conftest.dir # Copy depcomp to subdir because otherwise we won't find it if we're @@ -236,16 +227,16 @@ : > sub/conftest.c for i in 1 2 3 4 5 6; do echo '#include "conftst'$i'.h"' >> sub/conftest.c - # Using `: > sub/conftst$i.h' creates only sub/conftst1.h with - # Solaris 8's {/usr,}/bin/sh. - touch sub/conftst$i.h + # Using ": > sub/conftst$i.h" creates only sub/conftst1.h with + # Solaris 10 /bin/sh. + echo '/* dummy */' > sub/conftst$i.h done echo "${am__include} ${am__quote}sub/conftest.Po${am__quote}" > confmf - # We check with `-c' and `-o' for the sake of the "dashmstdout" + # We check with '-c' and '-o' for the sake of the "dashmstdout" # mode. It turns out that the SunPro C++ compiler does not properly - # handle `-M -o', and we need to detect this. Also, some Intel - # versions had trouble with output in subdirs + # handle '-M -o', and we need to detect this. Also, some Intel + # versions had trouble with output in subdirs. am__obj=sub/conftest.${OBJEXT-o} am__minus_obj="-o $am__obj" case $depmode in @@ -254,8 +245,8 @@ test "$am__universal" = false || continue ;; nosideeffect) - # after this tag, mechanisms are not by side-effect, so they'll - # only be used when explicitly requested + # After this tag, mechanisms are not by side-effect, so they'll + # only be used when explicitly requested. if test "x$enable_dependency_tracking" = xyes; then continue else @@ -263,7 +254,7 @@ fi ;; msvc7 | msvc7msys | msvisualcpp | msvcmsys) - # This compiler won't grok `-c -o', but also, the minuso test has + # This compiler won't grok '-c -o', but also, the minuso test has # not run yet. These depmodes are late enough in the game, and # so weak that their functioning should not be impacted. am__obj=conftest.${OBJEXT-o} @@ -311,7 +302,7 @@ # AM_SET_DEPDIR # ------------- # Choose a directory name for dependency files. -# This macro is AC_REQUIREd in _AM_DEPENDENCIES +# This macro is AC_REQUIREd in _AM_DEPENDENCIES. AC_DEFUN([AM_SET_DEPDIR], [AC_REQUIRE([AM_SET_LEADING_DOT])dnl AC_SUBST([DEPDIR], ["${am__leading_dot}deps"])dnl @@ -321,9 +312,13 @@ # AM_DEP_TRACK # ------------ AC_DEFUN([AM_DEP_TRACK], -[AC_ARG_ENABLE(dependency-tracking, -[ --disable-dependency-tracking speeds up one-time build - --enable-dependency-tracking do not reject slow dependency extractors]) +[AC_ARG_ENABLE([dependency-tracking], [dnl +AS_HELP_STRING( + [--enable-dependency-tracking], + [do not reject slow dependency extractors]) +AS_HELP_STRING( + [--disable-dependency-tracking], + [speeds up one-time build])]) if test "x$enable_dependency_tracking" != xno; then am_depcomp="$ac_aux_dir/depcomp" AMDEPBACKSLASH='\' @@ -338,20 +333,18 @@ # Generate code to set up dependency tracking. -*- Autoconf -*- -# Copyright (C) 1999, 2000, 2001, 2002, 2003, 2004, 2005, 2008 -# Free Software Foundation, Inc. +# Copyright (C) 1999-2013 Free Software Foundation, Inc. # # This file is free software; the Free Software Foundation # gives unlimited permission to copy and/or distribute it, # with or without modifications, as long as this notice is preserved. -#serial 5 # _AM_OUTPUT_DEPENDENCY_COMMANDS # ------------------------------ AC_DEFUN([_AM_OUTPUT_DEPENDENCY_COMMANDS], [{ - # Autoconf 2.62 quotes --file arguments for eval, but not when files + # Older Autoconf quotes --file arguments for eval, but not when files # are listed without --file. Let's play safe and only enable the eval # if we detect the quoting. case $CONFIG_FILES in @@ -364,7 +357,7 @@ # Strip MF so we end up with the name of the file. mf=`echo "$mf" | sed -e 's/:.*$//'` # Check whether this is an Automake generated Makefile or not. - # We used to match only the files named `Makefile.in', but + # We used to match only the files named 'Makefile.in', but # some people rename them; so instead we look at the file content. # Grep'ing the first line is not enough: some people post-process # each Makefile.in and add a new line on top of each file to say so. @@ -376,21 +369,19 @@ continue fi # Extract the definition of DEPDIR, am__include, and am__quote - # from the Makefile without running `make'. + # from the Makefile without running 'make'. DEPDIR=`sed -n 's/^DEPDIR = //p' < "$mf"` test -z "$DEPDIR" && continue am__include=`sed -n 's/^am__include = //p' < "$mf"` - test -z "am__include" && continue + test -z "$am__include" && continue am__quote=`sed -n 's/^am__quote = //p' < "$mf"` - # When using ansi2knr, U may be empty or an underscore; expand it - U=`sed -n 's/^U = //p' < "$mf"` # Find all dependency output files, they are included files with # $(DEPDIR) in their names. We invoke sed twice because it is the # simplest approach to changing $(DEPDIR) to its actual value in the # expansion. for file in `sed -n " s/^$am__include $am__quote\(.*(DEPDIR).*\)$am__quote"'$/\1/p' <"$mf" | \ - sed -e 's/\$(DEPDIR)/'"$DEPDIR"'/g' -e 's/\$U/'"$U"'/g'`; do + sed -e 's/\$(DEPDIR)/'"$DEPDIR"'/g'`; do # Make sure the directory exists. test -f "$dirpart/$file" && continue fdir=`AS_DIRNAME(["$file"])` @@ -408,7 +399,7 @@ # This macro should only be invoked once -- use via AC_REQUIRE. # # This code is only required when automatic dependency tracking -# is enabled. FIXME. This creates each `.P' file that we will +# is enabled. FIXME. This creates each '.P' file that we will # need in order to bootstrap the dependency handling code. AC_DEFUN([AM_OUTPUT_DEPENDENCY_COMMANDS], [AC_CONFIG_COMMANDS([depfiles], @@ -418,15 +409,12 @@ # Do all the work for Automake. -*- Autoconf -*- -# Copyright (C) 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004, -# 2005, 2006, 2008, 2009 Free Software Foundation, Inc. +# Copyright (C) 1996-2013 Free Software Foundation, Inc. # # This file is free software; the Free Software Foundation # gives unlimited permission to copy and/or distribute it, # with or without modifications, as long as this notice is preserved. -# serial 16 - # This macro actually does too much. Some checks are only needed if # your package does certain things. But this isn't really a big deal. @@ -442,7 +430,7 @@ # arguments mandatory, and then we can depend on a new Autoconf # release and drop the old call support. AC_DEFUN([AM_INIT_AUTOMAKE], -[AC_PREREQ([2.62])dnl +[AC_PREREQ([2.65])dnl dnl Autoconf wants to disallow AM_ names. We explicitly allow dnl the ones we care about. m4_pattern_allow([^AM_[A-Z]+FLAGS$])dnl @@ -471,31 +459,40 @@ # Define the identity of the package. dnl Distinguish between old-style and new-style calls. m4_ifval([$2], -[m4_ifval([$3], [_AM_SET_OPTION([no-define])])dnl +[AC_DIAGNOSE([obsolete], + [$0: two- and three-arguments forms are deprecated.]) +m4_ifval([$3], [_AM_SET_OPTION([no-define])])dnl AC_SUBST([PACKAGE], [$1])dnl AC_SUBST([VERSION], [$2])], [_AM_SET_OPTIONS([$1])dnl dnl Diagnose old-style AC_INIT with new-style AM_AUTOMAKE_INIT. -m4_if(m4_ifdef([AC_PACKAGE_NAME], 1)m4_ifdef([AC_PACKAGE_VERSION], 1), 11,, +m4_if( + m4_ifdef([AC_PACKAGE_NAME], [ok]):m4_ifdef([AC_PACKAGE_VERSION], [ok]), + [ok:ok],, [m4_fatal([AC_INIT should be called with package and version arguments])])dnl AC_SUBST([PACKAGE], ['AC_PACKAGE_TARNAME'])dnl AC_SUBST([VERSION], ['AC_PACKAGE_VERSION'])])dnl _AM_IF_OPTION([no-define],, -[AC_DEFINE_UNQUOTED(PACKAGE, "$PACKAGE", [Name of package]) - AC_DEFINE_UNQUOTED(VERSION, "$VERSION", [Version number of package])])dnl +[AC_DEFINE_UNQUOTED([PACKAGE], ["$PACKAGE"], [Name of package]) + AC_DEFINE_UNQUOTED([VERSION], ["$VERSION"], [Version number of package])])dnl # Some tools Automake needs. AC_REQUIRE([AM_SANITY_CHECK])dnl AC_REQUIRE([AC_ARG_PROGRAM])dnl -AM_MISSING_PROG(ACLOCAL, aclocal-${am__api_version}) -AM_MISSING_PROG(AUTOCONF, autoconf) -AM_MISSING_PROG(AUTOMAKE, automake-${am__api_version}) -AM_MISSING_PROG(AUTOHEADER, autoheader) -AM_MISSING_PROG(MAKEINFO, makeinfo) +AM_MISSING_PROG([ACLOCAL], [aclocal-${am__api_version}]) +AM_MISSING_PROG([AUTOCONF], [autoconf]) +AM_MISSING_PROG([AUTOMAKE], [automake-${am__api_version}]) +AM_MISSING_PROG([AUTOHEADER], [autoheader]) +AM_MISSING_PROG([MAKEINFO], [makeinfo]) AC_REQUIRE([AM_PROG_INSTALL_SH])dnl AC_REQUIRE([AM_PROG_INSTALL_STRIP])dnl -AC_REQUIRE([AM_PROG_MKDIR_P])dnl +AC_REQUIRE([AC_PROG_MKDIR_P])dnl +# For better backward compatibility. To be removed once Automake 1.9.x +# dies out for good. For more background, see: +# +# +AC_SUBST([mkdir_p], ['$(MKDIR_P)']) # We need awk for the "check" target. The system "awk" is bad on # some platforms. AC_REQUIRE([AC_PROG_AWK])dnl @@ -506,28 +503,32 @@ [_AM_PROG_TAR([v7])])]) _AM_IF_OPTION([no-dependencies],, [AC_PROVIDE_IFELSE([AC_PROG_CC], - [_AM_DEPENDENCIES(CC)], - [define([AC_PROG_CC], - defn([AC_PROG_CC])[_AM_DEPENDENCIES(CC)])])dnl + [_AM_DEPENDENCIES([CC])], + [m4_define([AC_PROG_CC], + m4_defn([AC_PROG_CC])[_AM_DEPENDENCIES([CC])])])dnl AC_PROVIDE_IFELSE([AC_PROG_CXX], - [_AM_DEPENDENCIES(CXX)], - [define([AC_PROG_CXX], - defn([AC_PROG_CXX])[_AM_DEPENDENCIES(CXX)])])dnl + [_AM_DEPENDENCIES([CXX])], + [m4_define([AC_PROG_CXX], + m4_defn([AC_PROG_CXX])[_AM_DEPENDENCIES([CXX])])])dnl AC_PROVIDE_IFELSE([AC_PROG_OBJC], - [_AM_DEPENDENCIES(OBJC)], - [define([AC_PROG_OBJC], - defn([AC_PROG_OBJC])[_AM_DEPENDENCIES(OBJC)])])dnl -]) -_AM_IF_OPTION([silent-rules], [AC_REQUIRE([AM_SILENT_RULES])])dnl -dnl The `parallel-tests' driver may need to know about EXEEXT, so add the -dnl `am__EXEEXT' conditional if _AM_COMPILER_EXEEXT was seen. This macro -dnl is hooked onto _AC_COMPILER_EXEEXT early, see below. + [_AM_DEPENDENCIES([OBJC])], + [m4_define([AC_PROG_OBJC], + m4_defn([AC_PROG_OBJC])[_AM_DEPENDENCIES([OBJC])])])dnl +AC_PROVIDE_IFELSE([AC_PROG_OBJCXX], + [_AM_DEPENDENCIES([OBJCXX])], + [m4_define([AC_PROG_OBJCXX], + m4_defn([AC_PROG_OBJCXX])[_AM_DEPENDENCIES([OBJCXX])])])dnl +]) +AC_REQUIRE([AM_SILENT_RULES])dnl +dnl The testsuite driver may need to know about EXEEXT, so add the +dnl 'am__EXEEXT' conditional if _AM_COMPILER_EXEEXT was seen. This +dnl macro is hooked onto _AC_COMPILER_EXEEXT early, see below. AC_CONFIG_COMMANDS_PRE(dnl [m4_provide_if([_AM_COMPILER_EXEEXT], [AM_CONDITIONAL([am__EXEEXT], [test -n "$EXEEXT"])])])dnl ]) -dnl Hook into `_AC_COMPILER_EXEEXT' early to learn its expansion. Do not +dnl Hook into '_AC_COMPILER_EXEEXT' early to learn its expansion. Do not dnl add the conditional right here, as _AC_COMPILER_EXEEXT may be further dnl mangled by Autoconf and run in a shell conditional statement. m4_define([_AC_COMPILER_EXEEXT], @@ -555,15 +556,12 @@ done echo "timestamp for $_am_arg" >`AS_DIRNAME(["$_am_arg"])`/stamp-h[]$_am_stamp_count]) -# Copyright (C) 2001, 2003, 2005, 2008, 2011 Free Software Foundation, -# Inc. +# Copyright (C) 2001-2013 Free Software Foundation, Inc. # # This file is free software; the Free Software Foundation # gives unlimited permission to copy and/or distribute it, # with or without modifications, as long as this notice is preserved. -# serial 1 - # AM_PROG_INSTALL_SH # ------------------ # Define $install_sh. @@ -577,16 +575,14 @@ install_sh="\${SHELL} $am_aux_dir/install-sh" esac fi -AC_SUBST(install_sh)]) +AC_SUBST([install_sh])]) -# Copyright (C) 2003, 2005 Free Software Foundation, Inc. +# Copyright (C) 2003-2013 Free Software Foundation, Inc. # # This file is free software; the Free Software Foundation # gives unlimited permission to copy and/or distribute it, # with or without modifications, as long as this notice is preserved. -# serial 2 - # Check whether the underlying file-system supports filenames # with a leading dot. For instance MS-DOS doesn't. AC_DEFUN([AM_SET_LEADING_DOT], @@ -602,14 +598,12 @@ # Check to see how 'make' treats includes. -*- Autoconf -*- -# Copyright (C) 2001, 2002, 2003, 2005, 2009 Free Software Foundation, Inc. +# Copyright (C) 2001-2013 Free Software Foundation, Inc. # # This file is free software; the Free Software Foundation # gives unlimited permission to copy and/or distribute it, # with or without modifications, as long as this notice is preserved. -# serial 4 - # AM_MAKE_INCLUDE() # ----------------- # Check to see how make treats includes. @@ -627,7 +621,7 @@ _am_result=none # First try GNU make style include. echo "include confinc" > confmf -# Ignore all kinds of additional output from `make'. +# Ignore all kinds of additional output from 'make'. case `$am_make -s -f confmf 2> /dev/null` in #( *the\ am__doit\ target*) am__include=include @@ -652,15 +646,12 @@ rm -f confinc confmf ]) -# Copyright (C) 1999, 2000, 2001, 2003, 2004, 2005, 2008 -# Free Software Foundation, Inc. +# Copyright (C) 1999-2013 Free Software Foundation, Inc. # # This file is free software; the Free Software Foundation # gives unlimited permission to copy and/or distribute it, # with or without modifications, as long as this notice is preserved. -# serial 6 - # AM_PROG_CC_C_O # -------------- # Like AC_PROG_CC_C_O, but changed for automake. @@ -689,15 +680,12 @@ # Fake the existence of programs that GNU maintainers use. -*- Autoconf -*- -# Copyright (C) 1997, 1999, 2000, 2001, 2003, 2004, 2005, 2008 -# Free Software Foundation, Inc. +# Copyright (C) 1997-2013 Free Software Foundation, Inc. # # This file is free software; the Free Software Foundation # gives unlimited permission to copy and/or distribute it, # with or without modifications, as long as this notice is preserved. -# serial 6 - # AM_MISSING_PROG(NAME, PROGRAM) # ------------------------------ AC_DEFUN([AM_MISSING_PROG], @@ -705,11 +693,10 @@ $1=${$1-"${am_missing_run}$2"} AC_SUBST($1)]) - # AM_MISSING_HAS_RUN # ------------------ -# Define MISSING if not defined so far and test if it supports --run. -# If it does, set am_missing_run to use it, otherwise, to nothing. +# Define MISSING if not defined so far and test if it is modern enough. +# If it is, set am_missing_run to use it, otherwise, to nothing. AC_DEFUN([AM_MISSING_HAS_RUN], [AC_REQUIRE([AM_AUX_DIR_EXPAND])dnl AC_REQUIRE_AUX_FILE([missing])dnl @@ -722,54 +709,22 @@ esac fi # Use eval to expand $SHELL -if eval "$MISSING --run true"; then - am_missing_run="$MISSING --run " +if eval "$MISSING --is-lightweight"; then + am_missing_run="$MISSING " else am_missing_run= - AC_MSG_WARN([`missing' script is too old or missing]) + AC_MSG_WARN(['missing' script is too old or missing]) fi ]) -# Copyright (C) 2003, 2004, 2005, 2006, 2011 Free Software Foundation, -# Inc. -# -# This file is free software; the Free Software Foundation -# gives unlimited permission to copy and/or distribute it, -# with or without modifications, as long as this notice is preserved. - -# serial 1 - -# AM_PROG_MKDIR_P -# --------------- -# Check for `mkdir -p'. -AC_DEFUN([AM_PROG_MKDIR_P], -[AC_PREREQ([2.60])dnl -AC_REQUIRE([AC_PROG_MKDIR_P])dnl -dnl Automake 1.8 to 1.9.6 used to define mkdir_p. We now use MKDIR_P, -dnl while keeping a definition of mkdir_p for backward compatibility. -dnl @MKDIR_P@ is magic: AC_OUTPUT adjusts its value for each Makefile. -dnl However we cannot define mkdir_p as $(MKDIR_P) for the sake of -dnl Makefile.ins that do not define MKDIR_P, so we do our own -dnl adjustment using top_builddir (which is defined more often than -dnl MKDIR_P). -AC_SUBST([mkdir_p], ["$MKDIR_P"])dnl -case $mkdir_p in - [[\\/$]]* | ?:[[\\/]]*) ;; - */*) mkdir_p="\$(top_builddir)/$mkdir_p" ;; -esac -]) - # Helper functions for option handling. -*- Autoconf -*- -# Copyright (C) 2001, 2002, 2003, 2005, 2008, 2010 Free Software -# Foundation, Inc. +# Copyright (C) 2001-2013 Free Software Foundation, Inc. # # This file is free software; the Free Software Foundation # gives unlimited permission to copy and/or distribute it, # with or without modifications, as long as this notice is preserved. -# serial 5 - # _AM_MANGLE_OPTION(NAME) # ----------------------- AC_DEFUN([_AM_MANGLE_OPTION], @@ -779,7 +734,7 @@ # -------------------- # Set option NAME. Presently that only means defining a flag for this option. AC_DEFUN([_AM_SET_OPTION], -[m4_define(_AM_MANGLE_OPTION([$1]), 1)]) +[m4_define(_AM_MANGLE_OPTION([$1]), [1])]) # _AM_SET_OPTIONS(OPTIONS) # ------------------------ @@ -795,22 +750,16 @@ # Check to make sure that the build environment is sane. -*- Autoconf -*- -# Copyright (C) 1996, 1997, 2000, 2001, 2003, 2005, 2008 -# Free Software Foundation, Inc. +# Copyright (C) 1996-2013 Free Software Foundation, Inc. # # This file is free software; the Free Software Foundation # gives unlimited permission to copy and/or distribute it, # with or without modifications, as long as this notice is preserved. -# serial 5 - # AM_SANITY_CHECK # --------------- AC_DEFUN([AM_SANITY_CHECK], [AC_MSG_CHECKING([whether build environment is sane]) -# Just in case -sleep 1 -echo timestamp > conftest.file # Reject unsafe characters in $srcdir or the absolute working directory # name. Accept space and tab only in the latter. am_lf=' @@ -821,32 +770,40 @@ esac case $srcdir in *[[\\\"\#\$\&\'\`$am_lf\ \ ]]*) - AC_MSG_ERROR([unsafe srcdir value: `$srcdir']);; + AC_MSG_ERROR([unsafe srcdir value: '$srcdir']);; esac -# Do `set' in a subshell so we don't clobber the current shell's +# Do 'set' in a subshell so we don't clobber the current shell's # arguments. Must try -L first in case configure is actually a # symlink; some systems play weird games with the mod time of symlinks # (eg FreeBSD returns the mod time of the symlink's containing # directory). if ( - set X `ls -Lt "$srcdir/configure" conftest.file 2> /dev/null` - if test "$[*]" = "X"; then - # -L didn't work. - set X `ls -t "$srcdir/configure" conftest.file` - fi - rm -f conftest.file - if test "$[*]" != "X $srcdir/configure conftest.file" \ - && test "$[*]" != "X conftest.file $srcdir/configure"; then - - # If neither matched, then we have a broken ls. This can happen - # if, for instance, CONFIG_SHELL is bash and it inherits a - # broken ls alias from the environment. This has actually - # happened. Such a system could not be considered "sane". - AC_MSG_ERROR([ls -t appears to fail. Make sure there is not a broken -alias in your environment]) - fi - + am_has_slept=no + for am_try in 1 2; do + echo "timestamp, slept: $am_has_slept" > conftest.file + set X `ls -Lt "$srcdir/configure" conftest.file 2> /dev/null` + if test "$[*]" = "X"; then + # -L didn't work. + set X `ls -t "$srcdir/configure" conftest.file` + fi + if test "$[*]" != "X $srcdir/configure conftest.file" \ + && test "$[*]" != "X conftest.file $srcdir/configure"; then + + # If neither matched, then we have a broken ls. This can happen + # if, for instance, CONFIG_SHELL is bash and it inherits a + # broken ls alias from the environment. This has actually + # happened. Such a system could not be considered "sane". + AC_MSG_ERROR([ls -t appears to fail. Make sure there is not a broken + alias in your environment]) + fi + if test "$[2]" = conftest.file || test $am_try -eq 2; then + break + fi + # Just in case. + sleep 1 + am_has_slept=yes + done test "$[2]" = conftest.file ) then @@ -856,46 +813,118 @@ AC_MSG_ERROR([newly created file is older than distributed files! Check your system clock]) fi -AC_MSG_RESULT(yes)]) +AC_MSG_RESULT([yes]) +# If we didn't sleep, we still need to ensure time stamps of config.status and +# generated files are strictly newer. +am_sleep_pid= +if grep 'slept: no' conftest.file >/dev/null 2>&1; then + ( sleep 1 ) & + am_sleep_pid=$! +fi +AC_CONFIG_COMMANDS_PRE( + [AC_MSG_CHECKING([that generated files are newer than configure]) + if test -n "$am_sleep_pid"; then + # Hide warnings about reused PIDs. + wait $am_sleep_pid 2>/dev/null + fi + AC_MSG_RESULT([done])]) +rm -f conftest.file +]) -# Copyright (C) 2001, 2003, 2005, 2011 Free Software Foundation, Inc. +# Copyright (C) 2009-2013 Free Software Foundation, Inc. # # This file is free software; the Free Software Foundation # gives unlimited permission to copy and/or distribute it, # with or without modifications, as long as this notice is preserved. -# serial 1 +# AM_SILENT_RULES([DEFAULT]) +# -------------------------- +# Enable less verbose build rules; with the default set to DEFAULT +# ("yes" being less verbose, "no" or empty being verbose). +AC_DEFUN([AM_SILENT_RULES], +[AC_ARG_ENABLE([silent-rules], [dnl +AS_HELP_STRING( + [--enable-silent-rules], + [less verbose build output (undo: "make V=1")]) +AS_HELP_STRING( + [--disable-silent-rules], + [verbose build output (undo: "make V=0")])dnl +]) +case $enable_silent_rules in @%:@ ((( + yes) AM_DEFAULT_VERBOSITY=0;; + no) AM_DEFAULT_VERBOSITY=1;; + *) AM_DEFAULT_VERBOSITY=m4_if([$1], [yes], [0], [1]);; +esac +dnl +dnl A few 'make' implementations (e.g., NonStop OS and NextStep) +dnl do not support nested variable expansions. +dnl See automake bug#9928 and bug#10237. +am_make=${MAKE-make} +AC_CACHE_CHECK([whether $am_make supports nested variables], + [am_cv_make_support_nested_variables], + [if AS_ECHO([['TRUE=$(BAR$(V)) +BAR0=false +BAR1=true +V=1 +am__doit: + @$(TRUE) +.PHONY: am__doit']]) | $am_make -f - >/dev/null 2>&1; then + am_cv_make_support_nested_variables=yes +else + am_cv_make_support_nested_variables=no +fi]) +if test $am_cv_make_support_nested_variables = yes; then + dnl Using '$V' instead of '$(V)' breaks IRIX make. + AM_V='$(V)' + AM_DEFAULT_V='$(AM_DEFAULT_VERBOSITY)' +else + AM_V=$AM_DEFAULT_VERBOSITY + AM_DEFAULT_V=$AM_DEFAULT_VERBOSITY +fi +AC_SUBST([AM_V])dnl +AM_SUBST_NOTMAKE([AM_V])dnl +AC_SUBST([AM_DEFAULT_V])dnl +AM_SUBST_NOTMAKE([AM_DEFAULT_V])dnl +AC_SUBST([AM_DEFAULT_VERBOSITY])dnl +AM_BACKSLASH='\' +AC_SUBST([AM_BACKSLASH])dnl +_AM_SUBST_NOTMAKE([AM_BACKSLASH])dnl +]) + +# Copyright (C) 2001-2013 Free Software Foundation, Inc. +# +# This file is free software; the Free Software Foundation +# gives unlimited permission to copy and/or distribute it, +# with or without modifications, as long as this notice is preserved. # AM_PROG_INSTALL_STRIP # --------------------- -# One issue with vendor `install' (even GNU) is that you can't +# One issue with vendor 'install' (even GNU) is that you can't # specify the program used to strip binaries. This is especially # annoying in cross-compiling environments, where the build's strip # is unlikely to handle the host's binaries. # Fortunately install-sh will honor a STRIPPROG variable, so we -# always use install-sh in `make install-strip', and initialize +# always use install-sh in "make install-strip", and initialize # STRIPPROG with the value of the STRIP variable (set by the user). AC_DEFUN([AM_PROG_INSTALL_STRIP], [AC_REQUIRE([AM_PROG_INSTALL_SH])dnl -# Installed binaries are usually stripped using `strip' when the user -# run `make install-strip'. However `strip' might not be the right +# Installed binaries are usually stripped using 'strip' when the user +# run "make install-strip". However 'strip' might not be the right # tool to use in cross-compilation environments, therefore Automake -# will honor the `STRIP' environment variable to overrule this program. -dnl Don't test for $cross_compiling = yes, because it might be `maybe'. +# will honor the 'STRIP' environment variable to overrule this program. +dnl Don't test for $cross_compiling = yes, because it might be 'maybe'. if test "$cross_compiling" != no; then AC_CHECK_TOOL([STRIP], [strip], :) fi INSTALL_STRIP_PROGRAM="\$(install_sh) -c -s" AC_SUBST([INSTALL_STRIP_PROGRAM])]) -# Copyright (C) 2006, 2008, 2010 Free Software Foundation, Inc. +# Copyright (C) 2006-2013 Free Software Foundation, Inc. # # This file is free software; the Free Software Foundation # gives unlimited permission to copy and/or distribute it, # with or without modifications, as long as this notice is preserved. -# serial 3 - # _AM_SUBST_NOTMAKE(VARIABLE) # --------------------------- # Prevent Automake from outputting VARIABLE = @VARIABLE@ in Makefile.in. @@ -909,18 +938,16 @@ # Check how to create a tarball. -*- Autoconf -*- -# Copyright (C) 2004, 2005, 2012 Free Software Foundation, Inc. +# Copyright (C) 2004-2013 Free Software Foundation, Inc. # # This file is free software; the Free Software Foundation # gives unlimited permission to copy and/or distribute it, # with or without modifications, as long as this notice is preserved. -# serial 2 - # _AM_PROG_TAR(FORMAT) # -------------------- # Check how to create a tarball in format FORMAT. -# FORMAT should be one of `v7', `ustar', or `pax'. +# FORMAT should be one of 'v7', 'ustar', or 'pax'. # # Substitute a variable $(am__tar) that is a command # writing to stdout a FORMAT-tarball containing the directory @@ -930,76 +957,114 @@ # Substitute a variable $(am__untar) that extract such # a tarball read from stdin. # $(am__untar) < result.tar +# AC_DEFUN([_AM_PROG_TAR], [# Always define AMTAR for backward compatibility. Yes, it's still used # in the wild :-( We should find a proper way to deprecate it ... AC_SUBST([AMTAR], ['$${TAR-tar}']) -m4_if([$1], [v7], - [am__tar='$${TAR-tar} chof - "$$tardir"' am__untar='$${TAR-tar} xf -'], - [m4_case([$1], [ustar],, [pax],, - [m4_fatal([Unknown tar format])]) -AC_MSG_CHECKING([how to create a $1 tar archive]) -# Loop over all known methods to create a tar archive until one works. + +# We'll loop over all known methods to create a tar archive until one works. _am_tools='gnutar m4_if([$1], [ustar], [plaintar]) pax cpio none' -_am_tools=${am_cv_prog_tar_$1-$_am_tools} -# Do not fold the above two line into one, because Tru64 sh and -# Solaris sh will not grok spaces in the rhs of `-'. -for _am_tool in $_am_tools -do - case $_am_tool in - gnutar) - for _am_tar in tar gnutar gtar; - do - AM_RUN_LOG([$_am_tar --version]) && break - done - am__tar="$_am_tar --format=m4_if([$1], [pax], [posix], [$1]) -chf - "'"$$tardir"' - am__tar_="$_am_tar --format=m4_if([$1], [pax], [posix], [$1]) -chf - "'"$tardir"' - am__untar="$_am_tar -xf -" - ;; - plaintar) - # Must skip GNU tar: if it does not support --format= it doesn't create - # ustar tarball either. - (tar --version) >/dev/null 2>&1 && continue - am__tar='tar chf - "$$tardir"' - am__tar_='tar chf - "$tardir"' - am__untar='tar xf -' - ;; - pax) - am__tar='pax -L -x $1 -w "$$tardir"' - am__tar_='pax -L -x $1 -w "$tardir"' - am__untar='pax -r' - ;; - cpio) - am__tar='find "$$tardir" -print | cpio -o -H $1 -L' - am__tar_='find "$tardir" -print | cpio -o -H $1 -L' - am__untar='cpio -i -H $1 -d' - ;; - none) - am__tar=false - am__tar_=false - am__untar=false - ;; - esac - # If the value was cached, stop now. We just wanted to have am__tar - # and am__untar set. - test -n "${am_cv_prog_tar_$1}" && break +m4_if([$1], [v7], + [am__tar='$${TAR-tar} chof - "$$tardir"' am__untar='$${TAR-tar} xf -'], - # tar/untar a dummy directory, and stop if the command works - rm -rf conftest.dir - mkdir conftest.dir - echo GrepMe > conftest.dir/file - AM_RUN_LOG([tardir=conftest.dir && eval $am__tar_ >conftest.tar]) + [m4_case([$1], + [ustar], + [# The POSIX 1988 'ustar' format is defined with fixed-size fields. + # There is notably a 21 bits limit for the UID and the GID. In fact, + # the 'pax' utility can hang on bigger UID/GID (see automake bug#8343 + # and bug#13588). + am_max_uid=2097151 # 2^21 - 1 + am_max_gid=$am_max_uid + # The $UID and $GID variables are not portable, so we need to resort + # to the POSIX-mandated id(1) utility. Errors in the 'id' calls + # below are definitely unexpected, so allow the users to see them + # (that is, avoid stderr redirection). + am_uid=`id -u || echo unknown` + am_gid=`id -g || echo unknown` + AC_MSG_CHECKING([whether UID '$am_uid' is supported by ustar format]) + if test $am_uid -le $am_max_uid; then + AC_MSG_RESULT([yes]) + else + AC_MSG_RESULT([no]) + _am_tools=none + fi + AC_MSG_CHECKING([whether GID '$am_gid' is supported by ustar format]) + if test $am_gid -le $am_max_gid; then + AC_MSG_RESULT([yes]) + else + AC_MSG_RESULT([no]) + _am_tools=none + fi], + + [pax], + [], + + [m4_fatal([Unknown tar format])]) + + AC_MSG_CHECKING([how to create a $1 tar archive]) + + # Go ahead even if we have the value already cached. We do so because we + # need to set the values for the 'am__tar' and 'am__untar' variables. + _am_tools=${am_cv_prog_tar_$1-$_am_tools} + + for _am_tool in $_am_tools; do + case $_am_tool in + gnutar) + for _am_tar in tar gnutar gtar; do + AM_RUN_LOG([$_am_tar --version]) && break + done + am__tar="$_am_tar --format=m4_if([$1], [pax], [posix], [$1]) -chf - "'"$$tardir"' + am__tar_="$_am_tar --format=m4_if([$1], [pax], [posix], [$1]) -chf - "'"$tardir"' + am__untar="$_am_tar -xf -" + ;; + plaintar) + # Must skip GNU tar: if it does not support --format= it doesn't create + # ustar tarball either. + (tar --version) >/dev/null 2>&1 && continue + am__tar='tar chf - "$$tardir"' + am__tar_='tar chf - "$tardir"' + am__untar='tar xf -' + ;; + pax) + am__tar='pax -L -x $1 -w "$$tardir"' + am__tar_='pax -L -x $1 -w "$tardir"' + am__untar='pax -r' + ;; + cpio) + am__tar='find "$$tardir" -print | cpio -o -H $1 -L' + am__tar_='find "$tardir" -print | cpio -o -H $1 -L' + am__untar='cpio -i -H $1 -d' + ;; + none) + am__tar=false + am__tar_=false + am__untar=false + ;; + esac + + # If the value was cached, stop now. We just wanted to have am__tar + # and am__untar set. + test -n "${am_cv_prog_tar_$1}" && break + + # tar/untar a dummy directory, and stop if the command works. + rm -rf conftest.dir + mkdir conftest.dir + echo GrepMe > conftest.dir/file + AM_RUN_LOG([tardir=conftest.dir && eval $am__tar_ >conftest.tar]) + rm -rf conftest.dir + if test -s conftest.tar; then + AM_RUN_LOG([$am__untar /dev/null 2>&1 && break + fi + done rm -rf conftest.dir - if test -s conftest.tar; then - AM_RUN_LOG([$am__untar /dev/null 2>&1 && break - fi -done -rm -rf conftest.dir -AC_CACHE_VAL([am_cv_prog_tar_$1], [am_cv_prog_tar_$1=$_am_tool]) -AC_MSG_RESULT([$am_cv_prog_tar_$1])]) + AC_CACHE_VAL([am_cv_prog_tar_$1], [am_cv_prog_tar_$1=$_am_tool]) + AC_MSG_RESULT([$am_cv_prog_tar_$1])]) + AC_SUBST([am__tar]) AC_SUBST([am__untar]) ]) # _AM_PROG_TAR diff -Nru fwknop-2.5.1/android/README fwknop-2.6.0/android/README --- fwknop-2.5.1/android/README 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/android/README 2014-01-13 03:29:04.000000000 +0000 @@ -6,6 +6,41 @@ Based on fwknop C implementation by Damien Stuart =============================================================================== +- For those that are interested in Android development for the fwknop project, +the ./project/sdk.paths file is meant to provide JAVE_HOME and PATH definitions +for the local JVM and the Android SDK and NDK installations. The general work +flow is to first update the paths in in the sdk.paths file to point to the +correct directories on your system, and you will also need to similarly update +the sdk.dir and ndk.dir paths in the ./android/project/ant.properties file. +Then: + +$ source ./project/sdk.paths + +- Then, start up the Android SDK: + +$ android & + +- Now, make updates to the fwknop/android/project/ files if implementing new +functionality or fixing bugs. Then, with an Android emulator running: + +$ cd ./project +$ ant clean +$ ant debug +$ adb install -r bin/fwknop-android-debug.apk + + - or - + +$ cd ./project +$ ant clean +$ ant release +$ adb install bin/fwknop-android-release-unsigned.apk + +- With the new Android app installed now in the emulator, you can fire it up +and use it to send SPA packets. + + +### Legacy instructions follow: ### + 1. Requirements: a. Apache Ant (1.7.1) diff -Nru fwknop-2.5.1/android/project/AndroidManifest.xml fwknop-2.6.0/android/project/AndroidManifest.xml --- fwknop-2.5.1/android/project/AndroidManifest.xml 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/android/project/AndroidManifest.xml 2014-01-13 03:29:04.000000000 +0000 @@ -14,6 +14,6 @@ - + diff -Nru fwknop-2.5.1/android/project/ant.properties fwknop-2.6.0/android/project/ant.properties --- fwknop-2.5.1/android/project/ant.properties 1970-01-01 00:00:00.000000000 +0000 +++ fwknop-2.6.0/android/project/ant.properties 2014-01-13 03:29:04.000000000 +0000 @@ -0,0 +1,22 @@ +# This file is used to override default values used by the Ant build system. +# +# This file must be checked into Version Control Systems, as it is +# integral to the build system of your project. + +# This file is only used by the Ant script. + +# You can use this to override default values such as +# 'source.dir' for the location of your java source folder and +# 'out.dir' for the location of your output folder. + +# You can also use it define how the release builds are signed by declaring +# the following properties: +# 'key.store' for the location of your keystore and +# 'key.alias' for the name of the key to use. +# The password will be asked during the build when you use the 'release' target. + +# location of the SDK. This is only used by Ant +# For customization when using a Version Control System, please read the +# header note. +sdk.dir=/home/mbr/android/android-sdk-linux +ndk.dir=/home/mbr/android/android-ndk-r9b diff -Nru fwknop-2.5.1/android/project/build.properties fwknop-2.6.0/android/project/build.properties --- fwknop-2.5.1/android/project/build.properties 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/android/project/build.properties 1970-01-01 00:00:00.000000000 +0000 @@ -1,16 +0,0 @@ -# SDK paths -sdk.dir=/home/dstuart/android-sdk-linux_86 -ndk.dir=/home/dstuart/android-ndk-r5 - -# if you want to deploy to a website# -#deploy.dir=/home/yourname/public_html - -# Currently platform supported is Android 7 (2.1) -platforms.Android2.1.aapt=${platforms.Android2.1.home}/tools/aapt -platforms.Android2.1.adb=${sdk.dir}/tools/adb -platforms.Android2.1.apkbuilder=${sdk.dir}/tools/apkbuilder -platforms.Android2.1.bootclasspath=${platforms.Android2.1.home}/android.jar -platforms.Android2.1.bootclasspath0=${platforms.Android2.1.home}/android.jar -platforms.Android2.1.dx=${platforms.Android2.1.home}/tools/dx -platforms.Android2.1.emulator=${sdk.dir}/tools/emulator -platforms.Android2.1.home=${sdk.dir}/platforms/android-7 diff -Nru fwknop-2.5.1/android/project/build.xml fwknop-2.6.0/android/project/build.xml --- fwknop-2.5.1/android/project/build.xml 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/android/project/build.xml 2014-01-13 03:29:04.000000000 +0000 @@ -1,67 +1,94 @@ - + + + It contains the path to the SDK. It should *NOT* be checked into + Version Control Systems. --> - - + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - + + diff -Nru fwknop-2.5.1/android/project/custom_rules.xml fwknop-2.6.0/android/project/custom_rules.xml --- fwknop-2.5.1/android/project/custom_rules.xml 1970-01-01 00:00:00.000000000 +0000 +++ fwknop-2.6.0/android/project/custom_rules.xml 2014-01-13 03:29:04.000000000 +0000 @@ -0,0 +1,25 @@ + + + + + + + + + + + + + + Copying ../../lib files to ./jni/libfwknop + + + + + + Copying ../../lib/fko.h file to ./jni/fwknop + + + + + diff -Nru fwknop-2.5.1/android/project/default.properties fwknop-2.6.0/android/project/default.properties --- fwknop-2.5.1/android/project/default.properties 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/android/project/default.properties 1970-01-01 00:00:00.000000000 +0000 @@ -1,11 +0,0 @@ -# This file is automatically generated by Android Tools. -# Do not modify this file -- YOUR CHANGES WILL BE ERASED! -# -# This file must be checked in Version Control Systems. -# -# To customize properties used by the Ant build system use, -# "build.properties", and override values to adapt the script to your -# project structure. - -# Project target. -target=Google Inc.:Google APIs:7 diff -Nru fwknop-2.5.1/android/project/jni/Android.mk fwknop-2.6.0/android/project/jni/Android.mk --- fwknop-2.5.1/android/project/jni/Android.mk 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/android/project/jni/Android.mk 2014-01-13 03:29:04.000000000 +0000 @@ -19,11 +19,12 @@ include $(CLEAR_VARS) LOCAL_MODULE := libfwknop + LOCAL_CFLAGS := -W -g -DHAVE_CONFIG_H \ + -I$(LOCAL_PATH)/../../../common \ -I$(LOCAL_PATH) \ -I$(LOCAL_PATH)/fwknop \ -I$(LOCAL_PATH)/libfwknop - LOCAL_SRC_FILES := $(shell cd $(LOCAL_PATH); \ find ./fwknop/ -type f -name '*.c'; \ find ./libfwknop/ -type f -name '*.c'; \ diff -Nru fwknop-2.5.1/android/project/jni/fwknop/fwknop_client.c fwknop-2.6.0/android/project/jni/fwknop/fwknop_client.c --- fwknop-2.5.1/android/project/jni/fwknop/fwknop_client.c 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/android/project/jni/fwknop/fwknop_client.c 2014-01-13 03:29:04.000000000 +0000 @@ -45,7 +45,7 @@ fko_ctx_t ctx; fwknop_options_t opts; - int res; + int res, hmac_str_len = 0; char res_msg[MSG_BUFSIZE+1] = {0}; char spa_msg[MSG_BUFSIZE+1] = {0}; @@ -72,6 +72,10 @@ jstring jpasswd = (*env)->GetObjectField(env, thiz, fid); const char *passwd_str = (*env)->GetStringUTFChars(env, jpasswd, 0); + fid = (*env)->GetFieldID(env, c, "hmac_str", "Ljava/lang/String;"); + jstring jhmac = (*env)->GetObjectField(env, thiz, fid); + const char *hmac_str = (*env)->GetStringUTFChars(env, jhmac, 0); + fid = (*env)->GetFieldID(env, c, "fw_timeout_str", "Ljava/lang/String;"); jstring jfwtimeout = (*env)->GetObjectField(env, thiz, fid); const char *fw_timeout_str = (*env)->GetStringUTFChars(env, jfwtimeout, 0); @@ -99,6 +103,12 @@ goto cleanup2; } + /* Using an HMAC is optional (currently) + */ + if(hmac_str != NULL) { + hmac_str_len = (int)strlen(hmac_str); + } + /* Set our spa server info */ opts.spa_server_str = (char*)destip_str; @@ -130,9 +140,20 @@ goto cleanup; } + /* Set the HMAC mode if necessary + */ + if (hmac_str_len > 0) { + res = fko_set_spa_hmac_type(ctx, FKO_DEFAULT_HMAC_MODE); + if (res != FKO_SUCCESS) { + strcpy(res_msg, fko_errmsg("Error setting SPA HMAC type", res)); + goto cleanup; + } + } + /* Finalize the context data (Encrypt and encode). */ - res = fko_spa_data_final(ctx, (char*)passwd_str); + res = fko_spa_data_final(ctx, (char*)passwd_str, + (int)strlen(passwd_str), (char *)hmac_str, hmac_str_len); if (res != FKO_SUCCESS) { strcpy(res_msg, fko_errmsg("Error generating SPA data", res)); goto cleanup; @@ -173,12 +194,13 @@ (*env)->ReleaseStringUTFChars(env, jallowip, allowip_str); (*env)->ReleaseStringUTFChars(env, jdestip, destip_str); (*env)->ReleaseStringUTFChars(env, jpasswd, passwd_str); + (*env)->ReleaseStringUTFChars(env, jhmac, hmac_str); (*env)->ReleaseStringUTFChars(env, jfwtimeout, fw_timeout_str); /* Log and return a string of success or error message. * This can be enhanced semantically with codes. */ - LOGV(res_msg); + LOGV("%s", res_msg); return (*env)->NewStringUTF(env, res_msg); } diff -Nru fwknop-2.5.1/android/project/jni/fwknop/get_libfko_header.sh fwknop-2.6.0/android/project/jni/fwknop/get_libfko_header.sh --- fwknop-2.5.1/android/project/jni/fwknop/get_libfko_header.sh 1970-01-01 00:00:00.000000000 +0000 +++ fwknop-2.6.0/android/project/jni/fwknop/get_libfko_header.sh 2014-01-13 03:29:04.000000000 +0000 @@ -0,0 +1,37 @@ +#!/bin/sh +# +# get_libfko_header.sh +# +# Copy the fko.h header file in the fwknop source tree into this +# directory. +# +# Usage: ./get_libfko_header.sh [libfko-dir] +# +# Note: You should run this script only from this directory (jni/fwknop). +# +# If the libfko-dir argument is not given, this script will assume +# ../../../../lib (which will work if this directory is still part +# of the original fwknop source distribution. +# +############################################################################### +# +LIBFKO_DIR=${1:-"../../../../lib"} # Default relative path to libfko files. + +# Simple sanity checks. See if the LIBFKO_DIR directory exists and see if +# thi "fko_context.h" is found there as well. +# +if [ ! -d "$LIBFKO_DIR" ] ; then + echo "Libfko directory: '$LIBFKO_DIR' was not found! Aborting." + exit 1 +fi + +if [ ! -e "${LIBFKO_DIR}/fko_context.h" ] ; then + echo "'$LIBFKO_DIR' does not seem to contain the required files! Aborting." + exit 1 +fi + +# Copy the fko.h header file +# +/bin/cp ${LIBFKO_DIR}/fko.h . + +###EOF### diff -Nru fwknop-2.5.1/android/project/project.properties fwknop-2.6.0/android/project/project.properties --- fwknop-2.5.1/android/project/project.properties 1970-01-01 00:00:00.000000000 +0000 +++ fwknop-2.6.0/android/project/project.properties 2014-01-13 03:29:04.000000000 +0000 @@ -0,0 +1,14 @@ +# This file is automatically generated by Android Tools. +# Do not modify this file -- YOUR CHANGES WILL BE ERASED! +# +# This file must be checked in Version Control Systems. +# +# To customize properties used by the Ant build system edit +# "ant.properties", and override values to adapt the script to your +# project structure. +# +# To enable ProGuard to shrink and obfuscate your code, uncomment this (available properties: sdk.dir, user.home): +#proguard.config=${sdk.dir}/tools/proguard/proguard-android.txt:proguard-project.txt + +# Project target. +target=android-19 diff -Nru fwknop-2.5.1/android/project/res/layout/main.xml fwknop-2.6.0/android/project/res/layout/main.xml --- fwknop-2.5.1/android/project/res/layout/main.xml 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/android/project/res/layout/main.xml 2014-01-13 03:29:04.000000000 +0000 @@ -133,6 +133,28 @@ android:textSize="20dip" /> + + + + &2; \ + exit 1;; \ + esac; \ + has_opt=no; \ + sane_makeflags=$$MAKEFLAGS; \ + if $(am__is_gnu_make); then \ + sane_makeflags=$$MFLAGS; \ + else \ case $$MAKEFLAGS in \ *\\[\ \ ]*) \ - echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \ - | grep '^AM OK$$' >/dev/null || am__dry=yes;; \ - *) \ - for am__flg in $$MAKEFLAGS; do \ - case $$am__flg in \ - *=*|--*) ;; \ - *n*) am__dry=yes; break;; \ - esac; \ - done;; \ + bs=\\; \ + sane_makeflags=`printf '%s\n' "$$MAKEFLAGS" \ + | sed "s/$$bs$$bs[$$bs $$bs ]*//g"`;; \ esac; \ - test $$am__dry = yes; \ - } + fi; \ + skip_next=no; \ + strip_trailopt () \ + { \ + flg=`printf '%s\n' "$$flg" | sed "s/$$1.*$$//"`; \ + }; \ + for flg in $$sane_makeflags; do \ + test $$skip_next = yes && { skip_next=no; continue; }; \ + case $$flg in \ + *=*|--*) continue;; \ + -*I) strip_trailopt 'I'; skip_next=yes;; \ + -*I?*) strip_trailopt 'I';; \ + -*O) strip_trailopt 'O'; skip_next=yes;; \ + -*O?*) strip_trailopt 'O';; \ + -*l) strip_trailopt 'l'; skip_next=yes;; \ + -*l?*) strip_trailopt 'l';; \ + -[dEDm]) skip_next=yes;; \ + -[JT]) skip_next=yes;; \ + esac; \ + case $$flg in \ + *$$target_option*) has_opt=yes; break;; \ + esac; \ + done; \ + test $$has_opt = yes +am__make_dryrun = (target_option=n; $(am__make_running_with_option)) +am__make_keepgoing = (target_option=k; $(am__make_running_with_option)) pkgdatadir = $(datadir)/@PACKAGE@ pkgincludedir = $(includedir)/@PACKAGE@ pkglibdir = $(libdir)/@PACKAGE@ @@ -54,8 +81,8 @@ target_triplet = @target@ bin_PROGRAMS = fwknop$(EXEEXT) subdir = client -DIST_COMMON = $(dist_man_MANS) $(srcdir)/Makefile.am \ - $(srcdir)/Makefile.in +DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am \ + $(top_srcdir)/config/depcomp $(dist_man_MANS) ACLOCAL_M4 = $(top_srcdir)/aclocal.m4 am__aclocal_m4_deps = $(top_srcdir)/m4/gpgme.m4 \ $(top_srcdir)/m4/libtool.m4 $(top_srcdir)/m4/ltoptions.m4 \ @@ -76,19 +103,44 @@ fwknop_OBJECTS = $(am_fwknop_OBJECTS) fwknop_DEPENDENCIES = $(top_builddir)/lib/libfko.la \ $(top_builddir)/common/libfko_util.a +AM_V_lt = $(am__v_lt_@AM_V@) +am__v_lt_ = $(am__v_lt_@AM_DEFAULT_V@) +am__v_lt_0 = --silent +am__v_lt_1 = +AM_V_P = $(am__v_P_@AM_V@) +am__v_P_ = $(am__v_P_@AM_DEFAULT_V@) +am__v_P_0 = false +am__v_P_1 = : +AM_V_GEN = $(am__v_GEN_@AM_V@) +am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@) +am__v_GEN_0 = @echo " GEN " $@; +am__v_GEN_1 = +AM_V_at = $(am__v_at_@AM_V@) +am__v_at_ = $(am__v_at_@AM_DEFAULT_V@) +am__v_at_0 = @ +am__v_at_1 = DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir) depcomp = $(SHELL) $(top_srcdir)/config/depcomp am__depfiles_maybe = depfiles am__mv = mv -f COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \ $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -LTCOMPILE = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \ - --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \ - $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) +LTCOMPILE = $(LIBTOOL) $(AM_V_lt) --tag=CC $(AM_LIBTOOLFLAGS) \ + $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) \ + $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) \ + $(AM_CFLAGS) $(CFLAGS) +AM_V_CC = $(am__v_CC_@AM_V@) +am__v_CC_ = $(am__v_CC_@AM_DEFAULT_V@) +am__v_CC_0 = @echo " CC " $@; +am__v_CC_1 = CCLD = $(CC) -LINK = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \ - --mode=link $(CCLD) $(AM_CFLAGS) $(CFLAGS) $(AM_LDFLAGS) \ - $(LDFLAGS) -o $@ +LINK = $(LIBTOOL) $(AM_V_lt) --tag=CC $(AM_LIBTOOLFLAGS) \ + $(LIBTOOLFLAGS) --mode=link $(CCLD) $(AM_CFLAGS) $(CFLAGS) \ + $(AM_LDFLAGS) $(LDFLAGS) -o $@ +AM_V_CCLD = $(am__v_CCLD_@AM_V@) +am__v_CCLD_ = $(am__v_CCLD_@AM_DEFAULT_V@) +am__v_CCLD_0 = @echo " CCLD " $@; +am__v_CCLD_1 = SOURCES = $(fwknop_SOURCES) DIST_SOURCES = $(fwknop_SOURCES) am__can_run_installinfo = \ @@ -126,11 +178,29 @@ man8dir = $(mandir)/man8 NROFF = nroff MANS = $(dist_man_MANS) +am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP) +# Read a list of newline-separated strings from the standard input, +# and print each of them once, without duplicates. Input order is +# *not* preserved. +am__uniquify_input = $(AWK) '\ + BEGIN { nonempty = 0; } \ + { items[$$0] = 1; nonempty = 1; } \ + END { if (nonempty) { for (i in items) print i; }; } \ +' +# Make sure the list of sources is unique. This is necessary because, +# e.g., the same source file might be shared among _SOURCES variables +# for different programs/libraries. +am__define_uniq_tagged_files = \ + list='$(am__tagged_files)'; \ + unique=`for i in $$list; do \ + if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \ + done | $(am__uniquify_input)` ETAGS = etags CTAGS = ctags DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST) ACLOCAL = @ACLOCAL@ AMTAR = @AMTAR@ +AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@ AR = @AR@ AUTOCONF = @AUTOCONF@ AUTOHEADER = @AUTOHEADER@ @@ -306,10 +376,12 @@ fi; \ for p in $$list; do echo "$$p $$p"; done | \ sed 's/$(EXEEXT)$$//' | \ - while read p p1; do if test -f $$p || test -f $$p1; \ - then echo "$$p"; echo "$$p"; else :; fi; \ + while read p p1; do if test -f $$p \ + || test -f $$p1 \ + ; then echo "$$p"; echo "$$p"; else :; fi; \ done | \ - sed -e 'p;s,.*/,,;n;h' -e 's|.*|.|' \ + sed -e 'p;s,.*/,,;n;h' \ + -e 's|.*|.|' \ -e 'p;x;s,.*/,,;s/$(EXEEXT)$$//;$(transform);s/$$/$(EXEEXT)/' | \ sed 'N;N;N;s,\n, ,g' | \ $(AWK) 'BEGIN { files["."] = ""; dirs["."] = 1 } \ @@ -330,7 +402,8 @@ @list='$(bin_PROGRAMS)'; test -n "$(bindir)" || list=; \ files=`for p in $$list; do echo "$$p"; done | \ sed -e 'h;s,^.*/,,;s/$(EXEEXT)$$//;$(transform)' \ - -e 's/$$/$(EXEEXT)/' `; \ + -e 's/$$/$(EXEEXT)/' \ + `; \ test -n "$$list" || exit 0; \ echo " ( cd '$(DESTDIR)$(bindir)' && rm -f" $$files ")"; \ cd "$(DESTDIR)$(bindir)" && rm -f $$files @@ -343,9 +416,10 @@ list=`for p in $$list; do echo "$$p"; done | sed 's/$(EXEEXT)$$//'`; \ echo " rm -f" $$list; \ rm -f $$list + fwknop$(EXEEXT): $(fwknop_OBJECTS) $(fwknop_DEPENDENCIES) $(EXTRA_fwknop_DEPENDENCIES) @rm -f fwknop$(EXEEXT) - $(LINK) $(fwknop_OBJECTS) $(fwknop_LDADD) $(LIBS) + $(AM_V_CCLD)$(LINK) $(fwknop_OBJECTS) $(fwknop_LDADD) $(LIBS) mostlyclean-compile: -rm -f *.$(OBJEXT) @@ -362,123 +436,123 @@ @AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/fwknop-utils.Po@am__quote@ .c.o: -@am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $< -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$<' object='$@' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $< +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(COMPILE) -c $< +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(COMPILE) -c $< .c.obj: -@am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'` -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$<' object='$@' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'` +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(COMPILE) -c `$(CYGPATH_W) '$<'` +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(COMPILE) -c `$(CYGPATH_W) '$<'` .c.lo: -@am__fastdepCC_TRUE@ $(LTCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $< -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(LTCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $< +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(LTCOMPILE) -c -o $@ $< +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(LTCOMPILE) -c -o $@ $< fwknop-fwknop.o: fwknop.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknop-fwknop.o -MD -MP -MF $(DEPDIR)/fwknop-fwknop.Tpo -c -o fwknop-fwknop.o `test -f 'fwknop.c' || echo '$(srcdir)/'`fwknop.c -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknop-fwknop.Tpo $(DEPDIR)/fwknop-fwknop.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='fwknop.c' object='fwknop-fwknop.o' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknop-fwknop.o -MD -MP -MF $(DEPDIR)/fwknop-fwknop.Tpo -c -o fwknop-fwknop.o `test -f 'fwknop.c' || echo '$(srcdir)/'`fwknop.c +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknop-fwknop.Tpo $(DEPDIR)/fwknop-fwknop.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='fwknop.c' object='fwknop-fwknop.o' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknop-fwknop.o `test -f 'fwknop.c' || echo '$(srcdir)/'`fwknop.c +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknop-fwknop.o `test -f 'fwknop.c' || echo '$(srcdir)/'`fwknop.c fwknop-fwknop.obj: fwknop.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknop-fwknop.obj -MD -MP -MF $(DEPDIR)/fwknop-fwknop.Tpo -c -o fwknop-fwknop.obj `if test -f 'fwknop.c'; then $(CYGPATH_W) 'fwknop.c'; else $(CYGPATH_W) '$(srcdir)/fwknop.c'; fi` -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknop-fwknop.Tpo $(DEPDIR)/fwknop-fwknop.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='fwknop.c' object='fwknop-fwknop.obj' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknop-fwknop.obj -MD -MP -MF $(DEPDIR)/fwknop-fwknop.Tpo -c -o fwknop-fwknop.obj `if test -f 'fwknop.c'; then $(CYGPATH_W) 'fwknop.c'; else $(CYGPATH_W) '$(srcdir)/fwknop.c'; fi` +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknop-fwknop.Tpo $(DEPDIR)/fwknop-fwknop.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='fwknop.c' object='fwknop-fwknop.obj' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknop-fwknop.obj `if test -f 'fwknop.c'; then $(CYGPATH_W) 'fwknop.c'; else $(CYGPATH_W) '$(srcdir)/fwknop.c'; fi` +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknop-fwknop.obj `if test -f 'fwknop.c'; then $(CYGPATH_W) 'fwknop.c'; else $(CYGPATH_W) '$(srcdir)/fwknop.c'; fi` fwknop-config_init.o: config_init.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknop-config_init.o -MD -MP -MF $(DEPDIR)/fwknop-config_init.Tpo -c -o fwknop-config_init.o `test -f 'config_init.c' || echo '$(srcdir)/'`config_init.c -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknop-config_init.Tpo $(DEPDIR)/fwknop-config_init.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='config_init.c' object='fwknop-config_init.o' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknop-config_init.o -MD -MP -MF $(DEPDIR)/fwknop-config_init.Tpo -c -o fwknop-config_init.o `test -f 'config_init.c' || echo '$(srcdir)/'`config_init.c +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknop-config_init.Tpo $(DEPDIR)/fwknop-config_init.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='config_init.c' object='fwknop-config_init.o' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknop-config_init.o `test -f 'config_init.c' || echo '$(srcdir)/'`config_init.c +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknop-config_init.o `test -f 'config_init.c' || echo '$(srcdir)/'`config_init.c fwknop-config_init.obj: config_init.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknop-config_init.obj -MD -MP -MF $(DEPDIR)/fwknop-config_init.Tpo -c -o fwknop-config_init.obj `if test -f 'config_init.c'; then $(CYGPATH_W) 'config_init.c'; else $(CYGPATH_W) '$(srcdir)/config_init.c'; fi` -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknop-config_init.Tpo $(DEPDIR)/fwknop-config_init.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='config_init.c' object='fwknop-config_init.obj' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknop-config_init.obj -MD -MP -MF $(DEPDIR)/fwknop-config_init.Tpo -c -o fwknop-config_init.obj `if test -f 'config_init.c'; then $(CYGPATH_W) 'config_init.c'; else $(CYGPATH_W) '$(srcdir)/config_init.c'; fi` +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknop-config_init.Tpo $(DEPDIR)/fwknop-config_init.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='config_init.c' object='fwknop-config_init.obj' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknop-config_init.obj `if test -f 'config_init.c'; then $(CYGPATH_W) 'config_init.c'; else $(CYGPATH_W) '$(srcdir)/config_init.c'; fi` +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknop-config_init.obj `if test -f 'config_init.c'; then $(CYGPATH_W) 'config_init.c'; else $(CYGPATH_W) '$(srcdir)/config_init.c'; fi` fwknop-spa_comm.o: spa_comm.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknop-spa_comm.o -MD -MP -MF $(DEPDIR)/fwknop-spa_comm.Tpo -c -o fwknop-spa_comm.o `test -f 'spa_comm.c' || echo '$(srcdir)/'`spa_comm.c -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknop-spa_comm.Tpo $(DEPDIR)/fwknop-spa_comm.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='spa_comm.c' object='fwknop-spa_comm.o' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknop-spa_comm.o -MD -MP -MF $(DEPDIR)/fwknop-spa_comm.Tpo -c -o fwknop-spa_comm.o `test -f 'spa_comm.c' || echo '$(srcdir)/'`spa_comm.c +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknop-spa_comm.Tpo $(DEPDIR)/fwknop-spa_comm.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='spa_comm.c' object='fwknop-spa_comm.o' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknop-spa_comm.o `test -f 'spa_comm.c' || echo '$(srcdir)/'`spa_comm.c +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknop-spa_comm.o `test -f 'spa_comm.c' || echo '$(srcdir)/'`spa_comm.c fwknop-spa_comm.obj: spa_comm.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknop-spa_comm.obj -MD -MP -MF $(DEPDIR)/fwknop-spa_comm.Tpo -c -o fwknop-spa_comm.obj `if test -f 'spa_comm.c'; then $(CYGPATH_W) 'spa_comm.c'; else $(CYGPATH_W) '$(srcdir)/spa_comm.c'; fi` -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknop-spa_comm.Tpo $(DEPDIR)/fwknop-spa_comm.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='spa_comm.c' object='fwknop-spa_comm.obj' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknop-spa_comm.obj -MD -MP -MF $(DEPDIR)/fwknop-spa_comm.Tpo -c -o fwknop-spa_comm.obj `if test -f 'spa_comm.c'; then $(CYGPATH_W) 'spa_comm.c'; else $(CYGPATH_W) '$(srcdir)/spa_comm.c'; fi` +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknop-spa_comm.Tpo $(DEPDIR)/fwknop-spa_comm.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='spa_comm.c' object='fwknop-spa_comm.obj' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknop-spa_comm.obj `if test -f 'spa_comm.c'; then $(CYGPATH_W) 'spa_comm.c'; else $(CYGPATH_W) '$(srcdir)/spa_comm.c'; fi` +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknop-spa_comm.obj `if test -f 'spa_comm.c'; then $(CYGPATH_W) 'spa_comm.c'; else $(CYGPATH_W) '$(srcdir)/spa_comm.c'; fi` fwknop-utils.o: utils.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknop-utils.o -MD -MP -MF $(DEPDIR)/fwknop-utils.Tpo -c -o fwknop-utils.o `test -f 'utils.c' || echo '$(srcdir)/'`utils.c -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknop-utils.Tpo $(DEPDIR)/fwknop-utils.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='utils.c' object='fwknop-utils.o' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknop-utils.o -MD -MP -MF $(DEPDIR)/fwknop-utils.Tpo -c -o fwknop-utils.o `test -f 'utils.c' || echo '$(srcdir)/'`utils.c +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknop-utils.Tpo $(DEPDIR)/fwknop-utils.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='utils.c' object='fwknop-utils.o' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknop-utils.o `test -f 'utils.c' || echo '$(srcdir)/'`utils.c +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknop-utils.o `test -f 'utils.c' || echo '$(srcdir)/'`utils.c fwknop-utils.obj: utils.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknop-utils.obj -MD -MP -MF $(DEPDIR)/fwknop-utils.Tpo -c -o fwknop-utils.obj `if test -f 'utils.c'; then $(CYGPATH_W) 'utils.c'; else $(CYGPATH_W) '$(srcdir)/utils.c'; fi` -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknop-utils.Tpo $(DEPDIR)/fwknop-utils.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='utils.c' object='fwknop-utils.obj' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknop-utils.obj -MD -MP -MF $(DEPDIR)/fwknop-utils.Tpo -c -o fwknop-utils.obj `if test -f 'utils.c'; then $(CYGPATH_W) 'utils.c'; else $(CYGPATH_W) '$(srcdir)/utils.c'; fi` +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknop-utils.Tpo $(DEPDIR)/fwknop-utils.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='utils.c' object='fwknop-utils.obj' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknop-utils.obj `if test -f 'utils.c'; then $(CYGPATH_W) 'utils.c'; else $(CYGPATH_W) '$(srcdir)/utils.c'; fi` +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknop-utils.obj `if test -f 'utils.c'; then $(CYGPATH_W) 'utils.c'; else $(CYGPATH_W) '$(srcdir)/utils.c'; fi` fwknop-http_resolve_host.o: http_resolve_host.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknop-http_resolve_host.o -MD -MP -MF $(DEPDIR)/fwknop-http_resolve_host.Tpo -c -o fwknop-http_resolve_host.o `test -f 'http_resolve_host.c' || echo '$(srcdir)/'`http_resolve_host.c -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknop-http_resolve_host.Tpo $(DEPDIR)/fwknop-http_resolve_host.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='http_resolve_host.c' object='fwknop-http_resolve_host.o' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknop-http_resolve_host.o -MD -MP -MF $(DEPDIR)/fwknop-http_resolve_host.Tpo -c -o fwknop-http_resolve_host.o `test -f 'http_resolve_host.c' || echo '$(srcdir)/'`http_resolve_host.c +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknop-http_resolve_host.Tpo $(DEPDIR)/fwknop-http_resolve_host.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='http_resolve_host.c' object='fwknop-http_resolve_host.o' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknop-http_resolve_host.o `test -f 'http_resolve_host.c' || echo '$(srcdir)/'`http_resolve_host.c +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknop-http_resolve_host.o `test -f 'http_resolve_host.c' || echo '$(srcdir)/'`http_resolve_host.c fwknop-http_resolve_host.obj: http_resolve_host.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknop-http_resolve_host.obj -MD -MP -MF $(DEPDIR)/fwknop-http_resolve_host.Tpo -c -o fwknop-http_resolve_host.obj `if test -f 'http_resolve_host.c'; then $(CYGPATH_W) 'http_resolve_host.c'; else $(CYGPATH_W) '$(srcdir)/http_resolve_host.c'; fi` -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknop-http_resolve_host.Tpo $(DEPDIR)/fwknop-http_resolve_host.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='http_resolve_host.c' object='fwknop-http_resolve_host.obj' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknop-http_resolve_host.obj -MD -MP -MF $(DEPDIR)/fwknop-http_resolve_host.Tpo -c -o fwknop-http_resolve_host.obj `if test -f 'http_resolve_host.c'; then $(CYGPATH_W) 'http_resolve_host.c'; else $(CYGPATH_W) '$(srcdir)/http_resolve_host.c'; fi` +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknop-http_resolve_host.Tpo $(DEPDIR)/fwknop-http_resolve_host.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='http_resolve_host.c' object='fwknop-http_resolve_host.obj' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknop-http_resolve_host.obj `if test -f 'http_resolve_host.c'; then $(CYGPATH_W) 'http_resolve_host.c'; else $(CYGPATH_W) '$(srcdir)/http_resolve_host.c'; fi` +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknop-http_resolve_host.obj `if test -f 'http_resolve_host.c'; then $(CYGPATH_W) 'http_resolve_host.c'; else $(CYGPATH_W) '$(srcdir)/http_resolve_host.c'; fi` fwknop-getpasswd.o: getpasswd.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknop-getpasswd.o -MD -MP -MF $(DEPDIR)/fwknop-getpasswd.Tpo -c -o fwknop-getpasswd.o `test -f 'getpasswd.c' || echo '$(srcdir)/'`getpasswd.c -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknop-getpasswd.Tpo $(DEPDIR)/fwknop-getpasswd.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='getpasswd.c' object='fwknop-getpasswd.o' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknop-getpasswd.o -MD -MP -MF $(DEPDIR)/fwknop-getpasswd.Tpo -c -o fwknop-getpasswd.o `test -f 'getpasswd.c' || echo '$(srcdir)/'`getpasswd.c +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknop-getpasswd.Tpo $(DEPDIR)/fwknop-getpasswd.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='getpasswd.c' object='fwknop-getpasswd.o' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknop-getpasswd.o `test -f 'getpasswd.c' || echo '$(srcdir)/'`getpasswd.c +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknop-getpasswd.o `test -f 'getpasswd.c' || echo '$(srcdir)/'`getpasswd.c fwknop-getpasswd.obj: getpasswd.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknop-getpasswd.obj -MD -MP -MF $(DEPDIR)/fwknop-getpasswd.Tpo -c -o fwknop-getpasswd.obj `if test -f 'getpasswd.c'; then $(CYGPATH_W) 'getpasswd.c'; else $(CYGPATH_W) '$(srcdir)/getpasswd.c'; fi` -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknop-getpasswd.Tpo $(DEPDIR)/fwknop-getpasswd.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='getpasswd.c' object='fwknop-getpasswd.obj' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknop-getpasswd.obj -MD -MP -MF $(DEPDIR)/fwknop-getpasswd.Tpo -c -o fwknop-getpasswd.obj `if test -f 'getpasswd.c'; then $(CYGPATH_W) 'getpasswd.c'; else $(CYGPATH_W) '$(srcdir)/getpasswd.c'; fi` +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknop-getpasswd.Tpo $(DEPDIR)/fwknop-getpasswd.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='getpasswd.c' object='fwknop-getpasswd.obj' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknop-getpasswd.obj `if test -f 'getpasswd.c'; then $(CYGPATH_W) 'getpasswd.c'; else $(CYGPATH_W) '$(srcdir)/getpasswd.c'; fi` +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknop-getpasswd.obj `if test -f 'getpasswd.c'; then $(CYGPATH_W) 'getpasswd.c'; else $(CYGPATH_W) '$(srcdir)/getpasswd.c'; fi` fwknop-log_msg.o: log_msg.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknop-log_msg.o -MD -MP -MF $(DEPDIR)/fwknop-log_msg.Tpo -c -o fwknop-log_msg.o `test -f 'log_msg.c' || echo '$(srcdir)/'`log_msg.c -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknop-log_msg.Tpo $(DEPDIR)/fwknop-log_msg.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='log_msg.c' object='fwknop-log_msg.o' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknop-log_msg.o -MD -MP -MF $(DEPDIR)/fwknop-log_msg.Tpo -c -o fwknop-log_msg.o `test -f 'log_msg.c' || echo '$(srcdir)/'`log_msg.c +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknop-log_msg.Tpo $(DEPDIR)/fwknop-log_msg.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='log_msg.c' object='fwknop-log_msg.o' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknop-log_msg.o `test -f 'log_msg.c' || echo '$(srcdir)/'`log_msg.c +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknop-log_msg.o `test -f 'log_msg.c' || echo '$(srcdir)/'`log_msg.c fwknop-log_msg.obj: log_msg.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknop-log_msg.obj -MD -MP -MF $(DEPDIR)/fwknop-log_msg.Tpo -c -o fwknop-log_msg.obj `if test -f 'log_msg.c'; then $(CYGPATH_W) 'log_msg.c'; else $(CYGPATH_W) '$(srcdir)/log_msg.c'; fi` -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknop-log_msg.Tpo $(DEPDIR)/fwknop-log_msg.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='log_msg.c' object='fwknop-log_msg.obj' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknop-log_msg.obj -MD -MP -MF $(DEPDIR)/fwknop-log_msg.Tpo -c -o fwknop-log_msg.obj `if test -f 'log_msg.c'; then $(CYGPATH_W) 'log_msg.c'; else $(CYGPATH_W) '$(srcdir)/log_msg.c'; fi` +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknop-log_msg.Tpo $(DEPDIR)/fwknop-log_msg.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='log_msg.c' object='fwknop-log_msg.obj' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknop-log_msg.obj `if test -f 'log_msg.c'; then $(CYGPATH_W) 'log_msg.c'; else $(CYGPATH_W) '$(srcdir)/log_msg.c'; fi` +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknop_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknop-log_msg.obj `if test -f 'log_msg.c'; then $(CYGPATH_W) 'log_msg.c'; else $(CYGPATH_W) '$(srcdir)/log_msg.c'; fi` mostlyclean-libtool: -rm -f *.lo @@ -529,26 +603,15 @@ -e 's,\.[0-9a-z]*$$,,;$(transform);G;s,\n,.,'`; \ dir='$(DESTDIR)$(man8dir)'; $(am__uninstall_files_from_dir) -ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES) - list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \ - unique=`for i in $$list; do \ - if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \ - done | \ - $(AWK) '{ files[$$0] = 1; nonempty = 1; } \ - END { if (nonempty) { for (i in files) print i; }; }'`; \ - mkid -fID $$unique -tags: TAGS +ID: $(am__tagged_files) + $(am__define_uniq_tagged_files); mkid -fID $$unique +tags: tags-am +TAGS: tags -TAGS: $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \ - $(TAGS_FILES) $(LISP) +tags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files) set x; \ here=`pwd`; \ - list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \ - unique=`for i in $$list; do \ - if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \ - done | \ - $(AWK) '{ files[$$0] = 1; nonempty = 1; } \ - END { if (nonempty) { for (i in files) print i; }; }'`; \ + $(am__define_uniq_tagged_files); \ shift; \ if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \ test -n "$$unique" || unique=$$empty_fix; \ @@ -560,15 +623,11 @@ $$unique; \ fi; \ fi -ctags: CTAGS -CTAGS: $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \ - $(TAGS_FILES) $(LISP) - list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \ - unique=`for i in $$list; do \ - if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \ - done | \ - $(AWK) '{ files[$$0] = 1; nonempty = 1; } \ - END { if (nonempty) { for (i in files) print i; }; }'`; \ +ctags: ctags-am + +CTAGS: ctags +ctags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files) + $(am__define_uniq_tagged_files); \ test -z "$(CTAGS_ARGS)$$unique" \ || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \ $$unique @@ -577,24 +636,26 @@ here=`$(am__cd) $(top_builddir) && pwd` \ && $(am__cd) $(top_srcdir) \ && gtags -i $(GTAGS_ARGS) "$$here" +cscopelist: cscopelist-am + +cscopelist-am: $(am__tagged_files) + list='$(am__tagged_files)'; \ + case "$(srcdir)" in \ + [\\/]* | ?:[\\/]*) sdir="$(srcdir)" ;; \ + *) sdir=$(subdir)/$(srcdir) ;; \ + esac; \ + for i in $$list; do \ + if test -f "$$i"; then \ + echo "$(subdir)/$$i"; \ + else \ + echo "$$sdir/$$i"; \ + fi; \ + done >> $(top_builddir)/cscope.files distclean-tags: -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags distdir: $(DISTFILES) - @list='$(MANS)'; if test -n "$$list"; then \ - list=`for p in $$list; do \ - if test -f $$p; then d=; else d="$(srcdir)/"; fi; \ - if test -f "$$d$$p"; then echo "$$d$$p"; else :; fi; done`; \ - if test -n "$$list" && \ - grep 'ab help2man is required to generate this page' $$list >/dev/null; then \ - echo "error: found man pages containing the \`missing help2man' replacement text:" >&2; \ - grep -l 'ab help2man is required to generate this page' $$list | sed 's/^/ /' >&2; \ - echo " to fix them, install help2man, remove and regenerate the man pages;" >&2; \ - echo " typically \`make maintainer-clean' will remove them" >&2; \ - exit 1; \ - else :; fi; \ - else :; fi @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \ topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \ list='$(DISTFILES)'; \ @@ -736,20 +797,21 @@ .MAKE: install-am install-strip -.PHONY: CTAGS GTAGS all all-am check check-am clean clean-binPROGRAMS \ - clean-generic clean-libtool clean-local ctags distclean \ - distclean-compile distclean-generic distclean-libtool \ - distclean-tags distdir dvi dvi-am html html-am info info-am \ - install install-am install-binPROGRAMS install-data \ - install-data-am install-dvi install-dvi-am install-exec \ - install-exec-am install-html install-html-am install-info \ - install-info-am install-man install-man8 install-pdf \ - install-pdf-am install-ps install-ps-am install-strip \ - installcheck installcheck-am installdirs maintainer-clean \ - maintainer-clean-generic mostlyclean mostlyclean-compile \ - mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \ - tags uninstall uninstall-am uninstall-binPROGRAMS \ - uninstall-man uninstall-man8 +.PHONY: CTAGS GTAGS TAGS all all-am check check-am clean \ + clean-binPROGRAMS clean-generic clean-libtool clean-local \ + cscopelist-am ctags ctags-am distclean distclean-compile \ + distclean-generic distclean-libtool distclean-tags distdir dvi \ + dvi-am html html-am info info-am install install-am \ + install-binPROGRAMS install-data install-data-am install-dvi \ + install-dvi-am install-exec install-exec-am install-html \ + install-html-am install-info install-info-am install-man \ + install-man8 install-pdf install-pdf-am install-ps \ + install-ps-am install-strip installcheck installcheck-am \ + installdirs maintainer-clean maintainer-clean-generic \ + mostlyclean mostlyclean-compile mostlyclean-generic \ + mostlyclean-libtool pdf pdf-am ps ps-am tags tags-am uninstall \ + uninstall-am uninstall-binPROGRAMS uninstall-man \ + uninstall-man8 #fwknop.8: fwknop.8.in diff -Nru fwknop-2.5.1/client/cmd_opts.h fwknop-2.6.0/client/cmd_opts.h --- fwknop-2.5.1/client/cmd_opts.h 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/client/cmd_opts.h 2014-01-13 03:29:04.000000000 +0000 @@ -43,6 +43,7 @@ TIME_OFFSET_PLUS, SAVE_RC_STANZA, FORCE_SAVE_RC_STANZA, + STANZA_LIST, NO_SAVE_ARGS, SHOW_LAST_ARGS, RC_FILE_PATH, @@ -85,6 +86,7 @@ {"save-packet", 1, NULL, 'B'}, {"save-rc-stanza", 0, NULL, SAVE_RC_STANZA}, {"force-stanza", 0, NULL, FORCE_SAVE_RC_STANZA}, + {"stanza-list", 0, NULL, STANZA_LIST}, {"no-save-args", 0, NULL, NO_SAVE_ARGS}, {"server-cmd", 1, NULL, 'C'}, {"digest-type", 1, NULL, FKO_DIGEST_NAME}, diff -Nru fwknop-2.5.1/client/config_init.c fwknop-2.6.0/client/config_init.c --- fwknop-2.5.1/client/config_init.c 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/client/config_init.c 2014-01-13 03:29:04.000000000 +0000 @@ -563,6 +563,58 @@ return 1; } +/** + * @brief Dump available stanzas from a fwknoprc file + * + * This function parses a rcfile and looks for configured stanzas. + * They are all displayed except the default stanza. + * + * @param rcfile full path to the rcfile to parse + */ +static void +dump_configured_stanzas_from_rcfile(const char* rcfile) +{ + FILE *rc; + char line[MAX_LINE_LEN] = {0}; + char curr_stanza[MAX_LINE_LEN] = {0}; + + /* Open the rcfile in read mode */ + if ((rc = fopen(rcfile, "r")) == NULL) + { + log_msg(LOG_VERBOSITY_WARNING, "Unable to open rc file: %s: %s", + rcfile, strerror(errno)); + + return; + } + + log_msg(LOG_VERBOSITY_NORMAL, "The following stanzas are configured in %s :", rcfile); + + /* Parse the rcfile line by line to find stanza */ + while ((fgets(line, MAX_LINE_LEN, rc)) != NULL) + { + line[MAX_LINE_LEN-1] = '\0'; + + /* Get past comments and empty lines (note: we only look at the first + * character. */ + if(IS_EMPTY_LINE(line[0])) + continue; + + /* Check which section we are working on */ + else if (is_rc_section(line, strlen(line), curr_stanza, sizeof(curr_stanza))) + { + /* Print the stanza and continue - we exclude the default stanza */ + if (strcasecmp(curr_stanza, RC_SECTION_DEFAULT) != 0) + log_msg(LOG_VERBOSITY_NORMAL, " - %s", curr_stanza); + continue; + } + + /* Nothing we care about */ + else; + } + + fclose(rc); +} + /* Assign path to fwknop rc file */ static void @@ -901,8 +953,12 @@ strlcpy(options->allow_ip_str, "0.0.0.0", sizeof(options->allow_ip_str)); else if(strcasecmp(val, "resolve") == 0) options->resolve_ip_http = 1; - else /* Assume IP address */ + else /* Assume IP address and validate */ + { strlcpy(options->allow_ip_str, val, sizeof(options->allow_ip_str)); + if(! is_valid_ipv4_addr(options->allow_ip_str)) + parse_error = -1; + } } /* Time Offset */ else if (var->pos == FWKNOP_CLI_ARG_TIME_OFFSET) @@ -1668,6 +1724,22 @@ log_msg(LOG_VERBOSITY_WARNING, "[-] WARNING: Should use -a or -R to harden SPA against potential MITM attacks"); } + + if(! is_valid_ipv4_addr(options->allow_ip_str)) + { + log_msg(LOG_VERBOSITY_ERROR, + "Invalid allow IP specified for SPA access"); + exit(EXIT_FAILURE); + } + } + } + + if (options->spoof_ip_src_str[0] != 0x00) + { + if(! is_valid_ipv4_addr(options->spoof_ip_src_str)) + { + log_msg(LOG_VERBOSITY_ERROR, "Invalid spoof IP"); + exit(EXIT_FAILURE); } } @@ -1752,6 +1824,7 @@ { int cmd_arg, index, is_err; fko_var_bitmask_t var_bitmask; + char rcfile[MAX_PATH_LEN] = {0}; /* Zero out options, opts_track and bitmask. */ @@ -1780,6 +1853,9 @@ case SAVE_RC_STANZA: options->save_rc_stanza = 1; break; + case STANZA_LIST: + options->stanza_list = 1; + break; case 'E': strlcpy(options->args_save_file, optarg, sizeof(options->args_save_file)); break; @@ -1796,6 +1872,14 @@ /* Update the verbosity level for the log module */ log_set_verbosity(LOG_DEFAULT_VERBOSITY + options->verbose); + /* Dump the configured stanzas from an rcfile */ + if (options->stanza_list == 1) + { + set_rc_file(rcfile, options); + dump_configured_stanzas_from_rcfile(rcfile); + exit(EXIT_SUCCESS); + } + /* First process the .fwknoprc file. */ process_rc_section(RC_SECTION_DEFAULT, options); @@ -2305,6 +2389,8 @@ " -n option.\n" " --force-stanza Used with --save-rc-stanza to overwrite all of\n" " the variables for the specified stanza\n" + " --stanza-list Dump a list of the stanzas found in\n" + " $HOME/.fwknoprc\n" " --nat-local Access a local service via a forwarded port\n" " on the fwknopd server system.\n" " --nat-port Specify the port to forward to access a\n" diff -Nru fwknop-2.5.1/client/fwknop.8.in fwknop-2.6.0/client/fwknop.8.in --- fwknop-2.5.1/client/fwknop.8.in 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/client/fwknop.8.in 2014-01-13 03:29:04.000000000 +0000 @@ -1,13 +1,13 @@ '\" t .\" Title: fwknop .\" Author: [see the "AUTHORS" section] -.\" Generator: DocBook XSL Stylesheets v1.76.1 -.\" Date: 07/09/2013 +.\" Generator: DocBook XSL Stylesheets v1.78.1 +.\" Date: 01/02/2014 .\" Manual: Fwknop Client .\" Source: Fwknop Client .\" Language: English .\" -.TH "FWKNOP" "8" "07/09/2013" "Fwknop Client" "Fwknop Client" +.TH "FWKNOP" "8" "01/02/2014" "Fwknop Client" "Fwknop Client" .\" ----------------------------------------------------------------- .\" * Define some portability stuff .\" ----------------------------------------------------------------- @@ -48,7 +48,7 @@ .RS 4 .\} .nf - random data (16 bytes) + random data (16 digits) username timestamp software version @@ -61,7 +61,7 @@ .RE .\} .sp -Each of the above fields are separated by a ":" character due to the variable length of several of the fields, and those that might contain ":" characters are base64 encoded\&. The message digest (\fBSHA256\fR by default) is part of the data to be encrypted and is independent of the HMAC which is appended to the SPA packet data after encryption\&. The 16 bytes of random data ensures that no two SPA packets are identical, and this is in addition to and independent of using PBKDF1 for key derivation for Rijndael in CBC mode\&. Because \fBfwknopd\fR tracks the SHA256 digest of all incoming valid SPA packets and throws out duplicates, replay attacks are not feasible against \fBfwknop\fR\&. Syslog alerts are generated if a replay is detected\&. +Each of the above fields are separated by a ":" character due to the variable length of several of the fields, and those that might contain ":" characters are base64 encoded\&. The message digest (\fBSHA256\fR by default) is part of the data to be encrypted and is independent of the HMAC which is appended to the SPA packet data after encryption\&. The 16 digits of random data (about 53 bits) ensures that no two SPA packets are identical, and this is in addition to and independent of using PBKDF1 for key derivation for Rijndael in CBC mode (which uses an 8\-byte random "salt" value)\&. Because \fBfwknopd\fR tracks the SHA256 digest of all incoming valid SPA packets and throws out duplicates, replay attacks are not feasible against \fBfwknop\fR\&. Syslog alerts are generated if a replay is detected\&. .sp By default, the \fBfwknop\fR client sends authorization packets over UDP port 62201, but this can be altered with the \fB\-\-server\-port\fR argument (this requires \fBfwknopd\fR to be configured to acquire SPA data over the selected port)\&. Also, \fBfwknop\fR can send the SPA packet over a random port via the \fB\-\-rand\-port\fR argument\&. See \fIfwknopd(8)\fR for further details\&. See the \fBEXAMPLES\fR section for example invocations of the \fBfwknop\fR client\&. .sp @@ -254,6 +254,11 @@ to overwrite all of the variables for the specified stanza .RE .PP +\fB\-\-stanza\-list\fR +.RS 4 +Dump a list of the stanzas found in \(lq$HOME/\&.fwknoprc\(rq\&. +.RE +.PP \fB\-\-show\-last\fR .RS 4 Display the last command\-line arguments used by diff -Nru fwknop-2.5.1/client/fwknop.c fwknop-2.6.0/client/fwknop.c --- fwknop-2.5.1/client/fwknop.c 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/client/fwknop.c 2014-01-13 03:29:04.000000000 +0000 @@ -39,7 +39,6 @@ */ static int get_keys(fko_ctx_t ctx, fko_cli_options_t *options, char *key, int *key_len, char *hmac_key, int *hmac_key_len); -static void display_ctx(fko_ctx_t ctx); static void errmsg(const char *msg, const int err); static int prev_exec(fko_cli_options_t *options, int argc, char **argv); static int get_save_file(char *args_save_file); @@ -62,39 +61,9 @@ char *hostname, size_t hostname_bufsize, int *port); #define MAX_CMDLINE_ARGS 50 /*!< should be way more than enough */ -#define IPV4_STR_TEMPLATE "%u.%u.%u.%u" /*!< Template for a string as an ipv4 address with sscanf */ #define NAT_ACCESS_STR_TEMPLATE "%s,%d" /*!< Template for a nat access string ip,port with sscanf*/ #define HOSTNAME_BUFSIZE 64 /*!< Maximum size of a hostname string */ - - -/** - * @brief Check whether a string is an ipv4 address or not - * - * @param str String to check for an ipv4 address. - * - * @return 1 if the string is an ipv4 address, 0 otherwise. - */ -static int -is_ipv4_str(char *str) -{ - int o1, o2, o3, o4; - int valid_ipv4; - - /* Check format and values. - */ - if((sscanf(str, IPV4_STR_TEMPLATE, &o1, &o2, &o3, &o4)) == 4 - && o1 >= 0 && o1 <= 255 - && o2 >= 0 && o2 <= 255 - && o3 >= 0 && o3 <= 255 - && o4 >= 0 && o4 <= 255) - { - valid_ipv4 = 1; - } - else - valid_ipv4 = 0; - - return valid_ipv4; -} +#define CTX_DUMP_BUFSIZE 4096 /*!< Maximum size allocated to a FKO context dump */ /** * @brief Check whether a string is an ipv6 address or not @@ -153,7 +122,7 @@ /* If the string does not match an ipv4 or ipv6 address we assume this * is an hostname. We make sure the port is in the good range too */ - if ( (is_ipv4_str(buf) == 0) + if ( (is_valid_ipv4_addr(buf) == 0) && (is_ipv6_str(buf) == 0) && ((*port > 0) && (*port < 65536)) ) { @@ -183,6 +152,7 @@ char hmac_key[MAX_KEY_LEN+1] = {0}; int key_len = 0, orig_key_len = 0, hmac_key_len = 0, enc_mode; int tmp_port = 0; + char dump_buf[CTX_DUMP_BUFSIZE]; fko_cli_options_t options; @@ -468,7 +438,13 @@ /* Display the context data. */ if (options.verbose || options.test) - display_ctx(ctx); + { + res = dump_ctx_to_buffer(ctx, dump_buf, sizeof(dump_buf)); + if (res == FKO_SUCCESS) + log_msg(LOG_VERBOSITY_NORMAL, "%s", dump_buf); + else + log_msg(LOG_VERBOSITY_WARNING, "Unable to dump FKO context: %s", fko_errstr(res)); + } /* Save packet data payload if requested. */ @@ -610,8 +586,11 @@ hmac_key, &hmac_key_len, EXIT_FAILURE); } - log_msg(LOG_VERBOSITY_NORMAL,"\nDump of the Decoded Data"); - display_ctx(ctx2); + res = dump_ctx_to_buffer(ctx2, dump_buf, sizeof(dump_buf)); + if (res == FKO_SUCCESS) + log_msg(LOG_VERBOSITY_NORMAL, "\nDump of the Decoded Data\n%s", dump_buf); + else + log_msg(LOG_VERBOSITY_WARNING, "Unable to dump FKO context: %s", fko_errstr(res)); if(fko_destroy(ctx2) == FKO_ERROR_ZERO_OUT_DATA) log_msg(LOG_VERBOSITY_ERROR, @@ -1306,79 +1285,4 @@ exit(exit_status); } -/* Show the fields of the FKO context. -*/ -static void -display_ctx(fko_ctx_t ctx) -{ - char *rand_val = NULL; - char *username = NULL; - char *version = NULL; - char *spa_message = NULL; - char *nat_access = NULL; - char *server_auth = NULL; - char *enc_data = NULL; - char *hmac_data = NULL; - char *spa_digest = NULL; - char *spa_data = NULL; - char digest_str[MAX_LINE_LEN] = {0}; - char hmac_str[MAX_LINE_LEN] = {0}; - char enc_mode_str[MAX_LINE_LEN] = {0}; - - time_t timestamp = 0; - short msg_type = -1; - short digest_type = -1; - short hmac_type = -1; - short encryption_type = -1; - int encryption_mode = -1; - int client_timeout = -1; - - /* Should be checking return values, but this is temp code. --DSS - */ - fko_get_rand_value(ctx, &rand_val); - fko_get_username(ctx, &username); - fko_get_timestamp(ctx, ×tamp); - fko_get_version(ctx, &version); - fko_get_spa_message_type(ctx, &msg_type); - fko_get_spa_message(ctx, &spa_message); - fko_get_spa_nat_access(ctx, &nat_access); - fko_get_spa_server_auth(ctx, &server_auth); - fko_get_spa_client_timeout(ctx, &client_timeout); - fko_get_spa_digest_type(ctx, &digest_type); - fko_get_spa_hmac_type(ctx, &hmac_type); - fko_get_spa_encryption_type(ctx, &encryption_type); - fko_get_spa_encryption_mode(ctx, &encryption_mode); - fko_get_encoded_data(ctx, &enc_data); - fko_get_spa_hmac(ctx, &hmac_data); - fko_get_spa_digest(ctx, &spa_digest); - fko_get_spa_data(ctx, &spa_data); - - digest_inttostr(digest_type, digest_str, sizeof(digest_str)); - hmac_digest_inttostr(hmac_type, hmac_str, sizeof(hmac_str)); - enc_mode_inttostr(encryption_mode, enc_mode_str, sizeof(enc_mode_str)); - - log_msg(LOG_VERBOSITY_NORMAL, "\nFKO Field Values:\n=================\n"); - log_msg(LOG_VERBOSITY_NORMAL, " Random Value: %s", rand_val == NULL ? "" : rand_val); - log_msg(LOG_VERBOSITY_NORMAL, " Username: %s", username == NULL ? "" : username); - log_msg(LOG_VERBOSITY_NORMAL, " Timestamp: %u", (unsigned int) timestamp); - log_msg(LOG_VERBOSITY_NORMAL, " FKO Version: %s", version == NULL ? "" : version); - log_msg(LOG_VERBOSITY_NORMAL, " Message Type: %i (%s)", msg_type, msg_type_inttostr(msg_type)); - log_msg(LOG_VERBOSITY_NORMAL, " Message String: %s", spa_message == NULL ? "" : spa_message); - log_msg(LOG_VERBOSITY_NORMAL, " Nat Access: %s", nat_access == NULL ? "" : nat_access); - log_msg(LOG_VERBOSITY_NORMAL, " Server Auth: %s", server_auth == NULL ? "" : server_auth); - log_msg(LOG_VERBOSITY_NORMAL, " Client Timeout: %u (seconds)", client_timeout); - log_msg(LOG_VERBOSITY_NORMAL, " Digest Type: %d (%s)", digest_type, digest_str); - log_msg(LOG_VERBOSITY_NORMAL, " HMAC Type: %d (%s)", hmac_type, hmac_str); - log_msg(LOG_VERBOSITY_NORMAL, "Encryption Type: %d (%s)", encryption_type, enc_type_inttostr(encryption_type)); - log_msg(LOG_VERBOSITY_NORMAL, "Encryption Mode: %d (%s)", encryption_mode, enc_mode_str); - log_msg(LOG_VERBOSITY_NORMAL, "\n Encoded Data: %s", enc_data == NULL ? "" : enc_data); - log_msg(LOG_VERBOSITY_NORMAL, "SPA Data Digest: %s", spa_digest == NULL ? "" : spa_digest); - log_msg(LOG_VERBOSITY_NORMAL, " HMAC: %s", hmac_data == NULL ? "" : hmac_data); - - if (enc_data != NULL && spa_digest != NULL) - log_msg(LOG_VERBOSITY_NORMAL, " Plaintext: %s:%s\n", enc_data, spa_digest); - - log_msg(LOG_VERBOSITY_NORMAL, "\nFinal Packed/Encrypted/Encoded Data:\n\n%s\n", spa_data); -} - /***EOF***/ diff -Nru fwknop-2.5.1/client/fwknop_common.h fwknop-2.6.0/client/fwknop_common.h --- fwknop-2.5.1/client/fwknop_common.h 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/client/fwknop_common.h 2014-01-13 03:29:04.000000000 +0000 @@ -161,6 +161,7 @@ unsigned char got_named_stanza; unsigned char save_rc_stanza; unsigned char force_save_rc_stanza; + unsigned char stanza_list; int input_fd; diff -Nru fwknop-2.5.1/client/utils.c fwknop-2.6.0/client/utils.c --- fwknop-2.5.1/client/utils.c 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/client/utils.c 2014-01-13 03:29:04.000000000 +0000 @@ -88,32 +88,13 @@ { for(i=0; i < 16-ln; i++) printf(" "); + if(ln < 8) + printf(" "); printf(" %s\n\n", ascii_str); } } -/* Determine if a buffer contains only characters from the base64 - * encoding set -*/ -int -is_base64(const unsigned char *buf, const unsigned short int len) -{ - unsigned short int i; - int rv = 1; - - for(i=0; i&2; \ + exit 1;; \ + esac; \ + has_opt=no; \ + sane_makeflags=$$MAKEFLAGS; \ + if $(am__is_gnu_make); then \ + sane_makeflags=$$MFLAGS; \ + else \ case $$MAKEFLAGS in \ *\\[\ \ ]*) \ - echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \ - | grep '^AM OK$$' >/dev/null || am__dry=yes;; \ - *) \ - for am__flg in $$MAKEFLAGS; do \ - case $$am__flg in \ - *=*|--*) ;; \ - *n*) am__dry=yes; break;; \ - esac; \ - done;; \ + bs=\\; \ + sane_makeflags=`printf '%s\n' "$$MAKEFLAGS" \ + | sed "s/$$bs$$bs[$$bs $$bs ]*//g"`;; \ + esac; \ + fi; \ + skip_next=no; \ + strip_trailopt () \ + { \ + flg=`printf '%s\n' "$$flg" | sed "s/$$1.*$$//"`; \ + }; \ + for flg in $$sane_makeflags; do \ + test $$skip_next = yes && { skip_next=no; continue; }; \ + case $$flg in \ + *=*|--*) continue;; \ + -*I) strip_trailopt 'I'; skip_next=yes;; \ + -*I?*) strip_trailopt 'I';; \ + -*O) strip_trailopt 'O'; skip_next=yes;; \ + -*O?*) strip_trailopt 'O';; \ + -*l) strip_trailopt 'l'; skip_next=yes;; \ + -*l?*) strip_trailopt 'l';; \ + -[dEDm]) skip_next=yes;; \ + -[JT]) skip_next=yes;; \ + esac; \ + case $$flg in \ + *$$target_option*) has_opt=yes; break;; \ esac; \ - test $$am__dry = yes; \ - } + done; \ + test $$has_opt = yes +am__make_dryrun = (target_option=n; $(am__make_running_with_option)) +am__make_keepgoing = (target_option=k; $(am__make_running_with_option)) pkgdatadir = $(datadir)/@PACKAGE@ pkgincludedir = $(includedir)/@PACKAGE@ pkglibdir = $(libdir)/@PACKAGE@ @@ -53,7 +80,8 @@ host_triplet = @host@ target_triplet = @target@ subdir = common -DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in +DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am \ + $(top_srcdir)/config/depcomp ACLOCAL_M4 = $(top_srcdir)/aclocal.m4 am__aclocal_m4_deps = $(top_srcdir)/m4/gpgme.m4 \ $(top_srcdir)/m4/libtool.m4 $(top_srcdir)/m4/ltoptions.m4 \ @@ -67,24 +95,53 @@ CONFIG_CLEAN_VPATH_FILES = LIBRARIES = $(noinst_LIBRARIES) ARFLAGS = cru +AM_V_AR = $(am__v_AR_@AM_V@) +am__v_AR_ = $(am__v_AR_@AM_DEFAULT_V@) +am__v_AR_0 = @echo " AR " $@; +am__v_AR_1 = libfko_util_a_AR = $(AR) $(ARFLAGS) libfko_util_a_LIBADD = am__objects_1 = strlcpy.$(OBJEXT) strlcat.$(OBJEXT) fko_util.$(OBJEXT) am_libfko_util_a_OBJECTS = $(am__objects_1) libfko_util_a_OBJECTS = $(am_libfko_util_a_OBJECTS) +AM_V_P = $(am__v_P_@AM_V@) +am__v_P_ = $(am__v_P_@AM_DEFAULT_V@) +am__v_P_0 = false +am__v_P_1 = : +AM_V_GEN = $(am__v_GEN_@AM_V@) +am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@) +am__v_GEN_0 = @echo " GEN " $@; +am__v_GEN_1 = +AM_V_at = $(am__v_at_@AM_V@) +am__v_at_ = $(am__v_at_@AM_DEFAULT_V@) +am__v_at_0 = @ +am__v_at_1 = DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir) depcomp = $(SHELL) $(top_srcdir)/config/depcomp am__depfiles_maybe = depfiles am__mv = mv -f +AM_V_lt = $(am__v_lt_@AM_V@) +am__v_lt_ = $(am__v_lt_@AM_DEFAULT_V@) +am__v_lt_0 = --silent +am__v_lt_1 = COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \ $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -LTCOMPILE = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \ - --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \ - $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) +LTCOMPILE = $(LIBTOOL) $(AM_V_lt) --tag=CC $(AM_LIBTOOLFLAGS) \ + $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) \ + $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) \ + $(AM_CFLAGS) $(CFLAGS) +AM_V_CC = $(am__v_CC_@AM_V@) +am__v_CC_ = $(am__v_CC_@AM_DEFAULT_V@) +am__v_CC_0 = @echo " CC " $@; +am__v_CC_1 = CCLD = $(CC) -LINK = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \ - --mode=link $(CCLD) $(AM_CFLAGS) $(CFLAGS) $(AM_LDFLAGS) \ - $(LDFLAGS) -o $@ +LINK = $(LIBTOOL) $(AM_V_lt) --tag=CC $(AM_LIBTOOLFLAGS) \ + $(LIBTOOLFLAGS) --mode=link $(CCLD) $(AM_CFLAGS) $(CFLAGS) \ + $(AM_LDFLAGS) $(LDFLAGS) -o $@ +AM_V_CCLD = $(am__v_CCLD_@AM_V@) +am__v_CCLD_ = $(am__v_CCLD_@AM_DEFAULT_V@) +am__v_CCLD_0 = @echo " CCLD " $@; +am__v_CCLD_1 = SOURCES = $(libfko_util_a_SOURCES) DIST_SOURCES = $(libfko_util_a_SOURCES) am__can_run_installinfo = \ @@ -92,11 +149,29 @@ n|no|NO) false;; \ *) (install-info --version) >/dev/null 2>&1;; \ esac +am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP) +# Read a list of newline-separated strings from the standard input, +# and print each of them once, without duplicates. Input order is +# *not* preserved. +am__uniquify_input = $(AWK) '\ + BEGIN { nonempty = 0; } \ + { items[$$0] = 1; nonempty = 1; } \ + END { if (nonempty) { for (i in items) print i; }; } \ +' +# Make sure the list of sources is unique. This is necessary because, +# e.g., the same source file might be shared among _SOURCES variables +# for different programs/libraries. +am__define_uniq_tagged_files = \ + list='$(am__tagged_files)'; \ + unique=`for i in $$list; do \ + if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \ + done | $(am__uniquify_input)` ETAGS = etags CTAGS = ctags DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST) ACLOCAL = @ACLOCAL@ AMTAR = @AMTAR@ +AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@ AR = @AR@ AUTOCONF = @AUTOCONF@ AUTOHEADER = @AUTOHEADER@ @@ -262,10 +337,11 @@ clean-noinstLIBRARIES: -test -z "$(noinst_LIBRARIES)" || rm -f $(noinst_LIBRARIES) + libfko_util.a: $(libfko_util_a_OBJECTS) $(libfko_util_a_DEPENDENCIES) $(EXTRA_libfko_util_a_DEPENDENCIES) - -rm -f libfko_util.a - $(libfko_util_a_AR) libfko_util.a $(libfko_util_a_OBJECTS) $(libfko_util_a_LIBADD) - $(RANLIB) libfko_util.a + $(AM_V_at)-rm -f libfko_util.a + $(AM_V_AR)$(libfko_util_a_AR) libfko_util.a $(libfko_util_a_OBJECTS) $(libfko_util_a_LIBADD) + $(AM_V_at)$(RANLIB) libfko_util.a mostlyclean-compile: -rm -f *.$(OBJEXT) @@ -278,67 +354,67 @@ @AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/strlcpy.Po@am__quote@ .c.o: -@am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $< -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$<' object='$@' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $< +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(COMPILE) -c $< +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(COMPILE) -c $< .c.obj: -@am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'` -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$<' object='$@' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'` +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(COMPILE) -c `$(CYGPATH_W) '$<'` +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(COMPILE) -c `$(CYGPATH_W) '$<'` .c.lo: -@am__fastdepCC_TRUE@ $(LTCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $< -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(LTCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $< +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(LTCOMPILE) -c -o $@ $< +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(LTCOMPILE) -c -o $@ $< strlcpy.o: ../lib/strlcpy.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT strlcpy.o -MD -MP -MF $(DEPDIR)/strlcpy.Tpo -c -o strlcpy.o `test -f '../lib/strlcpy.c' || echo '$(srcdir)/'`../lib/strlcpy.c -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/strlcpy.Tpo $(DEPDIR)/strlcpy.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='../lib/strlcpy.c' object='strlcpy.o' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT strlcpy.o -MD -MP -MF $(DEPDIR)/strlcpy.Tpo -c -o strlcpy.o `test -f '../lib/strlcpy.c' || echo '$(srcdir)/'`../lib/strlcpy.c +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/strlcpy.Tpo $(DEPDIR)/strlcpy.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='../lib/strlcpy.c' object='strlcpy.o' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o strlcpy.o `test -f '../lib/strlcpy.c' || echo '$(srcdir)/'`../lib/strlcpy.c +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o strlcpy.o `test -f '../lib/strlcpy.c' || echo '$(srcdir)/'`../lib/strlcpy.c strlcpy.obj: ../lib/strlcpy.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT strlcpy.obj -MD -MP -MF $(DEPDIR)/strlcpy.Tpo -c -o strlcpy.obj `if test -f '../lib/strlcpy.c'; then $(CYGPATH_W) '../lib/strlcpy.c'; else $(CYGPATH_W) '$(srcdir)/../lib/strlcpy.c'; fi` -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/strlcpy.Tpo $(DEPDIR)/strlcpy.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='../lib/strlcpy.c' object='strlcpy.obj' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT strlcpy.obj -MD -MP -MF $(DEPDIR)/strlcpy.Tpo -c -o strlcpy.obj `if test -f '../lib/strlcpy.c'; then $(CYGPATH_W) '../lib/strlcpy.c'; else $(CYGPATH_W) '$(srcdir)/../lib/strlcpy.c'; fi` +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/strlcpy.Tpo $(DEPDIR)/strlcpy.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='../lib/strlcpy.c' object='strlcpy.obj' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o strlcpy.obj `if test -f '../lib/strlcpy.c'; then $(CYGPATH_W) '../lib/strlcpy.c'; else $(CYGPATH_W) '$(srcdir)/../lib/strlcpy.c'; fi` +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o strlcpy.obj `if test -f '../lib/strlcpy.c'; then $(CYGPATH_W) '../lib/strlcpy.c'; else $(CYGPATH_W) '$(srcdir)/../lib/strlcpy.c'; fi` strlcat.o: ../lib/strlcat.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT strlcat.o -MD -MP -MF $(DEPDIR)/strlcat.Tpo -c -o strlcat.o `test -f '../lib/strlcat.c' || echo '$(srcdir)/'`../lib/strlcat.c -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/strlcat.Tpo $(DEPDIR)/strlcat.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='../lib/strlcat.c' object='strlcat.o' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT strlcat.o -MD -MP -MF $(DEPDIR)/strlcat.Tpo -c -o strlcat.o `test -f '../lib/strlcat.c' || echo '$(srcdir)/'`../lib/strlcat.c +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/strlcat.Tpo $(DEPDIR)/strlcat.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='../lib/strlcat.c' object='strlcat.o' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o strlcat.o `test -f '../lib/strlcat.c' || echo '$(srcdir)/'`../lib/strlcat.c +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o strlcat.o `test -f '../lib/strlcat.c' || echo '$(srcdir)/'`../lib/strlcat.c strlcat.obj: ../lib/strlcat.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT strlcat.obj -MD -MP -MF $(DEPDIR)/strlcat.Tpo -c -o strlcat.obj `if test -f '../lib/strlcat.c'; then $(CYGPATH_W) '../lib/strlcat.c'; else $(CYGPATH_W) '$(srcdir)/../lib/strlcat.c'; fi` -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/strlcat.Tpo $(DEPDIR)/strlcat.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='../lib/strlcat.c' object='strlcat.obj' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT strlcat.obj -MD -MP -MF $(DEPDIR)/strlcat.Tpo -c -o strlcat.obj `if test -f '../lib/strlcat.c'; then $(CYGPATH_W) '../lib/strlcat.c'; else $(CYGPATH_W) '$(srcdir)/../lib/strlcat.c'; fi` +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/strlcat.Tpo $(DEPDIR)/strlcat.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='../lib/strlcat.c' object='strlcat.obj' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o strlcat.obj `if test -f '../lib/strlcat.c'; then $(CYGPATH_W) '../lib/strlcat.c'; else $(CYGPATH_W) '$(srcdir)/../lib/strlcat.c'; fi` +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o strlcat.obj `if test -f '../lib/strlcat.c'; then $(CYGPATH_W) '../lib/strlcat.c'; else $(CYGPATH_W) '$(srcdir)/../lib/strlcat.c'; fi` fko_util.o: ../lib/fko_util.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fko_util.o -MD -MP -MF $(DEPDIR)/fko_util.Tpo -c -o fko_util.o `test -f '../lib/fko_util.c' || echo '$(srcdir)/'`../lib/fko_util.c -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fko_util.Tpo $(DEPDIR)/fko_util.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='../lib/fko_util.c' object='fko_util.o' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fko_util.o -MD -MP -MF $(DEPDIR)/fko_util.Tpo -c -o fko_util.o `test -f '../lib/fko_util.c' || echo '$(srcdir)/'`../lib/fko_util.c +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fko_util.Tpo $(DEPDIR)/fko_util.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='../lib/fko_util.c' object='fko_util.o' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fko_util.o `test -f '../lib/fko_util.c' || echo '$(srcdir)/'`../lib/fko_util.c +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fko_util.o `test -f '../lib/fko_util.c' || echo '$(srcdir)/'`../lib/fko_util.c fko_util.obj: ../lib/fko_util.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fko_util.obj -MD -MP -MF $(DEPDIR)/fko_util.Tpo -c -o fko_util.obj `if test -f '../lib/fko_util.c'; then $(CYGPATH_W) '../lib/fko_util.c'; else $(CYGPATH_W) '$(srcdir)/../lib/fko_util.c'; fi` -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fko_util.Tpo $(DEPDIR)/fko_util.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='../lib/fko_util.c' object='fko_util.obj' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fko_util.obj -MD -MP -MF $(DEPDIR)/fko_util.Tpo -c -o fko_util.obj `if test -f '../lib/fko_util.c'; then $(CYGPATH_W) '../lib/fko_util.c'; else $(CYGPATH_W) '$(srcdir)/../lib/fko_util.c'; fi` +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fko_util.Tpo $(DEPDIR)/fko_util.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='../lib/fko_util.c' object='fko_util.obj' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fko_util.obj `if test -f '../lib/fko_util.c'; then $(CYGPATH_W) '../lib/fko_util.c'; else $(CYGPATH_W) '$(srcdir)/../lib/fko_util.c'; fi` +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fko_util.obj `if test -f '../lib/fko_util.c'; then $(CYGPATH_W) '../lib/fko_util.c'; else $(CYGPATH_W) '$(srcdir)/../lib/fko_util.c'; fi` mostlyclean-libtool: -rm -f *.lo @@ -346,26 +422,15 @@ clean-libtool: -rm -rf .libs _libs -ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES) - list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \ - unique=`for i in $$list; do \ - if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \ - done | \ - $(AWK) '{ files[$$0] = 1; nonempty = 1; } \ - END { if (nonempty) { for (i in files) print i; }; }'`; \ - mkid -fID $$unique -tags: TAGS +ID: $(am__tagged_files) + $(am__define_uniq_tagged_files); mkid -fID $$unique +tags: tags-am +TAGS: tags -TAGS: $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \ - $(TAGS_FILES) $(LISP) +tags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files) set x; \ here=`pwd`; \ - list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \ - unique=`for i in $$list; do \ - if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \ - done | \ - $(AWK) '{ files[$$0] = 1; nonempty = 1; } \ - END { if (nonempty) { for (i in files) print i; }; }'`; \ + $(am__define_uniq_tagged_files); \ shift; \ if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \ test -n "$$unique" || unique=$$empty_fix; \ @@ -377,15 +442,11 @@ $$unique; \ fi; \ fi -ctags: CTAGS -CTAGS: $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \ - $(TAGS_FILES) $(LISP) - list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \ - unique=`for i in $$list; do \ - if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \ - done | \ - $(AWK) '{ files[$$0] = 1; nonempty = 1; } \ - END { if (nonempty) { for (i in files) print i; }; }'`; \ +ctags: ctags-am + +CTAGS: ctags +ctags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files) + $(am__define_uniq_tagged_files); \ test -z "$(CTAGS_ARGS)$$unique" \ || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \ $$unique @@ -394,6 +455,21 @@ here=`$(am__cd) $(top_builddir) && pwd` \ && $(am__cd) $(top_srcdir) \ && gtags -i $(GTAGS_ARGS) "$$here" +cscopelist: cscopelist-am + +cscopelist-am: $(am__tagged_files) + list='$(am__tagged_files)'; \ + case "$(srcdir)" in \ + [\\/]* | ?:[\\/]*) sdir="$(srcdir)" ;; \ + *) sdir=$(subdir)/$(srcdir) ;; \ + esac; \ + for i in $$list; do \ + if test -f "$$i"; then \ + echo "$(subdir)/$$i"; \ + else \ + echo "$$sdir/$$i"; \ + fi; \ + done >> $(top_builddir)/cscope.files distclean-tags: -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags @@ -535,18 +611,19 @@ .MAKE: install-am install-strip -.PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \ - clean-libtool clean-noinstLIBRARIES ctags distclean \ - distclean-compile distclean-generic distclean-libtool \ - distclean-tags distdir dvi dvi-am html html-am info info-am \ - install install-am install-data install-data-am install-dvi \ - install-dvi-am install-exec install-exec-am install-html \ - install-html-am install-info install-info-am install-man \ - install-pdf install-pdf-am install-ps install-ps-am \ - install-strip installcheck installcheck-am installdirs \ - maintainer-clean maintainer-clean-generic mostlyclean \ - mostlyclean-compile mostlyclean-generic mostlyclean-libtool \ - pdf pdf-am ps ps-am tags uninstall uninstall-am +.PHONY: CTAGS GTAGS TAGS all all-am check check-am clean clean-generic \ + clean-libtool clean-noinstLIBRARIES cscopelist-am ctags \ + ctags-am distclean distclean-compile distclean-generic \ + distclean-libtool distclean-tags distdir dvi dvi-am html \ + html-am info info-am install install-am install-data \ + install-data-am install-dvi install-dvi-am install-exec \ + install-exec-am install-html install-html-am install-info \ + install-info-am install-man install-pdf install-pdf-am \ + install-ps install-ps-am install-strip installcheck \ + installcheck-am installdirs maintainer-clean \ + maintainer-clean-generic mostlyclean mostlyclean-compile \ + mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \ + tags tags-am uninstall uninstall-am # Tell versions [3.59,3.63) of GNU make to not export all variables. diff -Nru fwknop-2.5.1/config/compile fwknop-2.6.0/config/compile --- fwknop-2.5.1/config/compile 2013-07-26 01:30:37.000000000 +0000 +++ fwknop-2.6.0/config/compile 2014-01-13 03:30:53.000000000 +0000 @@ -1,10 +1,9 @@ #! /bin/sh # Wrapper for compilers which do not understand '-c -o'. -scriptversion=2012-03-05.13; # UTC +scriptversion=2012-10-14.11; # UTC -# Copyright (C) 1999, 2000, 2003, 2004, 2005, 2009, 2010, 2012 Free -# Software Foundation, Inc. +# Copyright (C) 1999-2013 Free Software Foundation, Inc. # Written by Tom Tromey . # # This program is free software; you can redistribute it and/or modify @@ -113,6 +112,11 @@ lib=$dir/$lib.lib break fi + if test -f "$dir/lib$lib.a"; then + found=yes + lib=$dir/lib$lib.a + break + fi done IFS=$save_IFS diff -Nru fwknop-2.5.1/config/config.guess fwknop-2.6.0/config/config.guess --- fwknop-2.5.1/config/config.guess 2013-07-26 01:30:37.000000000 +0000 +++ fwknop-2.6.0/config/config.guess 2014-01-13 03:30:53.000000000 +0000 @@ -1,14 +1,12 @@ #! /bin/sh # Attempt to guess a canonical system name. -# Copyright (C) 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, -# 2000, 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, -# 2011, 2012 Free Software Foundation, Inc. +# Copyright 1992-2013 Free Software Foundation, Inc. -timestamp='2012-02-10' +timestamp='2013-06-10' # This file is free software; you can redistribute it and/or modify it # under the terms of the GNU General Public License as published by -# the Free Software Foundation; either version 2 of the License, or +# the Free Software Foundation; either version 3 of the License, or # (at your option) any later version. # # This program is distributed in the hope that it will be useful, but @@ -22,19 +20,17 @@ # As a special exception to the GNU General Public License, if you # distribute this file as part of a program that contains a # configuration script generated by Autoconf, you may include it under -# the same distribution terms that you use for the rest of that program. - - -# Originally written by Per Bothner. Please send patches (context -# diff format) to and include a ChangeLog -# entry. +# the same distribution terms that you use for the rest of that +# program. This Exception is an additional permission under section 7 +# of the GNU General Public License, version 3 ("GPLv3"). # -# This script attempts to guess a canonical system name similar to -# config.sub. If it succeeds, it prints the system name on stdout, and -# exits with 0. Otherwise, it exits with 1. +# Originally written by Per Bothner. # # You can get the latest version of this script from: # http://git.savannah.gnu.org/gitweb/?p=config.git;a=blob_plain;f=config.guess;hb=HEAD +# +# Please send patches with a ChangeLog entry to config-patches@gnu.org. + me=`echo "$0" | sed -e 's,.*/,,'` @@ -54,9 +50,7 @@ GNU config.guess ($timestamp) Originally written by Per Bothner. -Copyright (C) 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000, -2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011, 2012 -Free Software Foundation, Inc. +Copyright 1992-2013 Free Software Foundation, Inc. This is free software; see the source for copying conditions. There is NO warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE." @@ -138,6 +132,27 @@ UNAME_SYSTEM=`(uname -s) 2>/dev/null` || UNAME_SYSTEM=unknown UNAME_VERSION=`(uname -v) 2>/dev/null` || UNAME_VERSION=unknown +case "${UNAME_SYSTEM}" in +Linux|GNU|GNU/*) + # If the system lacks a compiler, then just pick glibc. + # We could probably try harder. + LIBC=gnu + + eval $set_cc_for_build + cat <<-EOF > $dummy.c + #include + #if defined(__UCLIBC__) + LIBC=uclibc + #elif defined(__dietlibc__) + LIBC=dietlibc + #else + LIBC=gnu + #endif + EOF + eval `$CC_FOR_BUILD -E $dummy.c 2>/dev/null | grep '^LIBC'` + ;; +esac + # Note: order is significant - the case branches are not exclusive. case "${UNAME_MACHINE}:${UNAME_SYSTEM}:${UNAME_RELEASE}:${UNAME_VERSION}" in @@ -200,6 +215,10 @@ # CPU_TYPE-MANUFACTURER-OPERATING_SYSTEM is used. echo "${machine}-${os}${release}" exit ;; + *:Bitrig:*:*) + UNAME_MACHINE_ARCH=`arch | sed 's/Bitrig.//'` + echo ${UNAME_MACHINE_ARCH}-unknown-bitrig${UNAME_RELEASE} + exit ;; *:OpenBSD:*:*) UNAME_MACHINE_ARCH=`arch | sed 's/OpenBSD.//'` echo ${UNAME_MACHINE_ARCH}-unknown-openbsd${UNAME_RELEASE} @@ -302,7 +321,7 @@ arm:RISC*:1.[012]*:*|arm:riscix:1.[012]*:*) echo arm-acorn-riscix${UNAME_RELEASE} exit ;; - arm:riscos:*:*|arm:RISCOS:*:*) + arm*:riscos:*:*|arm*:RISCOS:*:*) echo arm-unknown-riscos exit ;; SR2?01:HI-UX/MPP:*:* | SR8000:HI-UX/MPP:*:*) @@ -801,6 +820,9 @@ i*:CYGWIN*:*) echo ${UNAME_MACHINE}-pc-cygwin exit ;; + *:MINGW64*:*) + echo ${UNAME_MACHINE}-pc-mingw64 + exit ;; *:MINGW*:*) echo ${UNAME_MACHINE}-pc-mingw32 exit ;; @@ -852,21 +874,21 @@ exit ;; *:GNU:*:*) # the GNU system - echo `echo ${UNAME_MACHINE}|sed -e 's,[-/].*$,,'`-unknown-gnu`echo ${UNAME_RELEASE}|sed -e 's,/.*$,,'` + echo `echo ${UNAME_MACHINE}|sed -e 's,[-/].*$,,'`-unknown-${LIBC}`echo ${UNAME_RELEASE}|sed -e 's,/.*$,,'` exit ;; *:GNU/*:*:*) # other systems with GNU libc and userland - echo ${UNAME_MACHINE}-unknown-`echo ${UNAME_SYSTEM} | sed 's,^[^/]*/,,' | tr '[A-Z]' '[a-z]'``echo ${UNAME_RELEASE}|sed -e 's/[-(].*//'`-gnu + echo ${UNAME_MACHINE}-unknown-`echo ${UNAME_SYSTEM} | sed 's,^[^/]*/,,' | tr '[A-Z]' '[a-z]'``echo ${UNAME_RELEASE}|sed -e 's/[-(].*//'`-${LIBC} exit ;; i*86:Minix:*:*) echo ${UNAME_MACHINE}-pc-minix exit ;; aarch64:Linux:*:*) - echo ${UNAME_MACHINE}-unknown-linux-gnu + echo ${UNAME_MACHINE}-unknown-linux-${LIBC} exit ;; aarch64_be:Linux:*:*) UNAME_MACHINE=aarch64_be - echo ${UNAME_MACHINE}-unknown-linux-gnu + echo ${UNAME_MACHINE}-unknown-linux-${LIBC} exit ;; alpha:Linux:*:*) case `sed -n '/^cpu model/s/^.*: \(.*\)/\1/p' < /proc/cpuinfo` in @@ -879,59 +901,54 @@ EV68*) UNAME_MACHINE=alphaev68 ;; esac objdump --private-headers /bin/sh | grep -q ld.so.1 - if test "$?" = 0 ; then LIBC="libc1" ; else LIBC="" ; fi - echo ${UNAME_MACHINE}-unknown-linux-gnu${LIBC} + if test "$?" = 0 ; then LIBC="gnulibc1" ; fi + echo ${UNAME_MACHINE}-unknown-linux-${LIBC} + exit ;; + arc:Linux:*:* | arceb:Linux:*:*) + echo ${UNAME_MACHINE}-unknown-linux-${LIBC} exit ;; arm*:Linux:*:*) eval $set_cc_for_build if echo __ARM_EABI__ | $CC_FOR_BUILD -E - 2>/dev/null \ | grep -q __ARM_EABI__ then - echo ${UNAME_MACHINE}-unknown-linux-gnu + echo ${UNAME_MACHINE}-unknown-linux-${LIBC} else if echo __ARM_PCS_VFP | $CC_FOR_BUILD -E - 2>/dev/null \ | grep -q __ARM_PCS_VFP then - echo ${UNAME_MACHINE}-unknown-linux-gnueabi + echo ${UNAME_MACHINE}-unknown-linux-${LIBC}eabi else - echo ${UNAME_MACHINE}-unknown-linux-gnueabihf + echo ${UNAME_MACHINE}-unknown-linux-${LIBC}eabihf fi fi exit ;; avr32*:Linux:*:*) - echo ${UNAME_MACHINE}-unknown-linux-gnu + echo ${UNAME_MACHINE}-unknown-linux-${LIBC} exit ;; cris:Linux:*:*) - echo ${UNAME_MACHINE}-axis-linux-gnu + echo ${UNAME_MACHINE}-axis-linux-${LIBC} exit ;; crisv32:Linux:*:*) - echo ${UNAME_MACHINE}-axis-linux-gnu + echo ${UNAME_MACHINE}-axis-linux-${LIBC} exit ;; frv:Linux:*:*) - echo ${UNAME_MACHINE}-unknown-linux-gnu + echo ${UNAME_MACHINE}-unknown-linux-${LIBC} exit ;; hexagon:Linux:*:*) - echo ${UNAME_MACHINE}-unknown-linux-gnu + echo ${UNAME_MACHINE}-unknown-linux-${LIBC} exit ;; i*86:Linux:*:*) - LIBC=gnu - eval $set_cc_for_build - sed 's/^ //' << EOF >$dummy.c - #ifdef __dietlibc__ - LIBC=dietlibc - #endif -EOF - eval `$CC_FOR_BUILD -E $dummy.c 2>/dev/null | grep '^LIBC'` - echo "${UNAME_MACHINE}-pc-linux-${LIBC}" + echo ${UNAME_MACHINE}-pc-linux-${LIBC} exit ;; ia64:Linux:*:*) - echo ${UNAME_MACHINE}-unknown-linux-gnu + echo ${UNAME_MACHINE}-unknown-linux-${LIBC} exit ;; m32r*:Linux:*:*) - echo ${UNAME_MACHINE}-unknown-linux-gnu + echo ${UNAME_MACHINE}-unknown-linux-${LIBC} exit ;; m68*:Linux:*:*) - echo ${UNAME_MACHINE}-unknown-linux-gnu + echo ${UNAME_MACHINE}-unknown-linux-${LIBC} exit ;; mips:Linux:*:* | mips64:Linux:*:*) eval $set_cc_for_build @@ -950,54 +967,63 @@ #endif EOF eval `$CC_FOR_BUILD -E $dummy.c 2>/dev/null | grep '^CPU'` - test x"${CPU}" != x && { echo "${CPU}-unknown-linux-gnu"; exit; } + test x"${CPU}" != x && { echo "${CPU}-unknown-linux-${LIBC}"; exit; } ;; + or1k:Linux:*:*) + echo ${UNAME_MACHINE}-unknown-linux-${LIBC} + exit ;; or32:Linux:*:*) - echo ${UNAME_MACHINE}-unknown-linux-gnu + echo ${UNAME_MACHINE}-unknown-linux-${LIBC} exit ;; padre:Linux:*:*) - echo sparc-unknown-linux-gnu + echo sparc-unknown-linux-${LIBC} exit ;; parisc64:Linux:*:* | hppa64:Linux:*:*) - echo hppa64-unknown-linux-gnu + echo hppa64-unknown-linux-${LIBC} exit ;; parisc:Linux:*:* | hppa:Linux:*:*) # Look for CPU level case `grep '^cpu[^a-z]*:' /proc/cpuinfo 2>/dev/null | cut -d' ' -f2` in - PA7*) echo hppa1.1-unknown-linux-gnu ;; - PA8*) echo hppa2.0-unknown-linux-gnu ;; - *) echo hppa-unknown-linux-gnu ;; + PA7*) echo hppa1.1-unknown-linux-${LIBC} ;; + PA8*) echo hppa2.0-unknown-linux-${LIBC} ;; + *) echo hppa-unknown-linux-${LIBC} ;; esac exit ;; ppc64:Linux:*:*) - echo powerpc64-unknown-linux-gnu + echo powerpc64-unknown-linux-${LIBC} exit ;; ppc:Linux:*:*) - echo powerpc-unknown-linux-gnu + echo powerpc-unknown-linux-${LIBC} + exit ;; + ppc64le:Linux:*:*) + echo powerpc64le-unknown-linux-${LIBC} + exit ;; + ppcle:Linux:*:*) + echo powerpcle-unknown-linux-${LIBC} exit ;; s390:Linux:*:* | s390x:Linux:*:*) - echo ${UNAME_MACHINE}-ibm-linux + echo ${UNAME_MACHINE}-ibm-linux-${LIBC} exit ;; sh64*:Linux:*:*) - echo ${UNAME_MACHINE}-unknown-linux-gnu + echo ${UNAME_MACHINE}-unknown-linux-${LIBC} exit ;; sh*:Linux:*:*) - echo ${UNAME_MACHINE}-unknown-linux-gnu + echo ${UNAME_MACHINE}-unknown-linux-${LIBC} exit ;; sparc:Linux:*:* | sparc64:Linux:*:*) - echo ${UNAME_MACHINE}-unknown-linux-gnu + echo ${UNAME_MACHINE}-unknown-linux-${LIBC} exit ;; tile*:Linux:*:*) - echo ${UNAME_MACHINE}-unknown-linux-gnu + echo ${UNAME_MACHINE}-unknown-linux-${LIBC} exit ;; vax:Linux:*:*) - echo ${UNAME_MACHINE}-dec-linux-gnu + echo ${UNAME_MACHINE}-dec-linux-${LIBC} exit ;; x86_64:Linux:*:*) - echo ${UNAME_MACHINE}-unknown-linux-gnu + echo ${UNAME_MACHINE}-unknown-linux-${LIBC} exit ;; xtensa*:Linux:*:*) - echo ${UNAME_MACHINE}-unknown-linux-gnu + echo ${UNAME_MACHINE}-unknown-linux-${LIBC} exit ;; i*86:DYNIX/ptx:4*:*) # ptx 4.0 does uname -s correctly, with DYNIX/ptx in there. @@ -1201,6 +1227,9 @@ BePC:Haiku:*:*) # Haiku running on Intel PC compatible. echo i586-pc-haiku exit ;; + x86_64:Haiku:*:*) + echo x86_64-unknown-haiku + exit ;; SX-4:SUPER-UX:*:*) echo sx4-nec-superux${UNAME_RELEASE} exit ;; @@ -1227,19 +1256,21 @@ exit ;; *:Darwin:*:*) UNAME_PROCESSOR=`uname -p` || UNAME_PROCESSOR=unknown - case $UNAME_PROCESSOR in - i386) - eval $set_cc_for_build - if [ "$CC_FOR_BUILD" != 'no_compiler_found' ]; then - if (echo '#ifdef __LP64__'; echo IS_64BIT_ARCH; echo '#endif') | \ - (CCOPTS= $CC_FOR_BUILD -E - 2>/dev/null) | \ - grep IS_64BIT_ARCH >/dev/null - then - UNAME_PROCESSOR="x86_64" - fi - fi ;; - unknown) UNAME_PROCESSOR=powerpc ;; - esac + eval $set_cc_for_build + if test "$UNAME_PROCESSOR" = unknown ; then + UNAME_PROCESSOR=powerpc + fi + if [ "$CC_FOR_BUILD" != 'no_compiler_found' ]; then + if (echo '#ifdef __LP64__'; echo IS_64BIT_ARCH; echo '#endif') | \ + (CCOPTS= $CC_FOR_BUILD -E - 2>/dev/null) | \ + grep IS_64BIT_ARCH >/dev/null + then + case $UNAME_PROCESSOR in + i386) UNAME_PROCESSOR=x86_64 ;; + powerpc) UNAME_PROCESSOR=powerpc64 ;; + esac + fi + fi echo ${UNAME_PROCESSOR}-apple-darwin${UNAME_RELEASE} exit ;; *:procnto*:*:* | *:QNX:[0123456789]*:*) @@ -1256,7 +1287,7 @@ NEO-?:NONSTOP_KERNEL:*:*) echo neo-tandem-nsk${UNAME_RELEASE} exit ;; - NSE-?:NONSTOP_KERNEL:*:*) + NSE-*:NONSTOP_KERNEL:*:*) echo nse-tandem-nsk${UNAME_RELEASE} exit ;; NSR-?:NONSTOP_KERNEL:*:*) @@ -1330,9 +1361,6 @@ exit ;; esac -#echo '(No uname command or uname output not recognized.)' 1>&2 -#echo "${UNAME_MACHINE}:${UNAME_SYSTEM}:${UNAME_RELEASE}:${UNAME_VERSION}" 1>&2 - eval $set_cc_for_build cat >$dummy.c <. @@ -26,11 +20,12 @@ # As a special exception to the GNU General Public License, if you # distribute this file as part of a program that contains a # configuration script generated by Autoconf, you may include it under -# the same distribution terms that you use for the rest of that program. +# the same distribution terms that you use for the rest of that +# program. This Exception is an additional permission under section 7 +# of the GNU General Public License, version 3 ("GPLv3"). -# Please send patches to . Submit a context -# diff and a properly formatted GNU ChangeLog entry. +# Please send patches with a ChangeLog entry to config-patches@gnu.org. # # Configuration subroutine to validate and canonicalize a configuration type. # Supply the specified configuration type as an argument. @@ -73,9 +68,7 @@ version="\ GNU config.sub ($timestamp) -Copyright (C) 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000, -2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011, 2012 -Free Software Foundation, Inc. +Copyright 1992-2013 Free Software Foundation, Inc. This is free software; see the source for copying conditions. There is NO warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE." @@ -123,7 +116,7 @@ maybe_os=`echo $1 | sed 's/^\(.*\)-\([^-]*-[^-]*\)$/\2/'` case $maybe_os in nto-qnx* | linux-gnu* | linux-android* | linux-dietlibc | linux-newlib* | \ - linux-uclibc* | uclinux-uclibc* | uclinux-gnu* | kfreebsd*-gnu* | \ + linux-musl* | linux-uclibc* | uclinux-uclibc* | uclinux-gnu* | kfreebsd*-gnu* | \ knetbsd*-gnu* | netbsd*-gnu* | \ kopensolaris*-gnu* | \ storm-chaos* | os2-emx* | rtmk-nova*) @@ -156,7 +149,7 @@ -convergent* | -ncr* | -news | -32* | -3600* | -3100* | -hitachi* |\ -c[123]* | -convex* | -sun | -crds | -omron* | -dg | -ultra | -tti* | \ -harris | -dolphin | -highlevel | -gould | -cbm | -ns | -masscomp | \ - -apple | -axis | -knuth | -cray | -microblaze) + -apple | -axis | -knuth | -cray | -microblaze*) os= basic_machine=$1 ;; @@ -259,10 +252,12 @@ | alpha | alphaev[4-8] | alphaev56 | alphaev6[78] | alphapca5[67] \ | alpha64 | alpha64ev[4-8] | alpha64ev56 | alpha64ev6[78] | alpha64pca5[67] \ | am33_2.0 \ - | arc | arm | arm[bl]e | arme[lb] | armv[2345] | armv[345][lb] | avr | avr32 \ - | be32 | be64 \ + | arc | arceb \ + | arm | arm[bl]e | arme[lb] | armv[2-8] | armv[3-8][lb] | armv7[arm] \ + | avr | avr32 \ + | be32 | be64 \ | bfin \ - | c4x | clipper \ + | c4x | c8051 | clipper \ | d10v | d30v | dlx | dsp16xx \ | epiphany \ | fido | fr30 | frv \ @@ -273,7 +268,7 @@ | le32 | le64 \ | lm32 \ | m32c | m32r | m32rle | m68000 | m68k | m88k \ - | maxq | mb | microblaze | mcore | mep | metag \ + | maxq | mb | microblaze | microblazeel | mcore | mep | metag \ | mips | mipsbe | mipseb | mipsel | mipsle \ | mips16 \ | mips64 | mips64el \ @@ -291,16 +286,17 @@ | mipsisa64r2 | mipsisa64r2el \ | mipsisa64sb1 | mipsisa64sb1el \ | mipsisa64sr71k | mipsisa64sr71kel \ + | mipsr5900 | mipsr5900el \ | mipstx39 | mipstx39el \ | mn10200 | mn10300 \ | moxie \ | mt \ | msp430 \ | nds32 | nds32le | nds32be \ - | nios | nios2 \ + | nios | nios2 | nios2eb | nios2el \ | ns16k | ns32k \ | open8 \ - | or32 \ + | or1k | or32 \ | pdp10 | pdp11 | pj | pjl \ | powerpc | powerpc64 | powerpc64le | powerpcle \ | pyramid \ @@ -370,13 +366,13 @@ | aarch64-* | aarch64_be-* \ | alpha-* | alphaev[4-8]-* | alphaev56-* | alphaev6[78]-* \ | alpha64-* | alpha64ev[4-8]-* | alpha64ev56-* | alpha64ev6[78]-* \ - | alphapca5[67]-* | alpha64pca5[67]-* | arc-* \ + | alphapca5[67]-* | alpha64pca5[67]-* | arc-* | arceb-* \ | arm-* | armbe-* | armle-* | armeb-* | armv*-* \ | avr-* | avr32-* \ | be32-* | be64-* \ | bfin-* | bs2000-* \ | c[123]* | c30-* | [cjt]90-* | c4x-* \ - | clipper-* | craynv-* | cydra-* \ + | c8051-* | clipper-* | craynv-* | cydra-* \ | d10v-* | d30v-* | dlx-* \ | elxsi-* \ | f30[01]-* | f700-* | fido-* | fr30-* | frv-* | fx80-* \ @@ -389,7 +385,8 @@ | lm32-* \ | m32c-* | m32r-* | m32rle-* \ | m68000-* | m680[012346]0-* | m68360-* | m683?2-* | m68k-* \ - | m88110-* | m88k-* | maxq-* | mcore-* | metag-* | microblaze-* \ + | m88110-* | m88k-* | maxq-* | mcore-* | metag-* \ + | microblaze-* | microblazeel-* \ | mips-* | mipsbe-* | mipseb-* | mipsel-* | mipsle-* \ | mips16-* \ | mips64-* | mips64el-* \ @@ -407,12 +404,13 @@ | mipsisa64r2-* | mipsisa64r2el-* \ | mipsisa64sb1-* | mipsisa64sb1el-* \ | mipsisa64sr71k-* | mipsisa64sr71kel-* \ + | mipsr5900-* | mipsr5900el-* \ | mipstx39-* | mipstx39el-* \ | mmix-* \ | mt-* \ | msp430-* \ | nds32-* | nds32le-* | nds32be-* \ - | nios-* | nios2-* \ + | nios-* | nios2-* | nios2eb-* | nios2el-* \ | none-* | np1-* | ns16k-* | ns32k-* \ | open8-* \ | orion-* \ @@ -788,11 +786,15 @@ basic_machine=ns32k-utek os=-sysv ;; - microblaze) + microblaze*) basic_machine=microblaze-xilinx ;; + mingw64) + basic_machine=x86_64-pc + os=-mingw64 + ;; mingw32) - basic_machine=i386-pc + basic_machine=i686-pc os=-mingw32 ;; mingw32ce) @@ -828,7 +830,7 @@ basic_machine=`echo $basic_machine | sed -e 's/ms1-/mt-/'` ;; msys) - basic_machine=i386-pc + basic_machine=i686-pc os=-msys ;; mvs) @@ -1019,7 +1021,11 @@ basic_machine=i586-unknown os=-pw32 ;; - rdos) + rdos | rdos64) + basic_machine=x86_64-pc + os=-rdos + ;; + rdos32) basic_machine=i386-pc os=-rdos ;; @@ -1346,21 +1352,21 @@ -gnu* | -bsd* | -mach* | -minix* | -genix* | -ultrix* | -irix* \ | -*vms* | -sco* | -esix* | -isc* | -aix* | -cnk* | -sunos | -sunos[34]*\ | -hpux* | -unos* | -osf* | -luna* | -dgux* | -auroraux* | -solaris* \ - | -sym* | -kopensolaris* \ + | -sym* | -kopensolaris* | -plan9* \ | -amigaos* | -amigados* | -msdos* | -newsos* | -unicos* | -aof* \ | -aos* | -aros* \ | -nindy* | -vxsim* | -vxworks* | -ebmon* | -hms* | -mvs* \ | -clix* | -riscos* | -uniplus* | -iris* | -rtu* | -xenix* \ | -hiux* | -386bsd* | -knetbsd* | -mirbsd* | -netbsd* \ - | -openbsd* | -solidbsd* \ + | -bitrig* | -openbsd* | -solidbsd* \ | -ekkobsd* | -kfreebsd* | -freebsd* | -riscix* | -lynxos* \ | -bosx* | -nextstep* | -cxux* | -aout* | -elf* | -oabi* \ | -ptx* | -coff* | -ecoff* | -winnt* | -domain* | -vsta* \ | -udi* | -eabi* | -lites* | -ieee* | -go32* | -aux* \ | -chorusos* | -chorusrdb* | -cegcc* \ | -cygwin* | -msys* | -pe* | -psos* | -moss* | -proelf* | -rtems* \ - | -mingw32* | -linux-gnu* | -linux-android* \ - | -linux-newlib* | -linux-uclibc* \ + | -mingw32* | -mingw64* | -linux-gnu* | -linux-android* \ + | -linux-newlib* | -linux-musl* | -linux-uclibc* \ | -uxpv* | -beos* | -mpeix* | -udk* \ | -interix* | -uwin* | -mks* | -rhapsody* | -darwin* | -opened* \ | -openstep* | -oskit* | -conix* | -pw32* | -nonstopux* \ @@ -1492,9 +1498,6 @@ -aros*) os=-aros ;; - -kaos*) - os=-kaos - ;; -zvmoe) os=-zvmoe ;; @@ -1543,6 +1546,9 @@ c4x-* | tic4x-*) os=-coff ;; + c8051-*) + os=-elf + ;; hexagon-*) os=-elf ;; @@ -1586,6 +1592,9 @@ mips*-*) os=-elf ;; + or1k-*) + os=-elf + ;; or32-*) os=-coff ;; diff -Nru fwknop-2.5.1/config/depcomp fwknop-2.6.0/config/depcomp --- fwknop-2.5.1/config/depcomp 2013-07-26 01:30:37.000000000 +0000 +++ fwknop-2.6.0/config/depcomp 2014-01-13 03:30:53.000000000 +0000 @@ -1,10 +1,9 @@ #! /bin/sh # depcomp - compile a program generating dependencies as side-effects -scriptversion=2012-03-27.16; # UTC +scriptversion=2013-05-30.07; # UTC -# Copyright (C) 1999, 2000, 2003, 2004, 2005, 2006, 2007, 2009, 2010, -# 2011, 2012 Free Software Foundation, Inc. +# Copyright (C) 1999-2013 Free Software Foundation, Inc. # This program is free software; you can redistribute it and/or modify # it under the terms of the GNU General Public License as published by @@ -28,9 +27,9 @@ case $1 in '') - echo "$0: No command. Try '$0 --help' for more information." 1>&2 - exit 1; - ;; + echo "$0: No command. Try '$0 --help' for more information." 1>&2 + exit 1; + ;; -h | --h*) cat <<\EOF Usage: depcomp [--help] [--version] PROGRAM [ARGS] @@ -57,11 +56,65 @@ ;; esac +# Get the directory component of the given path, and save it in the +# global variables '$dir'. Note that this directory component will +# be either empty or ending with a '/' character. This is deliberate. +set_dir_from () +{ + case $1 in + */*) dir=`echo "$1" | sed -e 's|/[^/]*$|/|'`;; + *) dir=;; + esac +} + +# Get the suffix-stripped basename of the given path, and save it the +# global variable '$base'. +set_base_from () +{ + base=`echo "$1" | sed -e 's|^.*/||' -e 's/\.[^.]*$//'` +} + +# If no dependency file was actually created by the compiler invocation, +# we still have to create a dummy depfile, to avoid errors with the +# Makefile "include basename.Plo" scheme. +make_dummy_depfile () +{ + echo "#dummy" > "$depfile" +} + +# Factor out some common post-processing of the generated depfile. +# Requires the auxiliary global variable '$tmpdepfile' to be set. +aix_post_process_depfile () +{ + # If the compiler actually managed to produce a dependency file, + # post-process it. + if test -f "$tmpdepfile"; then + # Each line is of the form 'foo.o: dependency.h'. + # Do two passes, one to just change these to + # $object: dependency.h + # and one to simply output + # dependency.h: + # which is needed to avoid the deleted-header problem. + { sed -e "s,^.*\.[$lower]*:,$object:," < "$tmpdepfile" + sed -e "s,^.*\.[$lower]*:[$tab ]*,," -e 's,$,:,' < "$tmpdepfile" + } > "$depfile" + rm -f "$tmpdepfile" + else + make_dummy_depfile + fi +} + # A tabulation character. tab=' ' # A newline character. nl=' ' +# Character ranges might be problematic outside the C locale. +# These definitions help. +upper=ABCDEFGHIJKLMNOPQRSTUVWXYZ +lower=abcdefghijklmnopqrstuvwxyz +digits=0123456789 +alpha=${upper}${lower} if test -z "$depmode" || test -z "$source" || test -z "$object"; then echo "depcomp: Variables source, object and depmode must be set" 1>&2 @@ -75,6 +128,9 @@ rm -f "$tmpdepfile" +# Avoid interferences from the environment. +gccflag= dashmflag= + # Some modes work just like other modes, but use different flags. We # parameterize here, but still list the modes in the big case below, # to make depend.m4 easier to write. Note that we *cannot* use a case @@ -86,32 +142,32 @@ fi if test "$depmode" = dashXmstdout; then - # This is just like dashmstdout with a different argument. - dashmflag=-xM - depmode=dashmstdout + # This is just like dashmstdout with a different argument. + dashmflag=-xM + depmode=dashmstdout fi cygpath_u="cygpath -u -f -" if test "$depmode" = msvcmsys; then - # This is just like msvisualcpp but w/o cygpath translation. - # Just convert the backslash-escaped backslashes to single forward - # slashes to satisfy depend.m4 - cygpath_u='sed s,\\\\,/,g' - depmode=msvisualcpp + # This is just like msvisualcpp but w/o cygpath translation. + # Just convert the backslash-escaped backslashes to single forward + # slashes to satisfy depend.m4 + cygpath_u='sed s,\\\\,/,g' + depmode=msvisualcpp fi if test "$depmode" = msvc7msys; then - # This is just like msvc7 but w/o cygpath translation. - # Just convert the backslash-escaped backslashes to single forward - # slashes to satisfy depend.m4 - cygpath_u='sed s,\\\\,/,g' - depmode=msvc7 + # This is just like msvc7 but w/o cygpath translation. + # Just convert the backslash-escaped backslashes to single forward + # slashes to satisfy depend.m4 + cygpath_u='sed s,\\\\,/,g' + depmode=msvc7 fi if test "$depmode" = xlc; then - # IBM C/C++ Compilers xlc/xlC can output gcc-like dependency informations. - gccflag=-qmakedep=gcc,-MF - depmode=gcc + # IBM C/C++ Compilers xlc/xlC can output gcc-like dependency information. + gccflag=-qmakedep=gcc,-MF + depmode=gcc fi case "$depmode" in @@ -134,8 +190,7 @@ done "$@" stat=$? - if test $stat -eq 0; then : - else + if test $stat -ne 0; then rm -f "$tmpdepfile" exit $stat fi @@ -143,13 +198,17 @@ ;; gcc) +## Note that this doesn't just cater to obsosete pre-3.x GCC compilers. +## but also to in-use compilers like IMB xlc/xlC and the HP C compiler. +## (see the conditional assignment to $gccflag above). ## There are various ways to get dependency output from gcc. Here's ## why we pick this rather obscure method: ## - Don't want to use -MD because we'd like the dependencies to end ## up in a subdir. Having to rename by hand is ugly. ## (We might end up doing this anyway to support other compilers.) ## - The DEPENDENCIES_OUTPUT environment variable makes gcc act like -## -MM, not -M (despite what the docs say). +## -MM, not -M (despite what the docs say). Also, it might not be +## supported by the other compilers which use the 'gcc' depmode. ## - Using -M directly means running the compiler twice (even worse ## than renaming). if test -z "$gccflag"; then @@ -157,15 +216,14 @@ fi "$@" -Wp,"$gccflag$tmpdepfile" stat=$? - if test $stat -eq 0; then : - else + if test $stat -ne 0; then rm -f "$tmpdepfile" exit $stat fi rm -f "$depfile" echo "$object : \\" > "$depfile" - alpha=ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz -## The second -e expression handles DOS-style file names with drive letters. + # The second -e expression handles DOS-style file names with drive + # letters. sed -e 's/^[^:]*: / /' \ -e 's/^['$alpha']:\/[^:]*: / /' < "$tmpdepfile" >> "$depfile" ## This next piece of magic avoids the "deleted header file" problem. @@ -174,15 +232,15 @@ ## typically no way to rebuild the header). We avoid this by adding ## dummy dependencies for each header file. Too bad gcc doesn't do ## this for us directly. - tr ' ' "$nl" < "$tmpdepfile" | ## Some versions of gcc put a space before the ':'. On the theory ## that the space means something, we add a space to the output as ## well. hp depmode also adds that space, but also prefixes the VPATH ## to the object. Take care to not repeat it in the output. ## Some versions of the HPUX 10.20 sed can't process this invocation ## correctly. Breaking it into two sed invocations is a workaround. - sed -e 's/^\\$//' -e '/^$/d' -e "s|.*$object$||" -e '/:$/d' \ - | sed -e 's/$/ :/' >> "$depfile" + tr ' ' "$nl" < "$tmpdepfile" \ + | sed -e 's/^\\$//' -e '/^$/d' -e "s|.*$object$||" -e '/:$/d' \ + | sed -e 's/$/ :/' >> "$depfile" rm -f "$tmpdepfile" ;; @@ -200,8 +258,7 @@ "$@" -MDupdate "$tmpdepfile" fi stat=$? - if test $stat -eq 0; then : - else + if test $stat -ne 0; then rm -f "$tmpdepfile" exit $stat fi @@ -209,7 +266,6 @@ if test -f "$tmpdepfile"; then # yes, the sourcefile depend on other files echo "$object : \\" > "$depfile" - # Clip off the initial element (the dependent). Don't try to be # clever and replace this with sed code, as IRIX sed won't handle # lines with more than a fixed number of characters (4096 in @@ -217,19 +273,15 @@ # the IRIX cc adds comments like '#:fec' to the end of the # dependency line. tr ' ' "$nl" < "$tmpdepfile" \ - | sed -e 's/^.*\.o://' -e 's/#.*$//' -e '/^$/ d' | \ - tr "$nl" ' ' >> "$depfile" + | sed -e 's/^.*\.o://' -e 's/#.*$//' -e '/^$/ d' \ + | tr "$nl" ' ' >> "$depfile" echo >> "$depfile" - # The second pass generates a dummy entry for each header file. tr ' ' "$nl" < "$tmpdepfile" \ - | sed -e 's/^.*\.o://' -e 's/#.*$//' -e '/^$/ d' -e 's/$/:/' \ - >> "$depfile" + | sed -e 's/^.*\.o://' -e 's/#.*$//' -e '/^$/ d' -e 's/$/:/' \ + >> "$depfile" else - # The sourcefile does not contain any dependencies, so just - # store a dummy comment line, to avoid errors with the Makefile - # "include basename.Plo" scheme. - echo "#dummy" > "$depfile" + make_dummy_depfile fi rm -f "$tmpdepfile" ;; @@ -247,9 +299,8 @@ # current directory. Also, the AIX compiler puts '$object:' at the # start of each line; $object doesn't have directory information. # Version 6 uses the directory in both cases. - dir=`echo "$object" | sed -e 's|/[^/]*$|/|'` - test "x$dir" = "x$object" && dir= - base=`echo "$object" | sed -e 's|^.*/||' -e 's/\.o$//' -e 's/\.lo$//'` + set_dir_from "$object" + set_base_from "$object" if test "$libtool" = yes; then tmpdepfile1=$dir$base.u tmpdepfile2=$base.u @@ -262,9 +313,7 @@ "$@" -M fi stat=$? - - if test $stat -eq 0; then : - else + if test $stat -ne 0; then rm -f "$tmpdepfile1" "$tmpdepfile2" "$tmpdepfile3" exit $stat fi @@ -273,65 +322,113 @@ do test -f "$tmpdepfile" && break done - if test -f "$tmpdepfile"; then - # Each line is of the form 'foo.o: dependent.h'. - # Do two passes, one to just change these to - # '$object: dependent.h' and one to simply 'dependent.h:'. - sed -e "s,^.*\.[a-z]*:,$object:," < "$tmpdepfile" > "$depfile" - sed -e 's,^.*\.[a-z]*:['"$tab"' ]*,,' -e 's,$,:,' < "$tmpdepfile" >> "$depfile" - else - # The sourcefile does not contain any dependencies, so just - # store a dummy comment line, to avoid errors with the Makefile - # "include basename.Plo" scheme. - echo "#dummy" > "$depfile" + aix_post_process_depfile + ;; + +tcc) + # tcc (Tiny C Compiler) understand '-MD -MF file' since version 0.9.26 + # FIXME: That version still under development at the moment of writing. + # Make that this statement remains true also for stable, released + # versions. + # It will wrap lines (doesn't matter whether long or short) with a + # trailing '\', as in: + # + # foo.o : \ + # foo.c \ + # foo.h \ + # + # It will put a trailing '\' even on the last line, and will use leading + # spaces rather than leading tabs (at least since its commit 0394caf7 + # "Emit spaces for -MD"). + "$@" -MD -MF "$tmpdepfile" + stat=$? + if test $stat -ne 0; then + rm -f "$tmpdepfile" + exit $stat fi + rm -f "$depfile" + # Each non-empty line is of the form 'foo.o : \' or ' dep.h \'. + # We have to change lines of the first kind to '$object: \'. + sed -e "s|.*:|$object :|" < "$tmpdepfile" > "$depfile" + # And for each line of the second kind, we have to emit a 'dep.h:' + # dummy dependency, to avoid the deleted-header problem. + sed -n -e 's|^ *\(.*\) *\\$|\1:|p' < "$tmpdepfile" >> "$depfile" rm -f "$tmpdepfile" ;; -icc) - # Intel's C compiler anf tcc (Tiny C Compiler) understand '-MD -MF file'. - # However on - # $CC -MD -MF foo.d -c -o sub/foo.o sub/foo.c - # ICC 7.0 will fill foo.d with something like - # foo.o: sub/foo.c - # foo.o: sub/foo.h - # which is wrong. We want - # sub/foo.o: sub/foo.c - # sub/foo.o: sub/foo.h - # sub/foo.c: - # sub/foo.h: - # ICC 7.1 will output +## The order of this option in the case statement is important, since the +## shell code in configure will try each of these formats in the order +## listed in this file. A plain '-MD' option would be understood by many +## compilers, so we must ensure this comes after the gcc and icc options. +pgcc) + # Portland's C compiler understands '-MD'. + # Will always output deps to 'file.d' where file is the root name of the + # source file under compilation, even if file resides in a subdirectory. + # The object file name does not affect the name of the '.d' file. + # pgcc 10.2 will output # foo.o: sub/foo.c sub/foo.h - # and will wrap long lines using '\': + # and will wrap long lines using '\' : # foo.o: sub/foo.c ... \ # sub/foo.h ... \ # ... - # tcc 0.9.26 (FIXME still under development at the moment of writing) - # will emit a similar output, but also prepend the continuation lines - # with horizontal tabulation characters. - "$@" -MD -MF "$tmpdepfile" - stat=$? - if test $stat -eq 0; then : - else + set_dir_from "$object" + # Use the source, not the object, to determine the base name, since + # that's sadly what pgcc will do too. + set_base_from "$source" + tmpdepfile=$base.d + + # For projects that build the same source file twice into different object + # files, the pgcc approach of using the *source* file root name can cause + # problems in parallel builds. Use a locking strategy to avoid stomping on + # the same $tmpdepfile. + lockdir=$base.d-lock + trap " + echo '$0: caught signal, cleaning up...' >&2 + rmdir '$lockdir' + exit 1 + " 1 2 13 15 + numtries=100 + i=$numtries + while test $i -gt 0; do + # mkdir is a portable test-and-set. + if mkdir "$lockdir" 2>/dev/null; then + # This process acquired the lock. + "$@" -MD + stat=$? + # Release the lock. + rmdir "$lockdir" + break + else + # If the lock is being held by a different process, wait + # until the winning process is done or we timeout. + while test -d "$lockdir" && test $i -gt 0; do + sleep 1 + i=`expr $i - 1` + done + fi + i=`expr $i - 1` + done + trap - 1 2 13 15 + if test $i -le 0; then + echo "$0: failed to acquire lock after $numtries attempts" >&2 + echo "$0: check lockdir '$lockdir'" >&2 + exit 1 + fi + + if test $stat -ne 0; then rm -f "$tmpdepfile" exit $stat fi rm -f "$depfile" - # Each line is of the form 'foo.o: dependent.h', - # or 'foo.o: dep1.h dep2.h \', or ' dep3.h dep4.h \'. + # Each line is of the form `foo.o: dependent.h', + # or `foo.o: dep1.h dep2.h \', or ` dep3.h dep4.h \'. # Do two passes, one to just change these to - # '$object: dependent.h' and one to simply 'dependent.h:'. - sed -e "s/^[ $tab][ $tab]*/ /" -e "s,^[^:]*:,$object :," \ - < "$tmpdepfile" > "$depfile" - sed ' - s/[ '"$tab"'][ '"$tab"']*/ /g - s/^ *// - s/ *\\*$// - s/^[^:]*: *// - /^$/d - /:$/d - s/$/ :/ - ' < "$tmpdepfile" >> "$depfile" + # `$object: dependent.h' and one to simply `dependent.h:'. + sed "s,^[^:]*:,$object :," < "$tmpdepfile" > "$depfile" + # Some versions of the HPUX 10.20 sed can't process this invocation + # correctly. Breaking it into two sed invocations is a workaround. + sed 's,^[^:]*: \(.*\)$,\1,;s/^\\$//;/^$/d;/:$/d' < "$tmpdepfile" \ + | sed -e 's/$/ :/' >> "$depfile" rm -f "$tmpdepfile" ;; @@ -342,9 +439,8 @@ # 'foo.d', which lands next to the object file, wherever that # happens to be. # Much of this is similar to the tru64 case; see comments there. - dir=`echo "$object" | sed -e 's|/[^/]*$|/|'` - test "x$dir" = "x$object" && dir= - base=`echo "$object" | sed -e 's|^.*/||' -e 's/\.o$//' -e 's/\.lo$//'` + set_dir_from "$object" + set_base_from "$object" if test "$libtool" = yes; then tmpdepfile1=$dir$base.d tmpdepfile2=$dir.libs/$base.d @@ -355,8 +451,7 @@ "$@" +Maked fi stat=$? - if test $stat -eq 0; then : - else + if test $stat -ne 0; then rm -f "$tmpdepfile1" "$tmpdepfile2" exit $stat fi @@ -366,76 +461,61 @@ test -f "$tmpdepfile" && break done if test -f "$tmpdepfile"; then - sed -e "s,^.*\.[a-z]*:,$object:," "$tmpdepfile" > "$depfile" + sed -e "s,^.*\.[$lower]*:,$object:," "$tmpdepfile" > "$depfile" # Add 'dependent.h:' lines. sed -ne '2,${ - s/^ *// - s/ \\*$// - s/$/:/ - p - }' "$tmpdepfile" >> "$depfile" + s/^ *// + s/ \\*$// + s/$/:/ + p + }' "$tmpdepfile" >> "$depfile" else - echo "#dummy" > "$depfile" + make_dummy_depfile fi rm -f "$tmpdepfile" "$tmpdepfile2" ;; tru64) - # The Tru64 compiler uses -MD to generate dependencies as a side - # effect. 'cc -MD -o foo.o ...' puts the dependencies into 'foo.o.d'. - # At least on Alpha/Redhat 6.1, Compaq CCC V6.2-504 seems to put - # dependencies in 'foo.d' instead, so we check for that too. - # Subdirectories are respected. - dir=`echo "$object" | sed -e 's|/[^/]*$|/|'` - test "x$dir" = "x$object" && dir= - base=`echo "$object" | sed -e 's|^.*/||' -e 's/\.o$//' -e 's/\.lo$//'` - - if test "$libtool" = yes; then - # With Tru64 cc, shared objects can also be used to make a - # static library. This mechanism is used in libtool 1.4 series to - # handle both shared and static libraries in a single compilation. - # With libtool 1.4, dependencies were output in $dir.libs/$base.lo.d. - # - # With libtool 1.5 this exception was removed, and libtool now - # generates 2 separate objects for the 2 libraries. These two - # compilations output dependencies in $dir.libs/$base.o.d and - # in $dir$base.o.d. We have to check for both files, because - # one of the two compilations can be disabled. We should prefer - # $dir$base.o.d over $dir.libs/$base.o.d because the latter is - # automatically cleaned when .libs/ is deleted, while ignoring - # the former would cause a distcleancheck panic. - tmpdepfile1=$dir.libs/$base.lo.d # libtool 1.4 - tmpdepfile2=$dir$base.o.d # libtool 1.5 - tmpdepfile3=$dir.libs/$base.o.d # libtool 1.5 - tmpdepfile4=$dir.libs/$base.d # Compaq CCC V6.2-504 - "$@" -Wc,-MD - else - tmpdepfile1=$dir$base.o.d - tmpdepfile2=$dir$base.d - tmpdepfile3=$dir$base.d - tmpdepfile4=$dir$base.d - "$@" -MD - fi + # The Tru64 compiler uses -MD to generate dependencies as a side + # effect. 'cc -MD -o foo.o ...' puts the dependencies into 'foo.o.d'. + # At least on Alpha/Redhat 6.1, Compaq CCC V6.2-504 seems to put + # dependencies in 'foo.d' instead, so we check for that too. + # Subdirectories are respected. + set_dir_from "$object" + set_base_from "$object" + + if test "$libtool" = yes; then + # Libtool generates 2 separate objects for the 2 libraries. These + # two compilations output dependencies in $dir.libs/$base.o.d and + # in $dir$base.o.d. We have to check for both files, because + # one of the two compilations can be disabled. We should prefer + # $dir$base.o.d over $dir.libs/$base.o.d because the latter is + # automatically cleaned when .libs/ is deleted, while ignoring + # the former would cause a distcleancheck panic. + tmpdepfile1=$dir$base.o.d # libtool 1.5 + tmpdepfile2=$dir.libs/$base.o.d # Likewise. + tmpdepfile3=$dir.libs/$base.d # Compaq CCC V6.2-504 + "$@" -Wc,-MD + else + tmpdepfile1=$dir$base.d + tmpdepfile2=$dir$base.d + tmpdepfile3=$dir$base.d + "$@" -MD + fi + + stat=$? + if test $stat -ne 0; then + rm -f "$tmpdepfile1" "$tmpdepfile2" "$tmpdepfile3" + exit $stat + fi - stat=$? - if test $stat -eq 0; then : - else - rm -f "$tmpdepfile1" "$tmpdepfile2" "$tmpdepfile3" "$tmpdepfile4" - exit $stat - fi - - for tmpdepfile in "$tmpdepfile1" "$tmpdepfile2" "$tmpdepfile3" "$tmpdepfile4" - do - test -f "$tmpdepfile" && break - done - if test -f "$tmpdepfile"; then - sed -e "s,^.*\.[a-z]*:,$object:," < "$tmpdepfile" > "$depfile" - sed -e 's,^.*\.[a-z]*:['"$tab"' ]*,,' -e 's,$,:,' < "$tmpdepfile" >> "$depfile" - else - echo "#dummy" > "$depfile" - fi - rm -f "$tmpdepfile" - ;; + for tmpdepfile in "$tmpdepfile1" "$tmpdepfile2" "$tmpdepfile3" + do + test -f "$tmpdepfile" && break + done + # Same post-processing that is required for AIX mode. + aix_post_process_depfile + ;; msvc7) if test "$libtool" = yes; then @@ -446,8 +526,7 @@ "$@" $showIncludes > "$tmpdepfile" stat=$? grep -v '^Note: including file: ' "$tmpdepfile" - if test "$stat" = 0; then : - else + if test $stat -ne 0; then rm -f "$tmpdepfile" exit $stat fi @@ -473,6 +552,7 @@ G p }' >> "$depfile" + echo >> "$depfile" # make sure the fragment doesn't end with a backslash rm -f "$tmpdepfile" ;; @@ -524,13 +604,14 @@ # in the target name. This is to cope with DOS-style filenames: # a dependency such as 'c:/foo/bar' could be seen as target 'c' otherwise. "$@" $dashmflag | - sed 's:^['"$tab"' ]*[^:'"$tab"' ][^:][^:]*\:['"$tab"' ]*:'"$object"'\: :' > "$tmpdepfile" + sed "s|^[$tab ]*[^:$tab ][^:][^:]*:[$tab ]*|$object: |" > "$tmpdepfile" rm -f "$depfile" cat < "$tmpdepfile" > "$depfile" - tr ' ' "$nl" < "$tmpdepfile" | \ -## Some versions of the HPUX 10.20 sed can't process this invocation -## correctly. Breaking it into two sed invocations is a workaround. - sed -e 's/^\\$//' -e '/^$/d' -e '/:$/d' | sed -e 's/$/ :/' >> "$depfile" + # Some versions of the HPUX 10.20 sed can't process this sed invocation + # correctly. Breaking it into two sed invocations is a workaround. + tr ' ' "$nl" < "$tmpdepfile" \ + | sed -e 's/^\\$//' -e '/^$/d' -e '/:$/d' \ + | sed -e 's/$/ :/' >> "$depfile" rm -f "$tmpdepfile" ;; @@ -583,10 +664,12 @@ # makedepend may prepend the VPATH from the source file name to the object. # No need to regex-escape $object, excess matching of '.' is harmless. sed "s|^.*\($object *:\)|\1|" "$tmpdepfile" > "$depfile" - sed '1,2d' "$tmpdepfile" | tr ' ' "$nl" | \ -## Some versions of the HPUX 10.20 sed can't process this invocation -## correctly. Breaking it into two sed invocations is a workaround. - sed -e 's/^\\$//' -e '/^$/d' -e '/:$/d' | sed -e 's/$/ :/' >> "$depfile" + # Some versions of the HPUX 10.20 sed can't process the last invocation + # correctly. Breaking it into two sed invocations is a workaround. + sed '1,2d' "$tmpdepfile" \ + | tr ' ' "$nl" \ + | sed -e 's/^\\$//' -e '/^$/d' -e '/:$/d' \ + | sed -e 's/$/ :/' >> "$depfile" rm -f "$tmpdepfile" "$tmpdepfile".bak ;; @@ -622,10 +705,10 @@ esac done - "$@" -E | - sed -n -e '/^# [0-9][0-9]* "\([^"]*\)".*/ s:: \1 \\:p' \ - -e '/^#line [0-9][0-9]* "\([^"]*\)".*/ s:: \1 \\:p' | - sed '$ s: \\$::' > "$tmpdepfile" + "$@" -E \ + | sed -n -e '/^# [0-9][0-9]* "\([^"]*\)".*/ s:: \1 \\:p' \ + -e '/^#line [0-9][0-9]* "\([^"]*\)".*/ s:: \1 \\:p' \ + | sed '$ s: \\$::' > "$tmpdepfile" rm -f "$depfile" echo "$object : \\" > "$depfile" cat < "$tmpdepfile" >> "$depfile" @@ -657,15 +740,15 @@ shift ;; "-Gm"|"/Gm"|"-Gi"|"/Gi"|"-ZI"|"/ZI") - set fnord "$@" - shift - shift - ;; + set fnord "$@" + shift + shift + ;; *) - set fnord "$@" "$arg" - shift - shift - ;; + set fnord "$@" "$arg" + shift + shift + ;; esac done "$@" -E 2>/dev/null | diff -Nru fwknop-2.5.1/config/install-sh fwknop-2.6.0/config/install-sh --- fwknop-2.5.1/config/install-sh 2013-07-26 01:30:37.000000000 +0000 +++ fwknop-2.6.0/config/install-sh 2014-01-13 03:30:53.000000000 +0000 @@ -1,7 +1,7 @@ #!/bin/sh # install - install a program, script, or datafile -scriptversion=2011-01-19.21; # UTC +scriptversion=2011-11-20.07; # UTC # This originates from X11R5 (mit/util/scripts/install.sh), which was # later released in X11R6 (xc/config/util/install.sh) with the @@ -35,7 +35,7 @@ # FSF changes to this file are in the public domain. # # Calling this script install-sh is preferred over install.sh, to prevent -# `make' implicit rules from creating a file called install from it +# 'make' implicit rules from creating a file called install from it # when there is no Makefile. # # This script is compatible with the BSD install script, but was written @@ -156,7 +156,7 @@ -s) stripcmd=$stripprog;; -t) dst_arg=$2 - # Protect names problematic for `test' and other utilities. + # Protect names problematic for 'test' and other utilities. case $dst_arg in -* | [=\(\)!]) dst_arg=./$dst_arg;; esac @@ -190,7 +190,7 @@ fi shift # arg dst_arg=$arg - # Protect names problematic for `test' and other utilities. + # Protect names problematic for 'test' and other utilities. case $dst_arg in -* | [=\(\)!]) dst_arg=./$dst_arg;; esac @@ -202,7 +202,7 @@ echo "$0: no input file specified." >&2 exit 1 fi - # It's OK to call `install-sh -d' without argument. + # It's OK to call 'install-sh -d' without argument. # This can happen when creating conditional directories. exit 0 fi @@ -240,7 +240,7 @@ for src do - # Protect names problematic for `test' and other utilities. + # Protect names problematic for 'test' and other utilities. case $src in -* | [=\(\)!]) src=./$src;; esac @@ -354,7 +354,7 @@ if test -z "$dir_arg" || { # Check for POSIX incompatibilities with -m. # HP-UX 11.23 and IRIX 6.5 mkdir -m -p sets group- or - # other-writeable bit of parent directory when it shouldn't. + # other-writable bit of parent directory when it shouldn't. # FreeBSD 6.1 mkdir -m -p sets mode of existing directory. ls_ld_tmpdir=`ls -ld "$tmpdir"` case $ls_ld_tmpdir in diff -Nru fwknop-2.5.1/config/ltmain.sh fwknop-2.6.0/config/ltmain.sh --- fwknop-2.5.1/config/ltmain.sh 2013-07-26 01:30:32.000000000 +0000 +++ fwknop-2.6.0/config/ltmain.sh 2014-01-13 03:30:50.000000000 +0000 @@ -70,7 +70,7 @@ # compiler: $LTCC # compiler flags: $LTCFLAGS # linker: $LD (gnu? $with_gnu_ld) -# $progname: (GNU libtool) 2.4.2 Debian-2.4.2-1.2ubuntu1 +# $progname: (GNU libtool) 2.4.2 Debian-2.4.2-1.3ubuntu1 # automake: $automake_version # autoconf: $autoconf_version # @@ -80,7 +80,7 @@ PROGRAM=libtool PACKAGE=libtool -VERSION="2.4.2 Debian-2.4.2-1.2ubuntu1" +VERSION="2.4.2 Debian-2.4.2-1.3ubuntu1" TIMESTAMP="" package_revision=1.3337 diff -Nru fwknop-2.5.1/config/mdate-sh fwknop-2.6.0/config/mdate-sh --- fwknop-2.5.1/config/mdate-sh 2013-07-26 01:30:37.000000000 +0000 +++ fwknop-2.6.0/config/mdate-sh 2014-01-13 03:30:53.000000000 +0000 @@ -3,8 +3,7 @@ scriptversion=2010-08-21.06; # UTC -# Copyright (C) 1995, 1996, 1997, 2003, 2004, 2005, 2007, 2009, 2010 -# Free Software Foundation, Inc. +# Copyright (C) 1995-2013 Free Software Foundation, Inc. # written by Ulrich Drepper , June 1995 # # This program is free software; you can redistribute it and/or modify @@ -40,7 +39,7 @@ case $1 in '') - echo "$0: No file. Try \`$0 --help' for more information." 1>&2 + echo "$0: No file. Try '$0 --help' for more information." 1>&2 exit 1; ;; -h | --h*) @@ -76,7 +75,7 @@ export LC_TIME # GNU ls changes its time format in response to the TIME_STYLE -# variable. Since we cannot assume `unset' works, revert this +# variable. Since we cannot assume 'unset' works, revert this # variable to its documented default. if test "${TIME_STYLE+set}" = set; then TIME_STYLE=posix-long-iso @@ -96,14 +95,14 @@ ls_command="$ls_command -n" fi -# A `ls -l' line looks as follows on OS/2. +# A 'ls -l' line looks as follows on OS/2. # drwxrwx--- 0 Aug 11 2001 foo # This differs from Unix, which adds ownership information. # drwxrwx--- 2 root root 4096 Aug 11 2001 foo # # To find the date, we split the line on spaces and iterate on words # until we find a month. This cannot work with files whose owner is a -# user named `Jan', or `Feb', etc. However, it's unlikely that `/' +# user named "Jan", or "Feb", etc. However, it's unlikely that '/' # will be owned by a user whose name is a month. So we first look at # the extended ls output of the root directory to decide how many # words should be skipped to get the date. @@ -116,7 +115,7 @@ command= until test $month do - test $# -gt 0 || error "failed parsing \`$ls_command /' output" + test $# -gt 0 || error "failed parsing '$ls_command /' output" shift # Add another shift to the command. command="$command shift;" @@ -136,7 +135,7 @@ esac done -test -n "$month" || error "failed parsing \`$ls_command /' output" +test -n "$month" || error "failed parsing '$ls_command /' output" # Get the extended ls output of the file or directory. set dummy x`eval "$ls_command \"\\\$save_arg1\""` diff -Nru fwknop-2.5.1/config/missing fwknop-2.6.0/config/missing --- fwknop-2.5.1/config/missing 2013-07-26 01:30:37.000000000 +0000 +++ fwknop-2.6.0/config/missing 2014-01-13 03:30:53.000000000 +0000 @@ -1,11 +1,10 @@ #! /bin/sh -# Common stub for a few missing GNU programs while installing. +# Common wrapper for a few potentially missing GNU programs. -scriptversion=2012-01-06.13; # UTC +scriptversion=2012-06-26.16; # UTC -# Copyright (C) 1996, 1997, 1999, 2000, 2002, 2003, 2004, 2005, 2006, -# 2008, 2009, 2010, 2011, 2012 Free Software Foundation, Inc. -# Originally by Fran,cois Pinard , 1996. +# Copyright (C) 1996-2013 Free Software Foundation, Inc. +# Originally written by Fran,cois Pinard , 1996. # This program is free software; you can redistribute it and/or modify # it under the terms of the GNU General Public License as published by @@ -26,68 +25,40 @@ # the same distribution terms that you use for the rest of that program. if test $# -eq 0; then - echo 1>&2 "Try \`$0 --help' for more information" + echo 1>&2 "Try '$0 --help' for more information" exit 1 fi -run=: -sed_output='s/.* --output[ =]\([^ ]*\).*/\1/p' -sed_minuso='s/.* -o \([^ ]*\).*/\1/p' - -# In the cases where this matters, `missing' is being run in the -# srcdir already. -if test -f configure.ac; then - configure_ac=configure.ac -else - configure_ac=configure.in -fi +case $1 in -msg="missing on your system" + --is-lightweight) + # Used by our autoconf macros to check whether the available missing + # script is modern enough. + exit 0 + ;; -case $1 in ---run) - # Try to run requested program, and just exit if it succeeds. - run= - shift - "$@" && exit 0 - # Exit code 63 means version mismatch. This often happens - # when the user try to use an ancient version of a tool on - # a file that requires a minimum version. In this case we - # we should proceed has if the program had been absent, or - # if --run hadn't been passed. - if test $? = 63; then - run=: - msg="probably too old" - fi - ;; + --run) + # Back-compat with the calling convention used by older automake. + shift + ;; -h|--h|--he|--hel|--help) echo "\ $0 [OPTION]... PROGRAM [ARGUMENT]... -Handle \`PROGRAM [ARGUMENT]...' for when PROGRAM is missing, or return an -error status if there is no known handling for PROGRAM. +Run 'PROGRAM [ARGUMENT]...', returning a proper advice when this fails due +to PROGRAM being missing or too old. Options: -h, --help display this help and exit -v, --version output version information and exit - --run try to run the given command, and emulate it if it fails Supported PROGRAM values: - aclocal touch file \`aclocal.m4' - autoconf touch file \`configure' - autoheader touch file \`config.h.in' - autom4te touch the output file, or create a stub one - automake touch all \`Makefile.in' files - bison create \`y.tab.[ch]', if possible, from existing .[ch] - flex create \`lex.yy.c', if possible, from existing .c - help2man touch the output file - lex create \`lex.yy.c', if possible, from existing .c - makeinfo touch the output file - yacc create \`y.tab.[ch]', if possible, from existing .[ch] + aclocal autoconf autoheader autom4te automake makeinfo + bison yacc flex lex help2man -Version suffixes to PROGRAM as well as the prefixes \`gnu-', \`gnu', and -\`g' are ignored when checking the name. +Version suffixes to PROGRAM as well as the prefixes 'gnu-', 'gnu', and +'g' are ignored when checking the name. Send bug reports to ." exit $? @@ -99,228 +70,141 @@ ;; -*) - echo 1>&2 "$0: Unknown \`$1' option" - echo 1>&2 "Try \`$0 --help' for more information" + echo 1>&2 "$0: unknown '$1' option" + echo 1>&2 "Try '$0 --help' for more information" exit 1 ;; esac -# normalize program name to check for. -program=`echo "$1" | sed ' - s/^gnu-//; t - s/^gnu//; t - s/^g//; t'` - -# Now exit if we have it, but it failed. Also exit now if we -# don't have it and --version was passed (most likely to detect -# the program). This is about non-GNU programs, so use $1 not -# $program. -case $1 in - lex*|yacc*) - # Not GNU programs, they don't have --version. - ;; +# Run the given program, remember its exit status. +"$@"; st=$? - *) - if test -z "$run" && ($1 --version) > /dev/null 2>&1; then - # We have it, but it failed. - exit 1 - elif test "x$2" = "x--version" || test "x$2" = "x--help"; then - # Could not run --version or --help. This is probably someone - # running `$TOOL --version' or `$TOOL --help' to check whether - # $TOOL exists and not knowing $TOOL uses missing. - exit 1 - fi - ;; -esac - -# If it does not exist, or fails to run (possibly an outdated version), -# try to emulate it. -case $program in - aclocal*) - echo 1>&2 "\ -WARNING: \`$1' is $msg. You should only need it if - you modified \`acinclude.m4' or \`${configure_ac}'. You might want - to install the \`Automake' and \`Perl' packages. Grab them from - any GNU archive site." - touch aclocal.m4 - ;; - - autoconf*) - echo 1>&2 "\ -WARNING: \`$1' is $msg. You should only need it if - you modified \`${configure_ac}'. You might want to install the - \`Autoconf' and \`GNU m4' packages. Grab them from any GNU - archive site." - touch configure - ;; - - autoheader*) - echo 1>&2 "\ -WARNING: \`$1' is $msg. You should only need it if - you modified \`acconfig.h' or \`${configure_ac}'. You might want - to install the \`Autoconf' and \`GNU m4' packages. Grab them - from any GNU archive site." - files=`sed -n 's/^[ ]*A[CM]_CONFIG_HEADER(\([^)]*\)).*/\1/p' ${configure_ac}` - test -z "$files" && files="config.h" - touch_files= - for f in $files; do - case $f in - *:*) touch_files="$touch_files "`echo "$f" | - sed -e 's/^[^:]*://' -e 's/:.*//'`;; - *) touch_files="$touch_files $f.in";; - esac - done - touch $touch_files - ;; +# If it succeeded, we are done. +test $st -eq 0 && exit 0 - automake*) - echo 1>&2 "\ -WARNING: \`$1' is $msg. You should only need it if - you modified \`Makefile.am', \`acinclude.m4' or \`${configure_ac}'. - You might want to install the \`Automake' and \`Perl' packages. - Grab them from any GNU archive site." - find . -type f -name Makefile.am -print | - sed 's/\.am$/.in/' | - while read f; do touch "$f"; done - ;; - - autom4te*) - echo 1>&2 "\ -WARNING: \`$1' is needed, but is $msg. - You might have modified some files without having the - proper tools for further handling them. - You can get \`$1' as part of \`Autoconf' from any GNU - archive site." - - file=`echo "$*" | sed -n "$sed_output"` - test -z "$file" && file=`echo "$*" | sed -n "$sed_minuso"` - if test -f "$file"; then - touch $file - else - test -z "$file" || exec >$file - echo "#! /bin/sh" - echo "# Created by GNU Automake missing as a replacement of" - echo "# $ $@" - echo "exit 0" - chmod +x $file - exit 1 - fi - ;; - - bison*|yacc*) - echo 1>&2 "\ -WARNING: \`$1' $msg. You should only need it if - you modified a \`.y' file. You may need the \`Bison' package - in order for those modifications to take effect. You can get - \`Bison' from any GNU archive site." - rm -f y.tab.c y.tab.h - if test $# -ne 1; then - eval LASTARG=\${$#} - case $LASTARG in - *.y) - SRCFILE=`echo "$LASTARG" | sed 's/y$/c/'` - if test -f "$SRCFILE"; then - cp "$SRCFILE" y.tab.c - fi - SRCFILE=`echo "$LASTARG" | sed 's/y$/h/'` - if test -f "$SRCFILE"; then - cp "$SRCFILE" y.tab.h - fi - ;; - esac - fi - if test ! -f y.tab.h; then - echo >y.tab.h - fi - if test ! -f y.tab.c; then - echo 'main() { return 0; }' >y.tab.c - fi - ;; - - lex*|flex*) - echo 1>&2 "\ -WARNING: \`$1' is $msg. You should only need it if - you modified a \`.l' file. You may need the \`Flex' package - in order for those modifications to take effect. You can get - \`Flex' from any GNU archive site." - rm -f lex.yy.c - if test $# -ne 1; then - eval LASTARG=\${$#} - case $LASTARG in - *.l) - SRCFILE=`echo "$LASTARG" | sed 's/l$/c/'` - if test -f "$SRCFILE"; then - cp "$SRCFILE" lex.yy.c - fi - ;; - esac - fi - if test ! -f lex.yy.c; then - echo 'main() { return 0; }' >lex.yy.c - fi - ;; - - help2man*) - echo 1>&2 "\ -WARNING: \`$1' is $msg. You should only need it if - you modified a dependency of a manual page. You may need the - \`Help2man' package in order for those modifications to take - effect. You can get \`Help2man' from any GNU archive site." - - file=`echo "$*" | sed -n "$sed_output"` - test -z "$file" && file=`echo "$*" | sed -n "$sed_minuso"` - if test -f "$file"; then - touch $file - else - test -z "$file" || exec >$file - echo ".ab help2man is required to generate this page" - exit $? - fi - ;; - - makeinfo*) - echo 1>&2 "\ -WARNING: \`$1' is $msg. You should only need it if - you modified a \`.texi' or \`.texinfo' file, or any other file - indirectly affecting the aspect of the manual. The spurious - call might also be the consequence of using a buggy \`make' (AIX, - DU, IRIX). You might want to install the \`Texinfo' package or - the \`GNU make' package. Grab either from any GNU archive site." - # The file to touch is that specified with -o ... - file=`echo "$*" | sed -n "$sed_output"` - test -z "$file" && file=`echo "$*" | sed -n "$sed_minuso"` - if test -z "$file"; then - # ... or it is the one specified with @setfilename ... - infile=`echo "$*" | sed 's/.* \([^ ]*\) *$/\1/'` - file=`sed -n ' - /^@setfilename/{ - s/.* \([^ ]*\) *$/\1/ - p - q - }' $infile` - # ... or it is derived from the source name (dir/f.texi becomes f.info) - test -z "$file" && file=`echo "$infile" | sed 's,.*/,,;s,.[^.]*$,,'`.info - fi - # If the file does not exist, the user really needs makeinfo; - # let's fail without touching anything. - test -f $file || exit 1 - touch $file - ;; - - *) - echo 1>&2 "\ -WARNING: \`$1' is needed, and is $msg. - You might have modified some files without having the - proper tools for further handling them. Check the \`README' file, - it often tells you about the needed prerequisites for installing - this package. You may also peek at any GNU archive site, in case - some other package would contain this missing \`$1' program." - exit 1 - ;; -esac +# Also exit now if we it failed (or wasn't found), and '--version' was +# passed; such an option is passed most likely to detect whether the +# program is present and works. +case $2 in --version|--help) exit $st;; esac + +# Exit code 63 means version mismatch. This often happens when the user +# tries to use an ancient version of a tool on a file that requires a +# minimum version. +if test $st -eq 63; then + msg="probably too old" +elif test $st -eq 127; then + # Program was missing. + msg="missing on your system" +else + # Program was found and executed, but failed. Give up. + exit $st +fi -exit 0 +perl_URL=http://www.perl.org/ +flex_URL=http://flex.sourceforge.net/ +gnu_software_URL=http://www.gnu.org/software + +program_details () +{ + case $1 in + aclocal|automake) + echo "The '$1' program is part of the GNU Automake package:" + echo "<$gnu_software_URL/automake>" + echo "It also requires GNU Autoconf, GNU m4 and Perl in order to run:" + echo "<$gnu_software_URL/autoconf>" + echo "<$gnu_software_URL/m4/>" + echo "<$perl_URL>" + ;; + autoconf|autom4te|autoheader) + echo "The '$1' program is part of the GNU Autoconf package:" + echo "<$gnu_software_URL/autoconf/>" + echo "It also requires GNU m4 and Perl in order to run:" + echo "<$gnu_software_URL/m4/>" + echo "<$perl_URL>" + ;; + esac +} + +give_advice () +{ + # Normalize program name to check for. + normalized_program=`echo "$1" | sed ' + s/^gnu-//; t + s/^gnu//; t + s/^g//; t'` + + printf '%s\n' "'$1' is $msg." + + configure_deps="'configure.ac' or m4 files included by 'configure.ac'" + case $normalized_program in + autoconf*) + echo "You should only need it if you modified 'configure.ac'," + echo "or m4 files included by it." + program_details 'autoconf' + ;; + autoheader*) + echo "You should only need it if you modified 'acconfig.h' or" + echo "$configure_deps." + program_details 'autoheader' + ;; + automake*) + echo "You should only need it if you modified 'Makefile.am' or" + echo "$configure_deps." + program_details 'automake' + ;; + aclocal*) + echo "You should only need it if you modified 'acinclude.m4' or" + echo "$configure_deps." + program_details 'aclocal' + ;; + autom4te*) + echo "You might have modified some maintainer files that require" + echo "the 'automa4te' program to be rebuilt." + program_details 'autom4te' + ;; + bison*|yacc*) + echo "You should only need it if you modified a '.y' file." + echo "You may want to install the GNU Bison package:" + echo "<$gnu_software_URL/bison/>" + ;; + lex*|flex*) + echo "You should only need it if you modified a '.l' file." + echo "You may want to install the Fast Lexical Analyzer package:" + echo "<$flex_URL>" + ;; + help2man*) + echo "You should only need it if you modified a dependency" \ + "of a man page." + echo "You may want to install the GNU Help2man package:" + echo "<$gnu_software_URL/help2man/>" + ;; + makeinfo*) + echo "You should only need it if you modified a '.texi' file, or" + echo "any other file indirectly affecting the aspect of the manual." + echo "You might want to install the Texinfo package:" + echo "<$gnu_software_URL/texinfo/>" + echo "The spurious makeinfo call might also be the consequence of" + echo "using a buggy 'make' (AIX, DU, IRIX), in which case you might" + echo "want to install GNU make:" + echo "<$gnu_software_URL/make/>" + ;; + *) + echo "You might have modified some files without having the proper" + echo "tools for further handling them. Check the 'README' file, it" + echo "often tells you about the needed prerequisites for installing" + echo "this package. You may also peek at any GNU archive site, in" + echo "case some other package contains this missing '$1' program." + ;; + esac +} + +give_advice "$1" | sed -e '1s/^/WARNING: /' \ + -e '2,$s/^/ /' >&2 + +# Propagate the correct exit status (expected to be 127 for a program +# not found, 63 for a program that failed due to version mismatch). +exit $st # Local variables: # eval: (add-hook 'write-file-hooks 'time-stamp) diff -Nru fwknop-2.5.1/config/texinfo.tex fwknop-2.6.0/config/texinfo.tex --- fwknop-2.5.1/config/texinfo.tex 2013-07-26 01:30:37.000000000 +0000 +++ fwknop-2.6.0/config/texinfo.tex 2014-01-13 03:30:53.000000000 +0000 @@ -3,11 +3,11 @@ % Load plain if necessary, i.e., if running under initex. \expandafter\ifx\csname fmtname\endcsname\relax\input plain\fi % -\def\texinfoversion{2012-03-11.15} +\def\texinfoversion{2013-02-01.11} % % Copyright 1985, 1986, 1988, 1990, 1991, 1992, 1993, 1994, 1995, % 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004, 2005, 2006, -% 2007, 2008, 2009, 2010, 2011, 2012 Free Software Foundation, Inc. +% 2007, 2008, 2009, 2010, 2011, 2012, 2013 Free Software Foundation, Inc. % % This texinfo.tex file is free software: you can redistribute it and/or % modify it under the terms of the GNU General Public License as @@ -24,13 +24,14 @@ % % As a special exception, when this file is read by TeX when processing % a Texinfo source document, you may use the result without -% restriction. (This has been our intent since Texinfo was invented.) +% restriction. This Exception is an additional permission under section 7 +% of the GNU General Public License, version 3 ("GPLv3"). % % Please try the latest version of texinfo.tex before submitting bug % reports; you can get the latest version from: -% http://www.gnu.org/software/texinfo/ (the Texinfo home page), or -% ftp://tug.org/tex/texinfo.tex -% (and all CTAN mirrors, see http://www.ctan.org). +% http://ftp.gnu.org/gnu/texinfo/ (the Texinfo release area), or +% http://ftpmirror.gnu.org/texinfo/ (same, via a mirror), or +% http://www.gnu.org/software/texinfo/ (the Texinfo home page) % The texinfo.tex in any given distribution could well be out % of date, so if that's what you're using, please check. % @@ -594,7 +595,7 @@ \def\:{\spacefactor=1000 } % @* forces a line break. -\def\*{\hfil\break\hbox{}\ignorespaces} +\def\*{\unskip\hfil\break\hbox{}\ignorespaces} % @/ allows a line break. \let\/=\allowbreak @@ -1117,7 +1118,7 @@ % #1 is a control sequence in which to do the replacements, % which we \xdef. \def\txiescapepdf#1{% - \ifx\pdfescapestring\relax + \ifx\pdfescapestring\thisisundefined % No primitive available; should we give a warning or log? % Many times it won't matter. \else @@ -1367,9 +1368,8 @@ \def\skipspaces#1{\def\PP{#1}\def\D{|}% \ifx\PP\D\let\nextsp\relax \else\let\nextsp\skipspaces - \ifx\p\space\else\addtokens{\filename}{\PP}% - \advance\filenamelength by 1 - \fi + \addtokens{\filename}{\PP}% + \advance\filenamelength by 1 \fi \nextsp} \def\getfilename#1{% @@ -1475,9 +1475,6 @@ \def\ttsl{\setfontstyle{ttsl}} -% Default leading. -\newdimen\textleading \textleading = 13.2pt - % Set the baselineskip to #1, and the lineskip and strut size % correspondingly. There is no deep meaning behind these magic numbers % used as factors; they just match (closely enough) what Knuth defined. @@ -1489,6 +1486,7 @@ % can get a sort of poor man's double spacing by redefining this. \def\baselinefactor{1} % +\newdimen\textleading \def\setleading#1{% \dimen0 = #1\relax \normalbaselineskip = \baselinefactor\dimen0 @@ -1761,18 +1759,24 @@ \fi\fi -% Set the font macro #1 to the font named #2, adding on the -% specified font prefix (normally `cm'). +% Set the font macro #1 to the font named \fontprefix#2. % #3 is the font's design size, #4 is a scale factor, #5 is the CMap -% encoding (currently only OT1, OT1IT and OT1TT are allowed, pass -% empty to omit). +% encoding (only OT1, OT1IT and OT1TT are allowed, or empty to omit). +% Example: +% #1 = \textrm +% #2 = \rmshape +% #3 = 10 +% #4 = \mainmagstep +% #5 = OT1 +% \def\setfont#1#2#3#4#5{% \font#1=\fontprefix#2#3 scaled #4 \csname cmap#5\endcsname#1% } % This is what gets called when #5 of \setfont is empty. \let\cmap\gobble -% emacs-page end of cmaps +% +% (end of cmaps) % Use cm as the default font prefix. % To specify the font prefix, you must define \fontprefix @@ -1782,7 +1786,7 @@ \fi % Support font families that don't use the same naming scheme as CM. \def\rmshape{r} -\def\rmbshape{bx} %where the normal face is bold +\def\rmbshape{bx} % where the normal face is bold \def\bfshape{b} \def\bxshape{bx} \def\ttshape{tt} @@ -1797,8 +1801,7 @@ \def\scshape{csc} \def\scbshape{csc} -% Definitions for a main text size of 11pt. This is the default in -% Texinfo. +% Definitions for a main text size of 11pt. (The default in Texinfo.) % \def\definetextfontsizexi{% % Text fonts (11.2pt, magstep1). @@ -1923,7 +1926,7 @@ \textleading = 13.2pt % line spacing for 11pt CM \textfonts % reset the current fonts \rm -} % end of 11pt text font size definitions +} % end of 11pt text font size definitions, \definetextfontsizexi % Definitions to make the main text be 10pt Computer Modern, with @@ -2055,7 +2058,7 @@ \textleading = 12pt % line spacing for 10pt CM \textfonts % reset the current fonts \rm -} % end of 10pt text font size definitions +} % end of 10pt text font size definitions, \definetextfontsizex % We provide the user-level command @@ -2270,8 +2273,6 @@ \gdef\markupsetcodequoteleft{\let`\codequoteleft} \gdef\markupsetcodequoteright{\let'\codequoteright} - -\gdef\markupsetnoligaturesquoteleft{\let`\noligaturesquoteleft} } \let\markupsetuplqcode \markupsetcodequoteleft @@ -2280,6 +2281,9 @@ \let\markupsetuplqexample \markupsetcodequoteleft \let\markupsetuprqexample \markupsetcodequoteright % +\let\markupsetuplqkbd \markupsetcodequoteleft +\let\markupsetuprqkbd \markupsetcodequoteright +% \let\markupsetuplqsamp \markupsetcodequoteleft \let\markupsetuprqsamp \markupsetcodequoteright % @@ -2289,8 +2293,6 @@ \let\markupsetuplqverbatim \markupsetcodequoteleft \let\markupsetuprqverbatim \markupsetcodequoteright -\let\markupsetuplqkbd \markupsetnoligaturesquoteleft - % Allow an option to not use regular directed right quote/apostrophe % (char 0x27), but instead the undirected quote from cmtt (char 0x0d). % The undirected quote is ugly, so don't make it the default, but it @@ -2380,8 +2382,7 @@ \aftersmartic } -% like \smartslanted except unconditionally uses \ttsl, and no ic. -% @var is set to this for defun arguments. +% Unconditional use \ttsl, and no ic. @var is set to this for defuns. \def\ttslanted#1{{\ttsl #1}} % @cite is like \smartslanted except unconditionally use \sl. We never want @@ -2446,34 +2447,12 @@ % @samp. \def\samp#1{{\setupmarkupstyle{samp}\lq\tclose{#1}\rq\null}} -% definition of @key that produces a lozenge. Doesn't adjust to text size. -%\setfont\keyrm\rmshape{8}{1000}{OT1} -%\font\keysy=cmsy9 -%\def\key#1{{\keyrm\textfont2=\keysy \leavevmode\hbox{% -% \raise0.4pt\hbox{\angleleft}\kern-.08em\vtop{% -% \vbox{\hrule\kern-0.4pt -% \hbox{\raise0.4pt\hbox{\vphantom{\angleleft}}#1}}% -% \kern-0.4pt\hrule}% -% \kern-.06em\raise0.4pt\hbox{\angleright}}}} +% @indicateurl is \samp, that is, with quotes. +\let\indicateurl=\samp -% definition of @key with no lozenge. If the current font is already -% monospace, don't change it; that way, we respect @kbdinputstyle. But -% if it isn't monospace, then use \tt. -% -\def\key#1{{\setupmarkupstyle{key}% - \nohyphenation - \ifmonospace\else\tt\fi - #1}\null} - -% ctrl is no longer a Texinfo command. -\def\ctrl #1{{\tt \rawbackslash \hat}#1} - -% @file, @option are the same as @samp. -\let\file=\samp -\let\option=\samp - -% @code is a modification of @t, -% which makes spaces the same size as normal in the surrounding text. +% @code (and similar) prints in typewriter, but with spaces the same +% size as normal in the surrounding text, without hyphenation, etc. +% This is a subroutine for that. \def\tclose#1{% {% % Change normal interword space to be same as for the current font. @@ -2498,7 +2477,7 @@ % We *must* turn on hyphenation at `-' and `_' in @code. % Otherwise, it is too hard to avoid overfull hboxes % in the Emacs manual, the Library manual, etc. - +% % Unfortunately, TeX uses one parameter (\hyphenchar) to control % both hyphenation at - and hyphenation within words. % We must therefore turn them both off (\tclose does that) @@ -2517,7 +2496,7 @@ \let-\codedash \let_\codeunder \else - \let-\realdash + \let-\normaldash \let_\realunder \fi \codex @@ -2526,7 +2505,7 @@ \def\codex #1{\tclose{#1}\endgroup} -\def\realdash{-} +\def\normaldash{-} \def\codedash{-\discretionary{}{}{}} \def\codeunder{% % this is all so @math{@code{var_name}+1} can work. In math mode, _ @@ -2541,9 +2520,9 @@ } % An additional complication: the above will allow breaks after, e.g., -% each of the four underscores in __typeof__. This is undesirable in -% some manuals, especially if they don't have long identifiers in -% general. @allowcodebreaks provides a way to control this. +% each of the four underscores in __typeof__. This is bad. +% @allowcodebreaks provides a document-level way to turn breaking at - +% and _ on and off. % \newif\ifallowcodebreaks \allowcodebreakstrue @@ -2562,6 +2541,13 @@ \fi\fi } +% For @command, @env, @file, @option quotes seem unnecessary, +% so use \code rather than \samp. +\let\command=\code +\let\env=\code +\let\file=\code +\let\option=\code + % @uref (abbreviation for `urlref') takes an optional (comma-separated) % second argument specifying the text to display and an optional third % arg as text to display instead of (rather than in addition to) the url @@ -2708,10 +2694,6 @@ \let\email=\uref \fi -% @kbd is like @code, except that if the argument is just one @key command, -% then @kbd has no effect. -\def\kbd#1{{\setupmarkupstyle{kbd}\def\look{#1}\expandafter\kbdfoo\look??\par}} - % @kbdinputstyle -- arg is `distinct' (@kbd uses slanted tty font always), % `example' (@kbd uses ttsl only inside of @example and friends), % or `code' (@kbd uses normal tty font always). @@ -2735,16 +2717,36 @@ % Default is `distinct'. \kbdinputstyle distinct +% @kbd is like @code, except that if the argument is just one @key command, +% then @kbd has no effect. +\def\kbd#1{{\def\look{#1}\expandafter\kbdsub\look??\par}} + \def\xkey{\key} -\def\kbdfoo#1#2#3\par{\def\one{#1}\def\three{#3}\def\threex{??}% -\ifx\one\xkey\ifx\threex\three \key{#2}% -\else{\tclose{\kbdfont\setupmarkupstyle{kbd}\look}}\fi -\else{\tclose{\kbdfont\setupmarkupstyle{kbd}\look}}\fi} +\def\kbdsub#1#2#3\par{% + \def\one{#1}\def\three{#3}\def\threex{??}% + \ifx\one\xkey\ifx\threex\three \key{#2}% + \else{\tclose{\kbdfont\setupmarkupstyle{kbd}\look}}\fi + \else{\tclose{\kbdfont\setupmarkupstyle{kbd}\look}}\fi +} -% For @indicateurl, @env, @command quotes seem unnecessary, so use \code. -\let\indicateurl=\code -\let\env=\code -\let\command=\code +% definition of @key that produces a lozenge. Doesn't adjust to text size. +%\setfont\keyrm\rmshape{8}{1000}{OT1} +%\font\keysy=cmsy9 +%\def\key#1{{\keyrm\textfont2=\keysy \leavevmode\hbox{% +% \raise0.4pt\hbox{\angleleft}\kern-.08em\vtop{% +% \vbox{\hrule\kern-0.4pt +% \hbox{\raise0.4pt\hbox{\vphantom{\angleleft}}#1}}% +% \kern-0.4pt\hrule}% +% \kern-.06em\raise0.4pt\hbox{\angleright}}}} + +% definition of @key with no lozenge. If the current font is already +% monospace, don't change it; that way, we respect @kbdinputstyle. But +% if it isn't monospace, then use \tt. +% +\def\key#1{{\setupmarkupstyle{key}% + \nohyphenation + \ifmonospace\else\tt\fi + #1}\null} % @clicksequence{File @click{} Open ...} \def\clicksequence#1{\begingroup #1\endgroup} @@ -2852,6 +2854,9 @@ } } +% ctrl is no longer a Texinfo command, but leave this definition for fun. +\def\ctrl #1{{\tt \rawbackslash \hat}#1} + % @inlinefmt{FMTNAME,PROCESSED-TEXT} and @inlineraw{FMTNAME,RAW-TEXT}. % Ignore unless FMTNAME == tex; then it is like @iftex and @tex, % except specified as a normal braced arg, so no newlines to worry about. @@ -3142,12 +3147,17 @@ % hopefully nobody will notice/care. \edef\ecsize{\csname\curfontsize ecsize\endcsname}% \edef\nominalsize{\csname\curfontsize nominalsize\endcsname}% - \ifx\curfontstyle\bfstylename - % bold: - \font\thisecfont = ecb\ifusingit{i}{x}\ecsize \space at \nominalsize - \else - % regular: - \font\thisecfont = ec\ifusingit{ti}{rm}\ecsize \space at \nominalsize + \ifmonospace + % typewriter: + \font\thisecfont = ectt\ecsize \space at \nominalsize + \else + \ifx\curfontstyle\bfstylename + % bold: + \font\thisecfont = ecb\ifusingit{i}{x}\ecsize \space at \nominalsize + \else + % regular: + \font\thisecfont = ec\ifusingit{ti}{rm}\ecsize \space at \nominalsize + \fi \fi \thisecfont } @@ -3260,6 +3270,20 @@ \finishedtitlepagetrue } +% Settings used for typesetting titles: no hyphenation, no indentation, +% don't worry much about spacing, ragged right. This should be used +% inside a \vbox, and fonts need to be set appropriately first. Because +% it is always used for titles, nothing else, we call \rmisbold. \par +% should be specified before the end of the \vbox, since a vbox is a group. +% +\def\raggedtitlesettings{% + \rmisbold + \hyphenpenalty=10000 + \parindent=0pt + \tolerance=5000 + \ptexraggedright +} + % Macros to be used within @titlepage: \let\subtitlerm=\tenrm @@ -3267,7 +3291,7 @@ \parseargdef\title{% \checkenv\titlepage - \leftline{\titlefonts\rmisbold #1} + \vbox{\titlefonts \raggedtitlesettings #1\par}% % print a rule at the page bottom also. \finishedtitlepagefalse \vskip4pt \hrule height 4pt width \hsize \vskip4pt @@ -4164,7 +4188,7 @@ % ..., but we might end up with active ones in the argument if % we're called from @code, as @code{@value{foo-bar_}}, though. % So \let them to their normal equivalents. - \let-\realdash \let_\normalunderscore + \let-\normaldash \let_\normalunderscore } } @@ -4204,7 +4228,7 @@ } \def\ifsetfail{\doignore{ifset}} -% @ifclear VAR ... @end ifclear reads the `...' iff VAR has never been +% @ifclear VAR ... @end executes the `...' iff VAR has never been % defined with @set, or has been undefined with @clear. % % The `\else' inside the `\doifset' parameter is a trick to reuse the @@ -4215,6 +4239,35 @@ \def\ifclear{\parsearg{\doifset{\else \let\next=\ifclearfail}}} \def\ifclearfail{\doignore{ifclear}} +% @ifcommandisdefined CMD ... @end executes the `...' if CMD (written +% without the @) is in fact defined. We can only feasibly check at the +% TeX level, so something like `mathcode' is going to considered +% defined even though it is not a Texinfo command. +% +\makecond{ifcommanddefined} +\def\ifcommanddefined{\parsearg{\doifcmddefined{\let\next=\ifcmddefinedfail}}} +% +\def\doifcmddefined#1#2{{% + \makevalueexpandable + \let\next=\empty + \expandafter\ifx\csname #2\endcsname\relax + #1% If not defined, \let\next as above. + \fi + \expandafter + }\next +} +\def\ifcmddefinedfail{\doignore{ifcommanddefined}} + +% @ifcommandnotdefined CMD ... handled similar to @ifclear above. +\makecond{ifcommandnotdefined} +\def\ifcommandnotdefined{% + \parsearg{\doifcmddefined{\else \let\next=\ifcmdnotdefinedfail}}} +\def\ifcmdnotdefinedfail{\doignore{ifcommandnotdefined}} + +% Set the `txicommandconditionals' variable, so documents have a way to +% test if the @ifcommand...defined conditionals are available. +\set txicommandconditionals + % @dircategory CATEGORY -- specify a category of the dir file % which this file should belong to. Ignore this in TeX. \let\dircategory=\comment @@ -4451,6 +4504,7 @@ \definedummyword\guillemetright \definedummyword\guilsinglleft \definedummyword\guilsinglright + \definedummyword\lbracechar \definedummyword\leq \definedummyword\minus \definedummyword\ogonek @@ -4463,6 +4517,7 @@ \definedummyword\quoteleft \definedummyword\quoteright \definedummyword\quotesinglbase + \definedummyword\rbracechar \definedummyword\result \definedummyword\textdegree % @@ -4514,6 +4569,7 @@ \definedummyword\t % % Commands that take arguments. + \definedummyword\abbr \definedummyword\acronym \definedummyword\anchor \definedummyword\cite @@ -4525,7 +4581,9 @@ \definedummyword\emph \definedummyword\env \definedummyword\file + \definedummyword\image \definedummyword\indicateurl + \definedummyword\inforef \definedummyword\kbd \definedummyword\key \definedummyword\math @@ -4572,7 +4630,10 @@ % content at all. So for index sorting, we map @{ and @} to strings % starting with |, since that ASCII character is between ASCII { and }. \def\{{|a}% + \def\lbracechar{|a}% + % \def\}{|b}% + \def\rbracechar{|b}% % % Non-English letters. \def\AA{AA}% @@ -5533,14 +5594,6 @@ % Define @majorheading, @heading and @subheading -% NOTE on use of \vbox for chapter headings, section headings, and such: -% 1) We use \vbox rather than the earlier \line to permit -% overlong headings to fold. -% 2) \hyphenpenalty is set to 10000 because hyphenation in a -% heading is obnoxious; this forbids it. -% 3) Likewise, headings look best if no \parindent is used, and -% if justification is not attempted. Hence \raggedright. - \def\majorheading{% {\advance\chapheadingskip by 10pt \chapbreak }% \parsearg\chapheadingzzz @@ -5548,10 +5601,8 @@ \def\chapheading{\chapbreak \parsearg\chapheadingzzz} \def\chapheadingzzz#1{% - {\chapfonts \vbox{\hyphenpenalty=10000\tolerance=5000 - \parindent=0pt\ptexraggedright - \rmisbold #1\hfill}}% - \bigskip \par\penalty 200\relax + \vbox{\chapfonts \raggedtitlesettings #1\par}% + \nobreak\bigskip \nobreak \suppressfirstparagraphindent } @@ -5710,8 +5761,7 @@ % % Typeset the actual heading. \nobreak % Avoid page breaks at the interline glue. - \vbox{\hyphenpenalty=10000 \tolerance=5000 \parindent=0pt \ptexraggedright - \hangindent=\wd0 \centerparametersmaybe + \vbox{\raggedtitlesettings \hangindent=\wd0 \centerparametersmaybe \unhbox0 #1\par}% }% \nobreak\bigskip % no page break after a chapter title @@ -5733,18 +5783,18 @@ \def\setchapterstyle #1 {\csname CHAPF#1\endcsname} % \def\unnchfopen #1{% -\chapoddpage {\chapfonts \vbox{\hyphenpenalty=10000\tolerance=5000 - \parindent=0pt\ptexraggedright - \rmisbold #1\hfill}}\bigskip \par\nobreak + \chapoddpage + \vbox{\chapfonts \raggedtitlesettings #1\par}% + \nobreak\bigskip\nobreak } \def\chfopen #1#2{\chapoddpage {\chapfonts \vbox to 3in{\vfil \hbox to\hsize{\hfil #2} \hbox to\hsize{\hfil #1} \vfil}}% \par\penalty 5000 % } \def\centerchfopen #1{% -\chapoddpage {\chapfonts \vbox{\hyphenpenalty=10000\tolerance=5000 - \parindent=0pt - \hfill {\rmisbold #1}\hfill}}\bigskip \par\nobreak + \chapoddpage + \vbox{\chapfonts \raggedtitlesettings \hfill #1\hfill}% + \nobreak\bigskip \nobreak } \def\CHAPFopen{% \global\let\chapmacro=\chfopen @@ -6510,16 +6560,9 @@ \makedispenvdef{quotation}{\quotationstart} % \def\quotationstart{% - {\parskip=0pt \aboveenvbreak}% because \aboveenvbreak inserts \parskip - \parindent=0pt - % - % @cartouche defines \nonarrowing to inhibit narrowing at next level down. + \indentedblockstart % same as \indentedblock, but increase right margin too. \ifx\nonarrowing\relax - \advance\leftskip by \lispnarrowing \advance\rightskip by \lispnarrowing - \exdentamount = \lispnarrowing - \else - \let\nonarrowing = \relax \fi \parsearg\quotationlabel } @@ -6545,6 +6588,32 @@ \fi } +% @indentedblock is like @quotation, but indents only on the left and +% has no optional argument. +% +\makedispenvdef{indentedblock}{\indentedblockstart} +% +\def\indentedblockstart{% + {\parskip=0pt \aboveenvbreak}% because \aboveenvbreak inserts \parskip + \parindent=0pt + % + % @cartouche defines \nonarrowing to inhibit narrowing at next level down. + \ifx\nonarrowing\relax + \advance\leftskip by \lispnarrowing + \exdentamount = \lispnarrowing + \else + \let\nonarrowing = \relax + \fi +} + +% Keep a nonzero parskip for the environment, since we're doing normal filling. +% +\def\Eindentedblock{% + \par + {\parskip=0pt \afterenvbreak}% +} +\def\Esmallindentedblock{\Eindentedblock} + % LaTeX-like @verbatim...@end verbatim and @verb{...} % If we want to allow any as delimiter, @@ -7023,7 +7092,10 @@ \df \sl \hyphenchar\font=0 % % On the other hand, if an argument has two dashes (for instance), we - % want a way to get ttsl. Let's try @var for that. + % want a way to get ttsl. We used to recommend @var for that, so + % leave the code in, but it's strange for @var to lead to typewriter. + % Nowadays we recommend @code, since the difference between a ttsl hyphen + % and a tt hyphen is pretty tiny. @code also disables ?` !`. \def\var##1{{\setupmarkupstyle{var}\ttslanted{##1}}}% #1% \sl\hyphenchar\font=45 @@ -7807,7 +7879,7 @@ \fi\fi } - +% % @xref, @pxref, and @ref generate cross-references. For \xrefX, #1 is % the node name, #2 the name of the Info cross-reference, #3 the printed % node name, #4 the name of the Info file, #5 the name of the printed @@ -7817,16 +7889,21 @@ \def\xref#1{\putwordSee{} \xrefX[#1,,,,,,,]} \def\ref#1{\xrefX[#1,,,,,,,]} % -\newbox\topbox +\newbox\toprefbox \newbox\printedrefnamebox +\newbox\infofilenamebox \newbox\printedmanualbox % \def\xrefX[#1,#2,#3,#4,#5,#6]{\begingroup \unsepspaces % + % Get args without leading/trailing spaces. \def\printedrefname{\ignorespaces #3}% \setbox\printedrefnamebox = \hbox{\printedrefname\unskip}% % + \def\infofilename{\ignorespaces #4}% + \setbox\infofilenamebox = \hbox{\infofilename\unskip}% + % \def\printedmanual{\ignorespaces #5}% \setbox\printedmanualbox = \hbox{\printedmanual\unskip}% % @@ -7861,11 +7938,18 @@ \turnoffactive \makevalueexpandable % This expands tokens, so do it after making catcode changes, so _ - % etc. don't get their TeX definitions. + % etc. don't get their TeX definitions. This ignores all spaces in + % #4, including (wrongly) those in the middle of the filename. \getfilename{#4}% % + % This (wrongly) does not take account of leading or trailing + % spaces in #1, which should be ignored. \edef\pdfxrefdest{#1}% - \txiescapepdf\pdfxrefdest + \ifx\pdfxrefdest\empty + \def\pdfxrefdest{Top}% no empty targets + \else + \txiescapepdf\pdfxrefdest % escape PDF special chars + \fi % \leavevmode \startlink attr{/Border [0 0 0]}% @@ -7898,7 +7982,7 @@ \printedrefname \fi % - % if the user also gave the printed manual name (fifth arg), append + % If the user also gave the printed manual name (fifth arg), append % "in MANUALNAME". \ifdim \wd\printedmanualbox > 0pt \space \putwordin{} \cite{\printedmanual}% @@ -7913,32 +7997,20 @@ % this is a loss. Therefore, we give the text of the node name % again, so it is as if TeX is seeing it for the first time. % - % Cross-manual reference. Only include the "Section ``foo'' in" if - % the foo is neither missing or Top. Thus, @xref{,,,foo,The Foo Manual} - % outputs simply "see The Foo Manual". \ifdim \wd\printedmanualbox > 0pt - % What is the 7sp about? The idea is that we also want to omit - % the Section part if we would be printing "Top", since they are - % clearly trying to refer to the whole manual. But, this being - % TeX, we can't easily compare strings while ignoring the possible - % spaces before and after in the input. By adding the arbitrary - % 7sp, we make it much less likely that a real node name would - % happen to have the same width as "Top" (e.g., in a monospaced font). - % I hope it will never happen in practice. + % Cross-manual reference with a printed manual name. % - % For the same basic reason, we retypeset the "Top" at every - % reference, since the current font is indeterminate. + \crossmanualxref{\cite{\printedmanual\unskip}}% + % + \else\ifdim \wd\infofilenamebox > 0pt + % Cross-manual reference with only an info filename (arg 4), no + % printed manual name (arg 5). This is essentially the same as + % the case above; we output the filename, since we have nothing else. % - \setbox\topbox = \hbox{Top\kern7sp}% - \setbox2 = \hbox{\ignorespaces \printedrefname \unskip \kern7sp}% - \ifdim \wd2 > 7sp - \ifdim \wd2 = \wd\topbox \else - \putwordSection{} ``\printedrefname'' \putwordin{}\space - \fi - \fi - \cite{\printedmanual}% + \crossmanualxref{\code{\infofilename\unskip}}% + % \else - % Reference in this manual. + % Reference within this manual. % % _ (for example) has to be the character _ for the purposes of the % control sequence corresponding to the node, but it has to expand @@ -7959,11 +8031,37 @@ % % output the `page 3'. \turnoffactive \putwordpage\tie\refx{#1-pg}{}% - \fi + \fi\fi \fi \endlink \endgroup} +% Output a cross-manual xref to #1. Used just above (twice). +% +% Only include the text "Section ``foo'' in" if the foo is neither +% missing or Top. Thus, @xref{,,,foo,The Foo Manual} outputs simply +% "see The Foo Manual", the idea being to refer to the whole manual. +% +% But, this being TeX, we can't easily compare our node name against the +% string "Top" while ignoring the possible spaces before and after in +% the input. By adding the arbitrary 7sp below, we make it much less +% likely that a real node name would have the same width as "Top" (e.g., +% in a monospaced font). Hopefully it will never happen in practice. +% +% For the same basic reason, we retypeset the "Top" at every +% reference, since the current font is indeterminate. +% +\def\crossmanualxref#1{% + \setbox\toprefbox = \hbox{Top\kern7sp}% + \setbox2 = \hbox{\ignorespaces \printedrefname \unskip \kern7sp}% + \ifdim \wd2 > 7sp % nonempty? + \ifdim \wd2 = \wd\toprefbox \else % same as Top? + \putwordSection{} ``\printedrefname'' \putwordin{}\space + \fi + \fi + #1% +} + % This macro is called from \xrefX for the `[nodename]' part of xref % output. It's a separate macro only so it can be changed more easily, % since square brackets don't work well in some documents. Particularly @@ -9895,22 +9993,26 @@ @gdef@otherbackslash{@let\=@realbackslash} % Same as @turnoffactive except outputs \ as {\tt\char`\\} instead of -% the literal character `\'. +% the literal character `\'. Also revert - to its normal character, in +% case the active - from code has slipped in. % -@def@normalturnoffactive{% - @let"=@normaldoublequote - @let$=@normaldollar %$ font-lock fix - @let+=@normalplus - @let<=@normalless - @let>=@normalgreater - @let\=@normalbackslash - @let^=@normalcaret - @let_=@normalunderscore - @let|=@normalverticalbar - @let~=@normaltilde - @markupsetuplqdefault - @markupsetuprqdefault - @unsepspaces +{@catcode`- = @active + @gdef@normalturnoffactive{% + @let-=@normaldash + @let"=@normaldoublequote + @let$=@normaldollar %$ font-lock fix + @let+=@normalplus + @let<=@normalless + @let>=@normalgreater + @let\=@normalbackslash + @let^=@normalcaret + @let_=@normalunderscore + @let|=@normalverticalbar + @let~=@normaltilde + @markupsetuplqdefault + @markupsetuprqdefault + @unsepspaces + } } % Make _ and + \other characters, temporarily. diff -Nru fwknop-2.5.1/config.h.in fwknop-2.6.0/config.h.in --- fwknop-2.5.1/config.h.in 2013-07-26 01:30:36.000000000 +0000 +++ fwknop-2.6.0/config.h.in 2014-01-13 03:30:52.000000000 +0000 @@ -134,6 +134,12 @@ /* Define to 1 if you have the header file. */ #undef HAVE_STRING_H +/* Define to 1 if you have the `strlcat' function. */ +#undef HAVE_STRLCAT + +/* Define to 1 if you have the `strlcpy' function. */ +#undef HAVE_STRLCPY + /* Define to 1 if you have the `strncasecmp' function. */ #undef HAVE_STRNCASECMP diff -Nru fwknop-2.5.1/configure fwknop-2.6.0/configure --- fwknop-2.5.1/configure 2013-07-26 01:30:39.000000000 +0000 +++ fwknop-2.6.0/configure 2014-01-13 03:30:53.000000000 +0000 @@ -1,6 +1,6 @@ #! /bin/sh # Guess values for system-dependent variables and create Makefiles. -# Generated by GNU Autoconf 2.69 for fwknop 2.5.1. +# Generated by GNU Autoconf 2.69 for fwknop 2.6.0. # # Report bugs to . # @@ -590,8 +590,8 @@ # Identity of this package. PACKAGE_NAME='fwknop' PACKAGE_TARNAME='fwknop' -PACKAGE_VERSION='2.5.1' -PACKAGE_STRING='fwknop 2.5.1' +PACKAGE_VERSION='2.6.0' +PACKAGE_STRING='fwknop 2.6.0' PACKAGE_BUGREPORT='dstuart@dstuart.org' PACKAGE_URL='' @@ -690,6 +690,10 @@ LDFLAGS CFLAGS CC +AM_BACKSLASH +AM_DEFAULT_VERBOSITY +AM_DEFAULT_V +AM_V am__untar am__tar AMTAR @@ -766,6 +770,7 @@ ac_subst_files='' ac_user_opts=' enable_option_checking +enable_silent_rules enable_dependency_tracking enable_shared enable_static @@ -1343,7 +1348,7 @@ # Omit some internal or obsolete options to make the list less imposing. # This message is too long to be a string in the A/UX 3.1 sh. cat <<_ACEOF -\`configure' configures fwknop 2.5.1 to adapt to many kinds of systems. +\`configure' configures fwknop 2.6.0 to adapt to many kinds of systems. Usage: $0 [OPTION]... [VAR=VALUE]... @@ -1414,7 +1419,7 @@ if test -n "$ac_init_help"; then case $ac_init_help in - short | recursive ) echo "Configuration of fwknop 2.5.1:";; + short | recursive ) echo "Configuration of fwknop 2.6.0:";; esac cat <<\_ACEOF @@ -1422,8 +1427,12 @@ --disable-option-checking ignore unrecognized --enable/--with options --disable-FEATURE do not include FEATURE (same as --enable-FEATURE=no) --enable-FEATURE[=ARG] include FEATURE [ARG=yes] - --disable-dependency-tracking speeds up one-time build - --enable-dependency-tracking do not reject slow dependency extractors + --enable-silent-rules less verbose build output (undo: "make V=1") + --disable-silent-rules verbose build output (undo: "make V=0") + --enable-dependency-tracking + do not reject slow dependency extractors + --disable-dependency-tracking + speeds up one-time build --enable-shared[=PKGS] build shared libraries [default=yes] --enable-static[=PKGS] build static libraries [default=yes] --enable-fast-install[=PKGS] @@ -1555,7 +1564,7 @@ test -n "$ac_init_help" && exit $ac_status if $ac_init_version; then cat <<\_ACEOF -fwknop configure 2.5.1 +fwknop configure 2.6.0 generated by GNU Autoconf 2.69 Copyright (C) 2012 Free Software Foundation, Inc. @@ -2291,7 +2300,7 @@ This file contains any messages produced by compilers while running configure, to aid debugging if configure makes a mistake. -It was created by fwknop $as_me 2.5.1, which was +It was created by fwknop $as_me 2.6.0, which was generated by GNU Autoconf 2.69. Invocation command line was $ $0 $@ @@ -2782,7 +2791,7 @@ NONENONEs,x,x, && program_prefix=${target_alias}- -am__api_version='1.11' +am__api_version='1.13' # Find a good install program. We prefer a C program (faster), # so one script is as good as another. But avoid the broken or @@ -2879,9 +2888,6 @@ { $as_echo "$as_me:${as_lineno-$LINENO}: checking whether build environment is sane" >&5 $as_echo_n "checking whether build environment is sane... " >&6; } -# Just in case -sleep 1 -echo timestamp > conftest.file # Reject unsafe characters in $srcdir or the absolute working directory # name. Accept space and tab only in the latter. am_lf=' @@ -2892,32 +2898,40 @@ esac case $srcdir in *[\\\"\#\$\&\'\`$am_lf\ \ ]*) - as_fn_error $? "unsafe srcdir value: \`$srcdir'" "$LINENO" 5;; + as_fn_error $? "unsafe srcdir value: '$srcdir'" "$LINENO" 5;; esac -# Do `set' in a subshell so we don't clobber the current shell's +# Do 'set' in a subshell so we don't clobber the current shell's # arguments. Must try -L first in case configure is actually a # symlink; some systems play weird games with the mod time of symlinks # (eg FreeBSD returns the mod time of the symlink's containing # directory). if ( - set X `ls -Lt "$srcdir/configure" conftest.file 2> /dev/null` - if test "$*" = "X"; then - # -L didn't work. - set X `ls -t "$srcdir/configure" conftest.file` - fi - rm -f conftest.file - if test "$*" != "X $srcdir/configure conftest.file" \ - && test "$*" != "X conftest.file $srcdir/configure"; then - - # If neither matched, then we have a broken ls. This can happen - # if, for instance, CONFIG_SHELL is bash and it inherits a - # broken ls alias from the environment. This has actually - # happened. Such a system could not be considered "sane". - as_fn_error $? "ls -t appears to fail. Make sure there is not a broken -alias in your environment" "$LINENO" 5 - fi + am_has_slept=no + for am_try in 1 2; do + echo "timestamp, slept: $am_has_slept" > conftest.file + set X `ls -Lt "$srcdir/configure" conftest.file 2> /dev/null` + if test "$*" = "X"; then + # -L didn't work. + set X `ls -t "$srcdir/configure" conftest.file` + fi + if test "$*" != "X $srcdir/configure conftest.file" \ + && test "$*" != "X conftest.file $srcdir/configure"; then + # If neither matched, then we have a broken ls. This can happen + # if, for instance, CONFIG_SHELL is bash and it inherits a + # broken ls alias from the environment. This has actually + # happened. Such a system could not be considered "sane". + as_fn_error $? "ls -t appears to fail. Make sure there is not a broken + alias in your environment" "$LINENO" 5 + fi + if test "$2" = conftest.file || test $am_try -eq 2; then + break + fi + # Just in case. + sleep 1 + am_has_slept=yes + done test "$2" = conftest.file ) then @@ -2929,6 +2943,16 @@ fi { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5 $as_echo "yes" >&6; } +# If we didn't sleep, we still need to ensure time stamps of config.status and +# generated files are strictly newer. +am_sleep_pid= +if grep 'slept: no' conftest.file >/dev/null 2>&1; then + ( sleep 1 ) & + am_sleep_pid=$! +fi + +rm -f conftest.file + test "$program_prefix" != NONE && program_transform_name="s&^&$program_prefix&;$program_transform_name" # Use a double $ so make ignores it. @@ -2951,12 +2975,12 @@ esac fi # Use eval to expand $SHELL -if eval "$MISSING --run true"; then - am_missing_run="$MISSING --run " +if eval "$MISSING --is-lightweight"; then + am_missing_run="$MISSING " else am_missing_run= - { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: \`missing' script is too old or missing" >&5 -$as_echo "$as_me: WARNING: \`missing' script is too old or missing" >&2;} + { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: 'missing' script is too old or missing" >&5 +$as_echo "$as_me: WARNING: 'missing' script is too old or missing" >&2;} fi if test x"${install_sh}" != xset; then @@ -2968,10 +2992,10 @@ esac fi -# Installed binaries are usually stripped using `strip' when the user -# run `make install-strip'. However `strip' might not be the right +# Installed binaries are usually stripped using 'strip' when the user +# run "make install-strip". However 'strip' might not be the right # tool to use in cross-compilation environments, therefore Automake -# will honor the `STRIP' environment variable to overrule this program. +# will honor the 'STRIP' environment variable to overrule this program. if test "$cross_compiling" != no; then if test -n "$ac_tool_prefix"; then # Extract the first word of "${ac_tool_prefix}strip", so it can be a program name with args. @@ -3110,12 +3134,6 @@ { $as_echo "$as_me:${as_lineno-$LINENO}: result: $MKDIR_P" >&5 $as_echo "$MKDIR_P" >&6; } -mkdir_p="$MKDIR_P" -case $mkdir_p in - [\\/$]* | ?:[\\/]*) ;; - */*) mkdir_p="\$(top_builddir)/$mkdir_p" ;; -esac - for ac_prog in gawk mawk nawk awk do # Extract the first word of "$ac_prog", so it can be a program name with args. @@ -3198,6 +3216,45 @@ fi rmdir .tst 2>/dev/null +# Check whether --enable-silent-rules was given. +if test "${enable_silent_rules+set}" = set; then : + enableval=$enable_silent_rules; +fi + +case $enable_silent_rules in # ((( + yes) AM_DEFAULT_VERBOSITY=0;; + no) AM_DEFAULT_VERBOSITY=1;; + *) AM_DEFAULT_VERBOSITY=1;; +esac +am_make=${MAKE-make} +{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether $am_make supports nested variables" >&5 +$as_echo_n "checking whether $am_make supports nested variables... " >&6; } +if ${am_cv_make_support_nested_variables+:} false; then : + $as_echo_n "(cached) " >&6 +else + if $as_echo 'TRUE=$(BAR$(V)) +BAR0=false +BAR1=true +V=1 +am__doit: + @$(TRUE) +.PHONY: am__doit' | $am_make -f - >/dev/null 2>&1; then + am_cv_make_support_nested_variables=yes +else + am_cv_make_support_nested_variables=no +fi +fi +{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $am_cv_make_support_nested_variables" >&5 +$as_echo "$am_cv_make_support_nested_variables" >&6; } +if test $am_cv_make_support_nested_variables = yes; then + AM_V='$(V)' + AM_DEFAULT_V='$(AM_DEFAULT_VERBOSITY)' +else + AM_V=$AM_DEFAULT_VERBOSITY + AM_DEFAULT_V=$AM_DEFAULT_VERBOSITY +fi +AM_BACKSLASH='\' + if test "`cd $srcdir && pwd`" != "`pwd`"; then # Use -I$(srcdir) only when $(srcdir) != ., so that make's output # is not polluted with repeated "-I." @@ -3220,7 +3277,7 @@ # Define the identity of the package. PACKAGE='fwknop' - VERSION='2.5.1' + VERSION='2.6.0' cat >>confdefs.h <<_ACEOF @@ -3248,12 +3305,22 @@ MAKEINFO=${MAKEINFO-"${am_missing_run}makeinfo"} +# For better backward compatibility. To be removed once Automake 1.9.x +# dies out for good. For more background, see: +# +# +mkdir_p='$(MKDIR_P)' + # We need awk for the "check" target. The system "awk" is bad on # some platforms. # Always define AMTAR for backward compatibility. Yes, it's still used # in the wild :-( We should find a proper way to deprecate it ... AMTAR='$${TAR-tar}' + +# We'll loop over all known methods to create a tar archive until one works. +_am_tools='gnutar pax cpio none' + am__tar='$${TAR-tar} chof - "$$tardir"' am__untar='$${TAR-tar} xf -' @@ -3262,6 +3329,7 @@ + ac_config_headers="$ac_config_headers config.h" @@ -3290,7 +3358,7 @@ _am_result=none # First try GNU make style include. echo "include confinc" > confmf -# Ignore all kinds of additional output from `make'. +# Ignore all kinds of additional output from 'make'. case `$am_make -s -f confmf 2> /dev/null` in #( *the\ am__doit\ target*) am__include=include @@ -4134,8 +4202,8 @@ # We make a subdir and do the tests there. Otherwise we can end up # making bogus files that we don't know about and never remove. For # instance it was reported that on HP-UX the gcc test will end up - # making a dummy file named `D' -- because `-MD' means `put the output - # in D'. + # making a dummy file named 'D' -- because '-MD' means "put the output + # in D". rm -rf conftest.dir mkdir conftest.dir # Copy depcomp to subdir because otherwise we won't find it if we're @@ -4170,16 +4238,16 @@ : > sub/conftest.c for i in 1 2 3 4 5 6; do echo '#include "conftst'$i'.h"' >> sub/conftest.c - # Using `: > sub/conftst$i.h' creates only sub/conftst1.h with - # Solaris 8's {/usr,}/bin/sh. - touch sub/conftst$i.h + # Using ": > sub/conftst$i.h" creates only sub/conftst1.h with + # Solaris 10 /bin/sh. + echo '/* dummy */' > sub/conftst$i.h done echo "${am__include} ${am__quote}sub/conftest.Po${am__quote}" > confmf - # We check with `-c' and `-o' for the sake of the "dashmstdout" + # We check with '-c' and '-o' for the sake of the "dashmstdout" # mode. It turns out that the SunPro C++ compiler does not properly - # handle `-M -o', and we need to detect this. Also, some Intel - # versions had trouble with output in subdirs + # handle '-M -o', and we need to detect this. Also, some Intel + # versions had trouble with output in subdirs. am__obj=sub/conftest.${OBJEXT-o} am__minus_obj="-o $am__obj" case $depmode in @@ -4188,8 +4256,8 @@ test "$am__universal" = false || continue ;; nosideeffect) - # after this tag, mechanisms are not by side-effect, so they'll - # only be used when explicitly requested + # After this tag, mechanisms are not by side-effect, so they'll + # only be used when explicitly requested. if test "x$enable_dependency_tracking" = xyes; then continue else @@ -4197,7 +4265,7 @@ fi ;; msvc7 | msvc7msys | msvisualcpp | msvcmsys) - # This compiler won't grok `-c -o', but also, the minuso test has + # This compiler won't grok '-c -o', but also, the minuso test has # not run yet. These depmodes are late enough in the game, and # so weak that their functioning should not be impacted. am__obj=conftest.${OBJEXT-o} @@ -5262,8 +5330,8 @@ # We make a subdir and do the tests there. Otherwise we can end up # making bogus files that we don't know about and never remove. For # instance it was reported that on HP-UX the gcc test will end up - # making a dummy file named `D' -- because `-MD' means `put the output - # in D'. + # making a dummy file named 'D' -- because '-MD' means "put the output + # in D". rm -rf conftest.dir mkdir conftest.dir # Copy depcomp to subdir because otherwise we won't find it if we're @@ -5298,16 +5366,16 @@ : > sub/conftest.c for i in 1 2 3 4 5 6; do echo '#include "conftst'$i'.h"' >> sub/conftest.c - # Using `: > sub/conftst$i.h' creates only sub/conftst1.h with - # Solaris 8's {/usr,}/bin/sh. - touch sub/conftst$i.h + # Using ": > sub/conftst$i.h" creates only sub/conftst1.h with + # Solaris 10 /bin/sh. + echo '/* dummy */' > sub/conftst$i.h done echo "${am__include} ${am__quote}sub/conftest.Po${am__quote}" > confmf - # We check with `-c' and `-o' for the sake of the "dashmstdout" + # We check with '-c' and '-o' for the sake of the "dashmstdout" # mode. It turns out that the SunPro C++ compiler does not properly - # handle `-M -o', and we need to detect this. Also, some Intel - # versions had trouble with output in subdirs + # handle '-M -o', and we need to detect this. Also, some Intel + # versions had trouble with output in subdirs. am__obj=sub/conftest.${OBJEXT-o} am__minus_obj="-o $am__obj" case $depmode in @@ -5316,8 +5384,8 @@ test "$am__universal" = false || continue ;; nosideeffect) - # after this tag, mechanisms are not by side-effect, so they'll - # only be used when explicitly requested + # After this tag, mechanisms are not by side-effect, so they'll + # only be used when explicitly requested. if test "x$enable_dependency_tracking" = xyes; then continue else @@ -5325,7 +5393,7 @@ fi ;; msvc7 | msvc7msys | msvisualcpp | msvcmsys) - # This compiler won't grok `-c -o', but also, the minuso test has + # This compiler won't grok '-c -o', but also, the minuso test has # not run yet. These depmodes are late enough in the game, and # so weak that their functioning should not be impacted. am__obj=conftest.${OBJEXT-o} @@ -14565,7 +14633,7 @@ fi -for ac_func in bzero gettimeofday memmove memset socket strchr strcspn strdup strncasecmp strndup strrchr strspn strnlen stat chmod chown +for ac_func in bzero gettimeofday memmove memset socket strchr strcspn strdup strncasecmp strndup strrchr strspn strnlen stat chmod chown strlcat strlcpy do : as_ac_var=`$as_echo "ac_cv_func_$ac_func" | $as_tr_sh` ac_fn_c_check_func "$LINENO" "$ac_func" "$as_ac_var" @@ -15692,6 +15760,14 @@ LTLIBOBJS=$ac_ltlibobjs +{ $as_echo "$as_me:${as_lineno-$LINENO}: checking that generated files are newer than configure" >&5 +$as_echo_n "checking that generated files are newer than configure... " >&6; } + if test -n "$am_sleep_pid"; then + # Hide warnings about reused PIDs. + wait $am_sleep_pid 2>/dev/null + fi + { $as_echo "$as_me:${as_lineno-$LINENO}: result: done" >&5 +$as_echo "done" >&6; } if test -n "$EXEEXT"; then am__EXEEXT_TRUE= am__EXEEXT_FALSE='#' @@ -16134,7 +16210,7 @@ # report actual input values of CONFIG_FILES etc. instead of their # values after options handling. ac_log=" -This file was extended by fwknop $as_me 2.5.1, which was +This file was extended by fwknop $as_me 2.6.0, which was generated by GNU Autoconf 2.69. Invocation command line was CONFIG_FILES = $CONFIG_FILES @@ -16200,7 +16276,7 @@ cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1 ac_cs_config="`$as_echo "$ac_configure_args" | sed 's/^ //; s/[\\""\`\$]/\\\\&/g'`" ac_cs_version="\\ -fwknop config.status 2.5.1 +fwknop config.status 2.6.0 configured by $0, generated by GNU Autoconf 2.69, with options \\"\$ac_cs_config\\" @@ -17211,7 +17287,7 @@ case $ac_file$ac_mode in "depfiles":C) test x"$AMDEP_TRUE" != x"" || { - # Autoconf 2.62 quotes --file arguments for eval, but not when files + # Older Autoconf quotes --file arguments for eval, but not when files # are listed without --file. Let's play safe and only enable the eval # if we detect the quoting. case $CONFIG_FILES in @@ -17224,7 +17300,7 @@ # Strip MF so we end up with the name of the file. mf=`echo "$mf" | sed -e 's/:.*$//'` # Check whether this is an Automake generated Makefile or not. - # We used to match only the files named `Makefile.in', but + # We used to match only the files named 'Makefile.in', but # some people rename them; so instead we look at the file content. # Grep'ing the first line is not enough: some people post-process # each Makefile.in and add a new line on top of each file to say so. @@ -17258,21 +17334,19 @@ continue fi # Extract the definition of DEPDIR, am__include, and am__quote - # from the Makefile without running `make'. + # from the Makefile without running 'make'. DEPDIR=`sed -n 's/^DEPDIR = //p' < "$mf"` test -z "$DEPDIR" && continue am__include=`sed -n 's/^am__include = //p' < "$mf"` - test -z "am__include" && continue + test -z "$am__include" && continue am__quote=`sed -n 's/^am__quote = //p' < "$mf"` - # When using ansi2knr, U may be empty or an underscore; expand it - U=`sed -n 's/^U = //p' < "$mf"` # Find all dependency output files, they are included files with # $(DEPDIR) in their names. We invoke sed twice because it is the # simplest approach to changing $(DEPDIR) to its actual value in the # expansion. for file in `sed -n " s/^$am__include $am__quote\(.*(DEPDIR).*\)$am__quote"'$/\1/p' <"$mf" | \ - sed -e 's/\$(DEPDIR)/'"$DEPDIR"'/g' -e 's/\$U/'"$U"'/g'`; do + sed -e 's/\$(DEPDIR)/'"$DEPDIR"'/g'`; do # Make sure the directory exists. test -f "$dirpart/$file" && continue fdir=`$as_dirname -- "$file" || diff -Nru fwknop-2.5.1/configure.ac fwknop-2.6.0/configure.ac --- fwknop-2.5.1/configure.ac 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/configure.ac 2014-01-13 03:29:04.000000000 +0000 @@ -11,7 +11,7 @@ dnl Define our name, version and email. m4_define(my_package, [fwknop]) -m4_define(my_version, [2.5.1]) +m4_define(my_version, [2.6.0]) m4_define(my_bug_email, [dstuart@dstuart.org]) AC_INIT(my_package, my_version, my_bug_email) @@ -257,7 +257,7 @@ AC_FUNC_REALLOC AC_FUNC_STAT -AC_CHECK_FUNCS([bzero gettimeofday memmove memset socket strchr strcspn strdup strncasecmp strndup strrchr strspn strnlen stat chmod chown]) +AC_CHECK_FUNCS([bzero gettimeofday memmove memset socket strchr strcspn strdup strncasecmp strndup strrchr strspn strnlen stat chmod chown strlcat strlcpy]) AC_SEARCH_LIBS([socket], [socket]) AC_SEARCH_LIBS([inet_addr], [nsl]) diff -Nru fwknop-2.5.1/debian/changelog fwknop-2.6.0/debian/changelog --- fwknop-2.5.1/debian/changelog 2013-07-28 17:05:12.000000000 +0000 +++ fwknop-2.6.0/debian/changelog 2014-01-18 20:43:08.000000000 +0000 @@ -1,3 +1,30 @@ +fwknop (2.6.0-2) unstable; urgency=low + + * Moved installation of usr.sbin/fwknopd from d.rules to + fwknop-apparmor-profile.install file. (Closes: #735766) + * Added copyright stanza for android files in d.copyright + (Closes: #735668). + + -- Franck Joncourt Sat, 18 Jan 2014 21:42:00 +0100 + +fwknop (2.6.0-1) unstable; urgency=low + + * Imported Upstream version 2.6.0 + + * New fwknop-apparmor-profile package to provide the fwknop-server apparmor + profile. + - New entry in d.control to create the brand new binary package. + - Added BD on dh-apparmor. + - Updated d.rules to used dh_apparmor to manage developer scripts. + - Added fwknop-apparmor-profile.dirs file to create apparmor directory at + install. + - Added fwknop-apparmor-profile as suggested package for the fwknop server + in d.control. + * Bump up debian policy from 3.9.4 to 3.9.5: + - Removed dependencies on dpkg (>= 1.15.4) | install-info + + -- Franck Joncourt Mon, 13 Jan 2014 22:10:17 +0100 + fwknop (2.5.1-1) unstable; urgency=low * Imported Upstream version 2.5.1 diff -Nru fwknop-2.5.1/debian/control fwknop-2.6.0/debian/control --- fwknop-2.5.1/debian/control 2013-07-28 17:05:12.000000000 +0000 +++ fwknop-2.6.0/debian/control 2014-01-18 20:43:08.000000000 +0000 @@ -3,9 +3,9 @@ Priority: extra Build-Depends: debhelper (>= 9), automake, libtool, libgpgme11-dev, texinfo, libpcap0.8-dev, po-debconf, libgdbm-dev, iptables, - dpkg-dev (>= 1.16.1~), chrpath, python-all-dev + dpkg-dev (>= 1.16.1~), chrpath, python-all-dev, dh-apparmor Maintainer: Franck Joncourt -Standards-Version: 3.9.4 +Standards-Version: 3.9.5 Vcs-Git: git://anonscm.debian.org/collab-maint/fwknop.git Vcs-Browser: http://anonscm.debian.org/gitweb/?p=collab-maint/fwknop.git;a=summary Homepage: http://www.cipherdyne.com/fwknop/ @@ -17,8 +17,7 @@ Provides: libfko-dev Conflicts: libfko-dev Suggests: libfko-doc -Depends: ${shlibs:Depends}, libfko2 (= ${binary:Version}), ${misc:Depends}, - dpkg (>= 1.15.4) | install-info +Depends: ${shlibs:Depends}, libfko2 (= ${binary:Version}), ${misc:Depends} Description: FireWall KNock OPerator - developpement library The FireWall KNock OPerator implements an authorization scheme called Single Packet Authorization (SPA), based on Netfilter and libpcap. @@ -117,6 +116,7 @@ Architecture: linux-any Depends: ${shlibs:Depends}, ${misc:Depends}, libfko2 (= ${binary:Version}), iptables, lsb-base (>= 3.0-6) +Suggests: fwknop-apparmor-profile Description: FireWall KNock OPerator server side - C version The FireWall KNock OPerator implements an authorization scheme called Single Packet Authorization (SPA), based on Netfilter and libpcap. @@ -164,3 +164,16 @@ valid encrypted and non-replayed packet is detected. . This package provides the FKO module as a Python interface. + +Package: fwknop-apparmor-profile +Architecture: all +Depends: fwknop-server, ${misc:Depends} +Description: FireWall KNock OPerator - Apparmor profile + The FireWall KNock OPerator implements an authorization scheme called + Single Packet Authorization (SPA), based on Netfilter and libpcap. + . + Its main application is to protect services such as OpenSSH with + an additional layer of security in order to make the exploitation of + vulnerabilities (both 0-day and unpatched code) much more difficult. + . + This is the apparmor profile for the FireWall KNock OPerator server. diff -Nru fwknop-2.5.1/debian/copyright fwknop-2.6.0/debian/copyright --- fwknop-2.5.1/debian/copyright 2013-07-28 17:05:12.000000000 +0000 +++ fwknop-2.6.0/debian/copyright 2014-01-18 20:43:08.000000000 +0000 @@ -5,7 +5,8 @@ Source: http://trac.cipherdyne.org/trac/fwknop/ Files: * -Copyright: 2008-2010, Damien Stuart (dstuart@dstuart.org) +Copyright: 2008-2014, Damien Stuart (dstuart@dstuart.org), + 2008-2014 Michael Rash License: GPL-2+ Files: lib/base64.c @@ -43,8 +44,12 @@ Copyright: 1987-2002, Free Software Foundation, Inc. License: LGPL-2.1 +Files: android/* +Copyright: Max Kastanas +License: GPL-3 + Files: debian/* -Copyright: 2008-2012, by Franck Joncourt +Copyright: 2008-2014, by Franck Joncourt License: GPL-2+ Debian packaging is licensed under the same terms as the software itself (see above). @@ -99,3 +104,20 @@ . On Debian systems, the complete text of the Artistic License can be found in `/usr/share/common-licenses/Artistic'. + +Licence: GPL-3 + This library is free software; you can redistribute it and/or modify it + under the terms of the GNU General Public License as published by the + Free Software Foundation; either version 3 of the License, or (at your + option) any later version. + . + This library is distributed in the hope that it will be useful, but WITHOUT + ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or + FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License + for more details. + . + You should have received a copy of the GNU General Public + License along with this library; if not, write to the + Free Software Foundation, Inc.. + . + See /usr/share/common-licenses/GPL-3 on your debian system. diff -Nru fwknop-2.5.1/debian/fwknop-apparmor-profile.dirs fwknop-2.6.0/debian/fwknop-apparmor-profile.dirs --- fwknop-2.5.1/debian/fwknop-apparmor-profile.dirs 1970-01-01 00:00:00.000000000 +0000 +++ fwknop-2.6.0/debian/fwknop-apparmor-profile.dirs 2014-01-18 20:43:08.000000000 +0000 @@ -0,0 +1 @@ +etc/apparmor.d diff -Nru fwknop-2.5.1/debian/fwknop-apparmor-profile.install fwknop-2.6.0/debian/fwknop-apparmor-profile.install --- fwknop-2.5.1/debian/fwknop-apparmor-profile.install 1970-01-01 00:00:00.000000000 +0000 +++ fwknop-2.6.0/debian/fwknop-apparmor-profile.install 2014-01-18 20:43:08.000000000 +0000 @@ -0,0 +1 @@ +extras/apparmor/usr.sbin.fwknopd /etc/apparmor.d diff -Nru fwknop-2.5.1/debian/rules fwknop-2.6.0/debian/rules --- fwknop-2.5.1/debian/rules 2013-07-28 17:05:12.000000000 +0000 +++ fwknop-2.6.0/debian/rules 2014-01-18 20:43:08.000000000 +0000 @@ -17,10 +17,10 @@ endif TMP_LIBFKOPERL = $(CURDIR)/debian/libfko-perl -LIB_LIBFKODEV = usr/lib/$(DEB_HOST_MULTIARCH) - TMP_LIBFKOPYTHON = $(CURDIR)/debian/libfko-python +LIB_LIBFKODEV = usr/lib/$(DEB_HOST_MULTIARCH) + %: dh $@ --with=python2 @@ -47,6 +47,10 @@ chrpath -d $(TMP_LIBFKOPERL)/usr/lib/perl5/auto/FKO/FKO.so cd python && python setup.py install --root=$(TMP_LIBFKOPYTHON) --install-layout=deb +override_dh_installinit: + dh_apparmor -pfwknop-apparmor-profile --profile-name=usr.sbin.fwknopd + dh_installinit + override_dh_link: dh_link -plibfko2-dev $(LIB_LIBFKODEV)/libfko.so.2.0.0 $(LIB_LIBFKODEV)/libfko.so dh_link --remaining-packages diff -Nru fwknop-2.5.1/doc/Makefile.in fwknop-2.6.0/doc/Makefile.in --- fwknop-2.5.1/doc/Makefile.in 2013-07-26 01:30:38.000000000 +0000 +++ fwknop-2.6.0/doc/Makefile.in 2014-01-13 03:30:53.000000000 +0000 @@ -1,9 +1,8 @@ -# Makefile.in generated by automake 1.11.6 from Makefile.am. +# Makefile.in generated by automake 1.13.3 from Makefile.am. # @configure_input@ -# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002, -# 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011 Free Software -# Foundation, Inc. +# Copyright (C) 1994-2013 Free Software Foundation, Inc. + # This Makefile.in is free software; the Free Software Foundation # gives unlimited permission to copy and/or distribute it, # with or without modifications, as long as this notice is preserved. @@ -15,23 +14,51 @@ @SET_MAKE@ VPATH = @srcdir@ -am__make_dryrun = \ - { \ - am__dry=no; \ +am__is_gnu_make = test -n '$(MAKEFILE_LIST)' && test -n '$(MAKELEVEL)' +am__make_running_with_option = \ + case $${target_option-} in \ + ?) ;; \ + *) echo "am__make_running_with_option: internal error: invalid" \ + "target option '$${target_option-}' specified" >&2; \ + exit 1;; \ + esac; \ + has_opt=no; \ + sane_makeflags=$$MAKEFLAGS; \ + if $(am__is_gnu_make); then \ + sane_makeflags=$$MFLAGS; \ + else \ case $$MAKEFLAGS in \ *\\[\ \ ]*) \ - echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \ - | grep '^AM OK$$' >/dev/null || am__dry=yes;; \ - *) \ - for am__flg in $$MAKEFLAGS; do \ - case $$am__flg in \ - *=*|--*) ;; \ - *n*) am__dry=yes; break;; \ - esac; \ - done;; \ + bs=\\; \ + sane_makeflags=`printf '%s\n' "$$MAKEFLAGS" \ + | sed "s/$$bs$$bs[$$bs $$bs ]*//g"`;; \ esac; \ - test $$am__dry = yes; \ - } + fi; \ + skip_next=no; \ + strip_trailopt () \ + { \ + flg=`printf '%s\n' "$$flg" | sed "s/$$1.*$$//"`; \ + }; \ + for flg in $$sane_makeflags; do \ + test $$skip_next = yes && { skip_next=no; continue; }; \ + case $$flg in \ + *=*|--*) continue;; \ + -*I) strip_trailopt 'I'; skip_next=yes;; \ + -*I?*) strip_trailopt 'I';; \ + -*O) strip_trailopt 'O'; skip_next=yes;; \ + -*O?*) strip_trailopt 'O';; \ + -*l) strip_trailopt 'l'; skip_next=yes;; \ + -*l?*) strip_trailopt 'l';; \ + -[dEDm]) skip_next=yes;; \ + -[JT]) skip_next=yes;; \ + esac; \ + case $$flg in \ + *$$target_option*) has_opt=yes; break;; \ + esac; \ + done; \ + test $$has_opt = yes +am__make_dryrun = (target_option=n; $(am__make_running_with_option)) +am__make_keepgoing = (target_option=k; $(am__make_running_with_option)) pkgdatadir = $(datadir)/@PACKAGE@ pkgincludedir = $(includedir)/@PACKAGE@ pkglibdir = $(libdir)/@PACKAGE@ @@ -52,9 +79,10 @@ host_triplet = @host@ target_triplet = @target@ subdir = doc -DIST_COMMON = README $(libfko_TEXINFOS) $(srcdir)/Makefile.am \ - $(srcdir)/Makefile.in $(srcdir)/stamp-vti \ - $(srcdir)/version.texi +DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am \ + $(libfko_TEXINFOS) $(top_srcdir)/config/mdate-sh \ + $(srcdir)/version.texi $(srcdir)/stamp-vti \ + $(top_srcdir)/config/texinfo.tex README ACLOCAL_M4 = $(top_srcdir)/aclocal.m4 am__aclocal_m4_deps = $(top_srcdir)/m4/gpgme.m4 \ $(top_srcdir)/m4/libtool.m4 $(top_srcdir)/m4/ltoptions.m4 \ @@ -66,8 +94,48 @@ CONFIG_HEADER = $(top_builddir)/config.h CONFIG_CLEAN_FILES = CONFIG_CLEAN_VPATH_FILES = +AM_V_P = $(am__v_P_@AM_V@) +am__v_P_ = $(am__v_P_@AM_DEFAULT_V@) +am__v_P_0 = false +am__v_P_1 = : +AM_V_GEN = $(am__v_GEN_@AM_V@) +am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@) +am__v_GEN_0 = @echo " GEN " $@; +am__v_GEN_1 = +AM_V_at = $(am__v_at_@AM_V@) +am__v_at_ = $(am__v_at_@AM_DEFAULT_V@) +am__v_at_0 = @ +am__v_at_1 = SOURCES = DIST_SOURCES = +AM_V_DVIPS = $(am__v_DVIPS_@AM_V@) +am__v_DVIPS_ = $(am__v_DVIPS_@AM_DEFAULT_V@) +am__v_DVIPS_0 = @echo " DVIPS " $@; +am__v_DVIPS_1 = +AM_V_MAKEINFO = $(am__v_MAKEINFO_@AM_V@) +am__v_MAKEINFO_ = $(am__v_MAKEINFO_@AM_DEFAULT_V@) +am__v_MAKEINFO_0 = @echo " MAKEINFO" $@; +am__v_MAKEINFO_1 = +AM_V_INFOHTML = $(am__v_INFOHTML_@AM_V@) +am__v_INFOHTML_ = $(am__v_INFOHTML_@AM_DEFAULT_V@) +am__v_INFOHTML_0 = @echo " INFOHTML" $@; +am__v_INFOHTML_1 = +AM_V_TEXI2DVI = $(am__v_TEXI2DVI_@AM_V@) +am__v_TEXI2DVI_ = $(am__v_TEXI2DVI_@AM_DEFAULT_V@) +am__v_TEXI2DVI_0 = @echo " TEXI2DVI" $@; +am__v_TEXI2DVI_1 = +AM_V_TEXI2PDF = $(am__v_TEXI2PDF_@AM_V@) +am__v_TEXI2PDF_ = $(am__v_TEXI2PDF_@AM_DEFAULT_V@) +am__v_TEXI2PDF_0 = @echo " TEXI2PDF" $@; +am__v_TEXI2PDF_1 = +AM_V_texinfo = $(am__v_texinfo_@AM_V@) +am__v_texinfo_ = $(am__v_texinfo_@AM_DEFAULT_V@) +am__v_texinfo_0 = -q +am__v_texinfo_1 = +AM_V_texidevnull = $(am__v_texidevnull_@AM_V@) +am__v_texidevnull_ = $(am__v_texidevnull_@AM_DEFAULT_V@) +am__v_texidevnull_0 = > /dev/null +am__v_texidevnull_1 = INFO_DEPS = libfko.info TEXINFO_TEX = $(top_srcdir)/config/texinfo.tex am__TEXINFO_TEX_DIR = $(top_srcdir)/config @@ -114,9 +182,11 @@ || { echo " ( cd '$$dir' && rm -f" $$files ")"; \ $(am__cd) "$$dir" && rm -f $$files; }; \ } +am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP) DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST) ACLOCAL = @ACLOCAL@ AMTAR = @AMTAR@ +AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@ AR = @AR@ AUTOCONF = @AUTOCONF@ AUTOHEADER = @AUTOHEADER@ @@ -286,7 +356,7 @@ -rm -rf .libs _libs libfko.info: libfko.texi $(srcdir)/version.texi $(libfko_TEXINFOS) - restore=: && backupdir="$(am__leading_dot)am$$$$" && \ + $(AM_V_MAKEINFO)restore=: && backupdir="$(am__leading_dot)am$$$$" && \ rm -rf $$backupdir && mkdir $$backupdir && \ if ($(MAKEINFO) --version) >/dev/null 2>&1; then \ for f in $@ $@-[0-9] $@-[0-9][0-9] $(@:.info=).i[0-9] $(@:.info=).i[0-9][0-9]; do \ @@ -304,18 +374,20 @@ rm -rf $$backupdir; exit $$rc libfko.dvi: libfko.texi $(srcdir)/version.texi $(libfko_TEXINFOS) - TEXINPUTS="$(am__TEXINFO_TEX_DIR)$(PATH_SEPARATOR)$$TEXINPUTS" \ + $(AM_V_TEXI2DVI)TEXINPUTS="$(am__TEXINFO_TEX_DIR)$(PATH_SEPARATOR)$$TEXINPUTS" \ MAKEINFO='$(MAKEINFO) $(AM_MAKEINFOFLAGS) $(MAKEINFOFLAGS) -I $(srcdir)' \ - $(TEXI2DVI) -o $@ `test -f 'libfko.texi' || echo '$(srcdir)/'`libfko.texi + $(TEXI2DVI) $(AM_V_texinfo) --build-dir=$(@:.dvi=.t2d) -o $@ $(AM_V_texidevnull) \ + `test -f 'libfko.texi' || echo '$(srcdir)/'`libfko.texi libfko.pdf: libfko.texi $(srcdir)/version.texi $(libfko_TEXINFOS) - TEXINPUTS="$(am__TEXINFO_TEX_DIR)$(PATH_SEPARATOR)$$TEXINPUTS" \ + $(AM_V_TEXI2PDF)TEXINPUTS="$(am__TEXINFO_TEX_DIR)$(PATH_SEPARATOR)$$TEXINPUTS" \ MAKEINFO='$(MAKEINFO) $(AM_MAKEINFOFLAGS) $(MAKEINFOFLAGS) -I $(srcdir)' \ - $(TEXI2PDF) -o $@ `test -f 'libfko.texi' || echo '$(srcdir)/'`libfko.texi + $(TEXI2PDF) $(AM_V_texinfo) --build-dir=$(@:.pdf=.t2p) -o $@ $(AM_V_texidevnull) \ + `test -f 'libfko.texi' || echo '$(srcdir)/'`libfko.texi libfko.html: libfko.texi $(srcdir)/version.texi $(libfko_TEXINFOS) - rm -rf $(@:.html=.htp) - if $(MAKEINFOHTML) $(AM_MAKEINFOHTMLFLAGS) $(MAKEINFOFLAGS) -I $(srcdir) \ + $(AM_V_MAKEINFO)rm -rf $(@:.html=.htp) + $(AM_V_at)if $(MAKEINFOHTML) $(AM_MAKEINFOHTMLFLAGS) $(MAKEINFOFLAGS) -I $(srcdir) \ -o $(@:.html=.htp) `test -f 'libfko.texi' || echo '$(srcdir)/'`libfko.texi; \ then \ rm -rf $@; \ @@ -346,8 +418,8 @@ maintainer-clean-vti: -rm -f $(srcdir)/stamp-vti $(srcdir)/version.texi .dvi.ps: - TEXINPUTS="$(am__TEXINFO_TEX_DIR)$(PATH_SEPARATOR)$$TEXINPUTS" \ - $(DVIPS) -o $@ $< + $(AM_V_DVIPS)TEXINPUTS="$(am__TEXINFO_TEX_DIR)$(PATH_SEPARATOR)$$TEXINPUTS" \ + $(DVIPS) $(AM_V_texinfo) -o $@ $< uninstall-dvi-am: @$(NORMAL_UNINSTALL) @@ -426,9 +498,7 @@ done mostlyclean-aminfo: - -rm -rf libfko.aux libfko.cp libfko.cps libfko.fn libfko.fns libfko.ky \ - libfko.kys libfko.log libfko.pg libfko.tmp libfko.toc \ - libfko.tp libfko.vr + -rm -rf libfko.t2d libfko.t2p clean-aminfo: -test -z "libfko.dvi libfko.pdf libfko.ps libfko.html" \ @@ -440,11 +510,11 @@ echo " rm -f $$i $$i-[0-9] $$i-[0-9][0-9] $$i_i[0-9] $$i_i[0-9][0-9]"; \ rm -f $$i $$i-[0-9] $$i-[0-9][0-9] $$i_i[0-9] $$i_i[0-9][0-9]; \ done -tags: TAGS -TAGS: +tags TAGS: + +ctags CTAGS: -ctags: CTAGS -CTAGS: +cscope cscopelist: distdir: $(DISTFILES) @@ -681,17 +751,18 @@ .MAKE: install-am install-strip .PHONY: all all-am check check-am clean clean-aminfo clean-generic \ - clean-libtool dist-info distclean distclean-generic \ - distclean-libtool distdir dvi dvi-am html html-am info info-am \ - install install-am install-data install-data-am install-dvi \ - install-dvi-am install-exec install-exec-am install-html \ - install-html-am install-info install-info-am install-man \ - install-pdf install-pdf-am install-ps install-ps-am \ - install-strip installcheck installcheck-am installdirs \ - maintainer-clean maintainer-clean-aminfo \ - maintainer-clean-generic maintainer-clean-vti mostlyclean \ - mostlyclean-aminfo mostlyclean-generic mostlyclean-libtool \ - mostlyclean-vti pdf pdf-am ps ps-am uninstall uninstall-am \ + clean-libtool cscopelist-am ctags-am dist-info distclean \ + distclean-generic distclean-libtool distdir dvi dvi-am html \ + html-am info info-am install install-am install-data \ + install-data-am install-dvi install-dvi-am install-exec \ + install-exec-am install-html install-html-am install-info \ + install-info-am install-man install-pdf install-pdf-am \ + install-ps install-ps-am install-strip installcheck \ + installcheck-am installdirs maintainer-clean \ + maintainer-clean-aminfo maintainer-clean-generic \ + maintainer-clean-vti mostlyclean mostlyclean-aminfo \ + mostlyclean-generic mostlyclean-libtool mostlyclean-vti pdf \ + pdf-am ps ps-am tags-am uninstall uninstall-am \ uninstall-dvi-am uninstall-html-am uninstall-info-am \ uninstall-pdf-am uninstall-ps-am diff -Nru fwknop-2.5.1/doc/fwknop.man.asciidoc fwknop-2.6.0/doc/fwknop.man.asciidoc --- fwknop-2.5.1/doc/fwknop.man.asciidoc 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/doc/fwknop.man.asciidoc 2014-01-13 03:29:04.000000000 +0000 @@ -76,7 +76,7 @@ format (before encryption and the HMAC is applied): .......................... - random data (16 bytes) + random data (16 digits) username timestamp software version @@ -90,12 +90,13 @@ length of several of the fields, and those that might contain ":" characters are base64 encoded. The message digest (*SHA256* by default) is part of the data to be encrypted and is independent of the HMAC which is appended to the -SPA packet data after encryption. The 16 bytes of random data ensures that no -two SPA packets are identical, and this is in addition to and independent of -using PBKDF1 for key derivation for Rijndael in CBC mode. Because *fwknopd* -tracks the SHA256 digest of all incoming valid SPA packets and throws out -duplicates, replay attacks are not feasible against *fwknop*. Syslog -alerts are generated if a replay is detected. +SPA packet data after encryption. The 16 digits of random data (about 53 bits) +ensures that no two SPA packets are identical, and this is in addition to and +independent of using PBKDF1 for key derivation for Rijndael in CBC mode (which +uses an 8-byte random "salt" value). Because *fwknopd* tracks the SHA256 +digest of all incoming valid SPA packets and throws out duplicates, replay +attacks are not feasible against *fwknop*. Syslog alerts are generated if a +replay is detected. By default, the *fwknop* client sends authorization packets over UDP port 62201, but this can be altered with the *--server-port* argument (this requires @@ -256,6 +257,9 @@ Used with *--save-rc-stanza* to overwrite all of the variables for the specified stanza +*--stanza-list*:: + Dump a list of the stanzas found in ``$HOME/.fwknoprc''. + *--show-last*:: Display the last command-line arguments used by *fwknop*. diff -Nru fwknop-2.5.1/doc/fwknopd.man.asciidoc fwknop-2.6.0/doc/fwknopd.man.asciidoc --- fwknop-2.5.1/doc/fwknopd.man.asciidoc 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/doc/fwknopd.man.asciidoc 2014-01-13 03:29:04.000000000 +0000 @@ -139,6 +139,9 @@ running. If there is an existing fwknopd process then 0 is returned for the exit status and 1 is returned otherwise. +*--syslog-enable*:: + Allow messages to be sent to syslog even if the foreground mode is set. + *-v, --verbose*:: Run *fwknopd* in verbose mode. This can option can be specified multiple times to increase the verbosity of the output to the system @@ -241,9 +244,9 @@ Specify the IP address for SNAT. This functionality is only enabled when ``ENABLE_IPT_SNAT'' is set to ``Y'' and by default SNAT rules are built with the MASQUERADE target (since then the internal IP does not - have to be defined here in the '@sysconfdir@/fwknop/fwknopd.conf'' file), but if you want - *fwknopd* to use the SNAT target, you must also define an IP address with - the ``SNAT_TRANSLATE_IP'' variable. + have to be defined here in the '@sysconfdir@/fwknop/fwknopd.conf' file), + but if you want *fwknopd* to use the SNAT target, you must also define an + IP address with the ``SNAT_TRANSLATE_IP'' variable. *ENABLE_IPT_OUTPUT* '':: Add ACCEPT rules to the FWKNOP_OUTPUT chain. This is usually only useful @@ -440,10 +443,25 @@ for each stanza in the access.conf file. This way, multiple external users can each directly access only one internal system per SPA key. +*FORCE_SNAT* '':: + For any valid SPA packet, add an SNAT rule in addition to any DNAT rule + created with a corresponding (required) FORCE_NAT variable. This is + analogous to ``SNAT_TRANSLATE_IP'' from the '@sysconfdir@/fwknop/fwknopd.conf' + file except that it is per access stanza and overrides any value set with + ``SNAT_TRANSLATE_IP''. This is useful for situations where an incoming + NAT'd connection may be otherwise unanswerable due to routing constraints + (i.e. the system receiving the SPA authenticated connection has a default + route to a different device than the SPA system itself). + +*FORCE_MASQUERADE* '':: + This is similar to the ``FORCE_SNAT'' variable, except that it is not + necessary to also specify an IP address for SNAT rules because the + MASQUERADE target is used instead. + *GPG_HOME_DIR* '':: Define the path to the GnuPG directory to be used by the *fwknopd* - server. If this keyword is not specified within '@sysconfdir@/fwknop/access.conf' then - *fwknopd* will default to using the '/root/.gnupg' directory for the + server. If this keyword is not specified within '@sysconfdir@/fwknop/access.conf' + then *fwknopd* will default to using the '/root/.gnupg' directory for the server key(s) for incoming SPA packets handled by the matching 'access.conf' stanza. diff -Nru fwknop-2.5.1/doc/libfko.info fwknop-2.6.0/doc/libfko.info --- fwknop-2.5.1/doc/libfko.info 2013-07-26 01:31:14.000000000 +0000 +++ fwknop-2.6.0/doc/libfko.info 2014-01-13 03:31:15.000000000 +0000 @@ -1,25 +1,24 @@ -This is libfko.info, produced by makeinfo version 4.13 from libfko.texi. +This is libfko.info, produced by makeinfo version 5.1 from libfko.texi. This manual is for the Firewall Knock Operator library, libfko. -(version 2.5.1, last updated 25 July 2013). +(version 2.6.0, last updated 12 January 2014). Copyright (C) 2009-2013 Damien Stuart. - The libfko manual is free; you can redistribute it and/or modify - it under the terms of the GNU General Public License as published - by the Free Software Foundation; either version 2 of the License, - or (at your option) any later version. + The libfko manual is free; you can redistribute it and/or modify it + under the terms of the GNU General Public License as published by + the Free Software Foundation; either version 2 of the License, or + (at your option) any later version. The libfko manual is distributed in the hope that it will be - useful, but WITHOUT ANY WARRANTY; without even the implied - warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. - See the GNU General Public License for more details. + useful, but WITHOUT ANY WARRANTY; without even the implied warranty + of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU + General Public License for more details. You should have received a copy of the GNU General Public License along with this manual; if not, write to the Free Software Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA - 02110-1301 USA - + 02110-1301 USA INFO-DIR-SECTION Network Security START-INFO-DIR-ENTRY * libfko: (libfko). The FireWall KNock OPerator (fwknop) Library - libfko @@ -32,24 +31,24 @@ ********* This manual is for the Firewall Knock Operator library, libfko. -(version 2.5.1, last updated 25 July 2013). +(version 2.6.0, last updated 12 January 2014). Copyright (C) 2009-2013 Damien Stuart. - The libfko manual is free; you can redistribute it and/or modify - it under the terms of the GNU General Public License as published - by the Free Software Foundation; either version 2 of the License, - or (at your option) any later version. + The libfko manual is free; you can redistribute it and/or modify it + under the terms of the GNU General Public License as published by + the Free Software Foundation; either version 2 of the License, or + (at your option) any later version. The libfko manual is distributed in the hope that it will be - useful, but WITHOUT ANY WARRANTY; without even the implied - warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. - See the GNU General Public License for more details. + useful, but WITHOUT ANY WARRANTY; without even the implied warranty + of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU + General Public License for more details. You should have received a copy of the GNU General Public License along with this manual; if not, write to the Free Software Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA - 02110-1301 USA + 02110-1301 USA * Menu: @@ -66,7 +65,7 @@ * Concept Index:: Index of concepts and programs * Function and Data Index:: Index of functions, variables and data types - --- The Detailed Node Listing --- + -- The Detailed Node Listing -- Introduction @@ -107,6 +106,7 @@ * Encryption Algorithms:: Encryption schemes supported by libfko * HMAC Digests:: Digests that can be used to build an HMAC +  File: libfko.info, Node: Introduction, Next: Preparation, Prev: Top, Up: Top @@ -139,7 +139,7 @@ For more information on fwknop and SPA, go to -`http://www.cipherdyne.org/fwknop'. +. * Menu: @@ -173,8 +173,8 @@ either creating or parsing SPA data. Additional advantages include: It's free software - Anybody can use, modify, and redistribute it under the terms of - the GNU General Public License (*note Library Copying::). + Anybody can use, modify, and redistribute it under the terms of the + GNU General Public License (*note Library Copying::). It's lightweight The Perl-based implementation requires several additional Perl @@ -185,9 +185,9 @@ It's easy libfko hides many of the gory details of fwknop's SPA message data - format, encoding, decoding, encrypting, decrypting, - authenticating, and parsing. In most cases, only a few function - calls will be needed create or parse a SPA message. + format, encoding, decoding, encrypting, decrypting, authenticating, + and parsing. In most cases, only a few function calls will be + needed create or parse a SPA message.  File: libfko.info, Node: Overview, Prev: Features, Up: Introduction @@ -200,15 +200,15 @@ * SPA Data Format:: Description of the SPA data format. - libfko functionality can be divided into two roles. One is the -creation of an encrypted SPA message together with an HMAC for -authentication. The other is the taking an encrypted SPA message to -authenticate, decode, parse, and extract the original data. - - The actual SPA data handling and operations are set within a -context. The context represents a single SPA message and provides -configuration parameters and data settings for defining that message. -All operations on the data occur within that context. +libfko functionality can be divided into two roles. One is the creation +of an encrypted SPA message together with an HMAC for authentication. +The other is the taking an encrypted SPA message to authenticate, +decode, parse, and extract the original data. + + The actual SPA data handling and operations are set within a context. +The context represents a single SPA message and provides configuration +parameters and data settings for defining that message. All operations +on the data occur within that context. Some operations on the context must occur before others. Details of these dependencies are covered in *note Creating a SPA Message::. @@ -217,9 +217,7 @@ following steps in order: * Create a new context - * Work with (get/set) the SPA data fields - * Destroy the context  @@ -228,47 +226,38 @@ 1.3.1 SPA Data Format --------------------- -The format of the SPA message data used by fwknop (before encryption -and before an HMAC is applied) is a colon-delimited string containing -the individual SPA data fields. Some of these fields are base64-encoded -in the final encoding process as dictated by the current fwknop +The format of the SPA message data used by fwknop (before encryption and +before an HMAC is applied) is a colon-delimited string containing the +individual SPA data fields. Some of these fields are base64-encoded in +the final encoding process as dictated by the current fwknop implementation. -- Variable: data spa_message_fields Using the libfko names for the data fields, the list of these fields (in order) follows: - `*rand_value* - _Default: Random (created upon context creation)_' + '*rand_value* - _Default: Random (created upon context creation)_' A 16-byte random numeric string. - - `*username* - _Default: Current login user or Value of `SPOOF_USER' env var_' + '*username* - _Default: Current login user or Value of SPOOF_USER env var_' The base64-encoded username associated with this SPA data. - - `*timestamp* - _Default: The Unix time at creation_' + '*timestamp* - _Default: The Unix time at creation_' The Unix timestamp value. - - `*version* - _Default: the current fwknop version_' + '*version* - _Default: the current fwknop version_' The current fwknop version that supports this format. This field is not user settable. - - `*spa_message_type* - _Default: SPA access message (`FKO_ACCESS_MSG')_' + '*spa_message_type* - _Default: SPA access message (FKO_ACCESS_MSG)_' The SPA message type value for this message. - - `*spa_message*' + '*spa_message*' The base64-encoded SPA message itself (an access request or command string). - - `*spa_nat_access*' + '*spa_nat_access*' An optional base64-encoded request for NAT access. - - `*spa_server_auth*' - An optional base64-encoded string that can be used as an + '*spa_server_auth*' + An optional base64-encoded string that can be used as an additional authentication mechanism at the fwknop server. - - `*spa_client_timeout*' + '*spa_client_timeout*' An optional client timeout value that can be supplied to the fwknop server. - - `*spa_digest* - _Computed value_' + '*spa_digest* - _Computed value_' The digest of the previous fields (including the delimiters). With all fields defined, a complete (unencoded) SPA message would @@ -280,14 +269,13 @@ 8307540982176539:juser:1230665172:2.5:1:1.1.1.1,tcp/22:192.168.1.2,22: crypt,mypw:120:xswj8V0zMR7/7MV9pQRarSKWG1l9Zfjv+kbXaKrJ+RA - For most of the fields, you need not be too concerned about the -format as libfko handles that. The exceptions are the `spa_message', -`spa_nat_access', and `spa_server_auth'. The formats for these are not -handled by libfko during creation. However, they are checked for -format validity during the endcoding and decoding (when parsing -incoming) SPA data. More information on the specifics of the formats -for these fields can be found in *note SPA Messages::. +format as libfko handles that. The exceptions are the 'spa_message', +'spa_nat_access', and 'spa_server_auth'. The formats for these are not +handled by libfko during creation. However, they are checked for format +validity during the endcoding and decoding (when parsing incoming) SPA +data. More information on the specifics of the formats for these fields +can be found in *note SPA Messages::.  File: libfko.info, Node: Preparation, Next: Using libfko, Prev: Introduction, Up: Top @@ -313,15 +301,15 @@ ================= All interfaces (data types and functions) of the library are defined in -the header file `fko.h'. You must include this in all programs using +the header file 'fko.h'. You must include this in all programs using the library, either directly or through some other header file, like this: #include - The name space of FKO is `fko_*' for function names and data types -and `FKO_*' for other symbols. Other symbols internal to FKO may take -the form `_fko_*' and `_FKO_*'. + The name space of FKO is 'fko_*' for function names and data types +and 'FKO_*' for other symbols. Other symbols internal to FKO may take +the form '_fko_*' and '_FKO_*'.  File: libfko.info, Node: Compiling with libfko, Next: SPA Parameter Types, Prev: libfko Header, Up: Preparation @@ -329,24 +317,24 @@ 2.2 Compiling with libfko ========================= -If you want to compile a source file including the `fko.h' header file, +If you want to compile a source file including the 'fko.h' header file, you must make sure that the compiler can find it in the directory hierarchy. This is accomplished by adding the path to the directory in which the header file is located to the compilers include file search -path (via the `-I' option). +path (via the '-I' option). - For example, if you installed libfko in `/opt/fko', you may want to -add `-I/opt/fko/include' to `CFLAGS', or directly on the command-line: + For example, if you installed libfko in '/opt/fko', you may want to +add '-I/opt/fko/include' to 'CFLAGS', or directly on the command-line: gcc -c foo.c -I/opt/fko/include ... The same is true when linking a program with the library. In this case, the linker has to find the library files. For this to work, the path to the library files has to be added to the library search path -(via the `-L' option). +(via the '-L' option). Continuing with the example above you may want to add -`-L/opt/fko/lib' to `LDFLAGS', or directly on the command-line: +'-L/opt/fko/lib' to 'LDFLAGS', or directly on the command-line: gcc -o foo foo.c -I/opt/fko/include -L/opt/fko/lib -lfko @@ -371,31 +359,27 @@ ------------- The fwknop system employs a message digest hash of the SPA data as one -of the data fields to act a signature which can be used at the -receiving end to verify the data is valid (although this feature has -been superceded by the usage of an HMAC for proper message -authentication and verification of integrity). The resulting digest is -base64-encoded before it is added to the SPA data. +of the data fields to act a signature which can be used at the receiving +end to verify the data is valid (although this feature has been +superceded by the usage of an HMAC for proper message authentication and +verification of integrity). The resulting digest is base64-encoded +before it is added to the SPA data. Currently, libfko supports the same message digests as the legacy fwknop plus two others (SHA384 and SHA512). These are (in order of strength): -- Variable: int fko_digest_type_t - `FKO_DIGEST_MD5' - - `FKO_DIGEST_SHA1' - - `FKO_DIGEST_SHA256 (libfko default)' - - `FKO_DIGEST_SHA384' - - `FKO_DIGEST_SHA512' + 'FKO_DIGEST_MD5' + 'FKO_DIGEST_SHA1' + 'FKO_DIGEST_SHA256 (libfko default)' + 'FKO_DIGEST_SHA384' + 'FKO_DIGEST_SHA512' As indicated in the list above, SHA256 is the default. This means the digest type does not need to be explicitly set unless you wish to -use one of the other values. This applies to all libfko SPA data -fields that have a default value. +use one of the other values. This applies to all libfko SPA data fields +that have a default value.  File: libfko.info, Node: SPA Messages, Next: Encryption Algorithms, Prev: Digests, Up: SPA Parameter Types @@ -409,46 +393,46 @@ are: -- Variable: int fko_message_type_t - `FKO_COMMAND_MSG' - A request to have the fwknop server execute the given - command. The format for this type is: `,'. + 'FKO_COMMAND_MSG' + A request to have the fwknop server execute the given command. + The format for this type is: ','. "192.168.1.2,uname -a" - `FKO_ACCESS_MSG' + 'FKO_ACCESS_MSG' A basic access request. This is the most common type in use. - The format for this type is: `,/'. Note that mulitple protocol/port entries are allowed. "192.168.1.2,tcp/22" "192.168.1.2,tcp/22,udp/5005" - `FKO_NAT_ACCESS_MSG' - An access request that also provide information for the - fwknop server to create a Network Address Translation (NAT to - an internal address. The format for this string is: - `,'. + 'FKO_NAT_ACCESS_MSG' + An access request that also provide information for the fwknop + server to create a Network Address Translation (NAT to an + internal address. The format for this string is: ','. "10.10.1.2,9922" - `FKO_CLIENT_TIMEOUT_ACCESS_MSG' - This is an FKO_ACCESS_REQUEST with a timeout parameter for - the fwknop server. The timeout value is provided via the - `client_timeout' data field. + 'FKO_CLIENT_TIMEOUT_ACCESS_MSG' + This is an FKO_ACCESS_REQUEST with a timeout parameter for the + fwknop server. The timeout value is provided via the + 'client_timeout' data field. - `FKO_CLIENT_TIMEOUT_NAT_ACCESS_MSG' - This is an FKO_NAT_ACCESS_REQUEST with a timeout parameter - for the fwknop server. The timeout value is provided via the - `client_timeout' data field. + 'FKO_CLIENT_TIMEOUT_NAT_ACCESS_MSG' + This is an FKO_NAT_ACCESS_REQUEST with a timeout parameter for + the fwknop server. The timeout value is provided via the + 'client_timeout' data field. - `FKO_LOCAL_NAT_ACCESS_MSG' + 'FKO_LOCAL_NAT_ACCESS_MSG' This is similar to the FKO_NAT_ACCESS request exept the NAT is - to the local to the server (i.e. a service listening on + to the local to the server (i.e. a service listening on 127.0.0.1). - `FKO_CLIENT_TIMEOUT_LOCAL_NAT_ACCESS_MSG' + 'FKO_CLIENT_TIMEOUT_LOCAL_NAT_ACCESS_MSG' This is an FKO_LOCAL_NAT_ACCESS_REQUEST with a timeout parameter for the fwknop server. The timeout value is - provided via the `client_timeout' data field. + provided via the 'client_timeout' data field.  File: libfko.info, Node: Encryption Algorithms, Next: HMAC Digests, Prev: SPA Messages, Up: SPA Parameter Types @@ -461,9 +445,8 @@ Currently, fwknop supports two methods of encryption: -- Variable: int fko_encryption_type_t - `FKO_ENCRYPTION_RIJNDAEL (default)' - - `FKO_ENCRYPTION_GPG' + 'FKO_ENCRYPTION_RIJNDAEL (default)' + 'FKO_ENCRYPTION_GPG' As indicated, libfko uses Rijndael encryption by default. Rijndael encryption is sufficient for most users and produces a much smaller data @@ -474,7 +457,7 @@ However, some may prefer the higher level of security provided by GPG. When selected, additional parameters such as _recipient_ and -_signer_ may be set as well. See *note Setting SPA Data:: for detail on +_signer_ may be set as well. See *note Setting SPA Data:: for detail on setting these and other SPA data fields.  @@ -492,15 +475,11 @@ the Digest section above, and these are (in order of strength): -- Variable: int fko_digest_type_t - `FKO_HMAC_MD5' - - `FKO_HMAC_SHA1' - - `FKO_HMAC_SHA256 (libfko default)' - - `FKO_HMAC_SHA384' - - `FKO_HMAC_SHA512' + 'FKO_HMAC_MD5' + 'FKO_HMAC_SHA1' + 'FKO_HMAC_SHA256 (libfko default)' + 'FKO_HMAC_SHA384' + 'FKO_HMAC_SHA512' As indicated in the list above, SHA256 is the default. This means the HMAC digest type does not need to be explicitly set unless you wish @@ -538,19 +517,19 @@ Before doing anything with libfko, you need to create a context. A context is created for one of two reasons. One is for the purpose of building a new fko SPA message from scratch (typically to be packaged -and sent to an fwknop server somewhere). The other would be a context +and sent to an fwknop server somewhere). The other would be a context for taking an existing SPA message for decoding, parsing, and data extraction. -For building a new fko SPA message, you will use the `fko_new' function: +For building a new fko SPA message, you will use the 'fko_new' function: -- Function: int fko_new (fko_ctx_t *CTX) - The function `fko_new' sets up and initializes a new `fko_ctx_t' - object, pre-populates default values and returns a handle for it - in CTX. The function returns the error code `FKO_SUCCESS' if the + The function 'fko_new' sets up and initializes a new 'fko_ctx_t' + object, pre-populates default values and returns a handle for it in + CTX. The function returns the error code 'FKO_SUCCESS' if the context was successfully created. Otherwise an another error code - will be returned (*note Error Handling:: for details on the - various error codes and their meanings). + will be returned (*note Error Handling:: for details on the various + error codes and their meanings). fko_ctx_t ctx; int rc; @@ -565,28 +544,28 @@ } For a context that will be used for receiving and parsing an existing -SPA message, you will use the `fko_new_with_data' function: +SPA message, you will use the 'fko_new_with_data' function: -- Function: int fko_new_with_data (fko_ctx_t *CTX, const char *DATA, const char *KEY, const char KEY_LEN, int ENCRYPTION_MODE, const char HMAC_KEY, const int HMAC_TYPE) - The function `fko_new_with_data' sets up and initializes a new - `fko_ctx_t' context, but instead of initializing default values, it + + The function 'fko_new_with_data' sets up and initializes a new + 'fko_ctx_t' context, but instead of initializing default values, it stores the encrypted message data and makes it ready for parsing. - This can be done in one of two ways. One is to pass `NULL' for - the third argument. The context will be created and the data will - be stored, but no decryption or decoding takes place. In this - case, you will need to call `fko_decrypt_spa_data' at a later - time. The other way to do it is to supply the KEY value - (decryption passphrase) and assocated length. In this case, the - context is created, the SPA data is decrypted, decoded, parsed, - and stored in the context ready for retrieval. If an HMAC is also - desired or required, then the HMAC_KEY and associated length can - be passed in. This will cause libfko to authenticate the SPA data - before decryption is attempted, and this is strongly recommended - to do. + This can be done in one of two ways. One is to pass 'NULL' for the + third argument. The context will be created and the data will be + stored, but no decryption or decoding takes place. In this case, + you will need to call 'fko_decrypt_spa_data' at a later time. The + other way to do it is to supply the KEY value (decryption + passphrase) and assocated length. In this case, the context is + created, the SPA data is decrypted, decoded, parsed, and stored in + the context ready for retrieval. If an HMAC is also desired or + required, then the HMAC_KEY and associated length can be passed in. + This will cause libfko to authenticate the SPA data before + decryption is attempted, and this is strongly recommended to do. - The `fko_new_with_data' function returns the error code - `FKO_SUCCESS' if the context was successfully created. If any of + The 'fko_new_with_data' function returns the error code + 'FKO_SUCCESS' if the context was successfully created. If any of the intermediate steps in parsing the data, validating the SPA message digest, or any other internal action fails, then the appropriate error code is returned. @@ -616,11 +595,11 @@ exit(1); } -Or, perhaps you need to defer decryption and parsing to a later point -in the program. We could use fko_new_with_data(), passing NULL for the +Or, perhaps you need to defer decryption and parsing to a later point in +the program. We could use fko_new_with_data(), passing NULL for the decryption key and HMAC keys, or we could use fko_new() to create an -empty context, then use fko_set_spa_data() to add the encypted data -(see comments in the code samples). +empty context, then use fko_set_spa_data() to add the encypted data (see +comments in the code samples). fko_ctx_t ctx; char *spa_data; @@ -678,14 +657,14 @@ 3.2 Destroying Contexts ======================= -When you are done with the context, you must destroy it in order to -free up the memory and resources it was using. This is especially -important in programs that process SPA data repeatedly (i.e. in a loop). -Failure to destroy the context can cause memory leaks in your program. +When you are done with the context, you must destroy it in order to free +up the memory and resources it was using. This is especially important +in programs that process SPA data repeatedly (i.e. in a loop). Failure +to destroy the context can cause memory leaks in your program. -- Function: void fko_destroy (fko_ctx_t CTX) - The function `fko_destroy' destroys the context with the handle - CTX and releases all associated resources. + The function 'fko_destroy' destroys the context with the handle CTX + and releases all associated resources.  File: libfko.info, Node: Creating a SPA Message, Next: Setting SPA Data, Prev: Destroying Contexts, Up: Using libfko @@ -694,9 +673,9 @@ ========================== This section describes the process for creating a new fko SPA message. -After creating a context, there are still some requisite SPA data -fields and SPA parameters that need to be set before the final -encrypted message is ready. +After creating a context, there are still some requisite SPA data fields +and SPA parameters that need to be set before the final encrypted +message is ready. The following list contains the minimum required fields for a complete fko SPA message. You should also take note of the order of @@ -704,46 +683,38 @@ recommended (if you want a type other than the default). * digest_type - _(default may suffice)_ - * message_type - _(default may suffice)_ - * encryption_type - _(default may suffice)_ - * rand_val - _(default should suffice)_ - * time_stamp - _(default should suffice)_ - * username - _(default may suffice)_ - * spa_message - _(must be explicitly set)_ _If using gpg encryption:_ * gpg_recipient - _(must be explicitly set)_ - * gpg_signer - _(optional, but recommended - must be explicitly set if used)_ - * gpg_home_dir - _(default may suffice - typically $HOME/.gnupg)_ When a context is initialized, some of the SPA data fields are pre-set with default values (*note SPA Data Format::). For fields such -as `rand_val', `username', `timestamp', `message_type', and -`digest_type', these defaults may be sufficient. +as 'rand_val', 'username', 'timestamp', 'message_type', and +'digest_type', these defaults may be sufficient. The functions used to set the various SPA data fields and parameters are described in detail in *note Setting SPA Data::. -*Note*: Attempts to call any "`fko_'" function on a context that has -not been initialized can have undefined consequences. Libfko will -attempt to recover, and if successful, will return a status of -`FKO_ERROR_CTX_NOT_INITIALIZED'. +*Note*: Attempts to call any "'fko_'" function on a context that has not +been initialized can have undefined consequences. Libfko will attempt +to recover, and if successful, will return a status of +'FKO_ERROR_CTX_NOT_INITIALIZED'. A common SPA message is a simple access request. This request asks the fwknop server to create a temporary firewall rule to allow a particular IP address access to a particular port on the fwknop server. -Assuming the defaults are fine for this, all we need to do is create -the context, set the message data field, call the `fko_spa_data_final' +Assuming the defaults are fine for this, all we need to do is create the +context, set the message data field, call the 'fko_spa_data_final' function to encode and encrypt, process the message, then destroy the context. Below, we have a contrived bit of code demonstrating this: @@ -827,49 +798,49 @@ This section describes the functions used for setting the various SPA data fields and parameters. All of these functions return an integer -representing the return status of the function. When succesfull, they -will return `FKO_SUCCESS'. Otherwise, an error code value is returned. +representing the return status of the function. When succesfull, they +will return 'FKO_SUCCESS'. Otherwise, an error code value is returned. -- Function: int fko_set_spa_digest_type (fko_ctx_t CTX, short DIGEST_TYPE); Set the message digest type. Valid values can be found in *note - Digests:: of this manual. If a value other than the those that are + Digests:: of this manual. If a value other than the those that are supported is given, the function will return - `FKO_ERROR_INVALID_DATA'. For example: + 'FKO_ERROR_INVALID_DATA'. For example: rc = fko_set_digest_type(ctx, FKO_DIGEST_SHA1); -- Function: int fko_set_spa_hmac_type (fko_ctx_t CTX, short HMAC_TYPE); - Set the message hmac type. Valid values can be found in *note - HMAC Digests:: of this manual. If a value other than the those - that are supported is given, the function will return - `FKO_ERROR_INVALID_DATA'. For example: + Set the message hmac type. Valid values can be found in *note HMAC + Digests:: of this manual. If a value other than the those that are + supported is given, the function will return + 'FKO_ERROR_INVALID_DATA'. For example: rc = fko_set_hmac_type(ctx, FKO_HMAC_SHA256); -- Function: int fko_set_spa_encryption_type (fko_ctx_t CTX, short ENCRYPT_TYPE); Set the encrytion algorithm to use when ecrypting the final SPA - data. Valid values can be found in *note Encryption Algorithms:: + data. Valid values can be found in *note Encryption Algorithms:: of this manual. For example: rc = fko_set_encryption_type(ctx, FKO_ENCRYPTION_RIJNDAEL); -- Function: int fko_set_rand_value (fko_ctx_t CTX, const char *VAL); Set the random value portion of the spa data to the given value - (VAL). The given value must be a pointer to a 16-character - decimal numeric string or NULL. If the value is NULL, the - function generate a new random value. If a string value is - provided, it must be a 16-character decimal string. Otherwise, - the function will return `FKO_ERROR_INVALID_DATA'. + (VAL). The given value must be a pointer to a 16-character decimal + numeric string or NULL. If the value is NULL, the function generate + a new random value. If a string value is provided, it must be a + 16-character decimal string. Otherwise, the function will return + 'FKO_ERROR_INVALID_DATA'. -- Function: int fko_set_username (fko_ctx_t CTX, const char *USERNAME); - Set the username field of the SPA data. If USERNAME is NULL, - libfko will first look for the environment variable `SPOOF_USER' + Set the username field of the SPA data. If USERNAME is NULL, + libfko will first look for the environment variable 'SPOOF_USER' and use its value if found. Otherwise, it will try to determine - the username itself using various methods starting with `cuser' or - `getlogin', then fallback to the environment variables `LOGNAME' - or `USER'. If none of those work, the function will return - `FKO_ERROR_USERNAME_UNKNOWN'. + the username itself using various methods starting with 'cuser' or + 'getlogin', then fallback to the environment variables 'LOGNAME' or + 'USER'. If none of those work, the function will return + 'FKO_ERROR_USERNAME_UNKNOWN'. -- Function: int fko_set_timestamp (fko_ctx_t CTX, int OFFSET); Sets the timestamp value of the SPA data to the current time plus @@ -877,22 +848,22 @@ -- Function: int fko_set_spa_message_type (fko_ctx_t CTX, short MSG_TYPE); - Sets the message type for the SPA data. The choices for the - `spa_message_type' are listed in *note SPA Messages::. For + Sets the message type for the SPA data. The choices for the + 'spa_message_type' are listed in *note SPA Messages::. For example: rc = fko_set_spa_message_type(ctx, FKO_ACCESS_MSG); -- Function: int fko_set_spa_message (fko_ctx_t CTX, const char *MSG_STRING); - Set the SPA message string to the given value. If this string does - not conform to the required `spa_nat_access' format, the function - will return `FKO_ERROR_INVALID_DATA'. + Set the SPA message string to the given value. If this string does + not conform to the required 'spa_nat_access' format, the function + will return 'FKO_ERROR_INVALID_DATA'. -- Function: int fko_set_spa_nat_access (fko_ctx_t CTX, const char *NAT_ACCESS); - Set the optional SPA nat access string to the given value. If this - string does not conform to the required `spa_nat_access' format, - the function will return `FKO_ERROR_INVALID_DATA'. + Set the optional SPA nat access string to the given value. If this + string does not conform to the required 'spa_nat_access' format, + the function will return 'FKO_ERROR_INVALID_DATA'. -- Function: int fko_set_spa_server_auth (fko_ctx_t CTX, const char *SERVER_AUTH); @@ -902,19 +873,19 @@ -- Function: int fko_set_spa_client_timeout (fko_ctx_t CTX, int TIMEOUT); Sets the SPA client timeout value. If the timeout is set to a - value greater than 0, it is assumed the `spa_message_type' setting - should be one of the "TIMEOUT" variants. This function will - change the `message_type' to the appropriate setting if necessary. - However, it is recommended you set the correct `message_type' - ahead of time. + value greater than 0, it is assumed the 'spa_message_type' setting + should be one of the "TIMEOUT" variants. This function will change + the 'message_type' to the appropriate setting if necessary. + However, it is recommended you set the correct 'message_type' ahead + of time. -- Function: int fko_set_spa_digest (fko_ctx_t CTX); Initiates a calculation (or recalculation) of the message digest - hash for the current SPA data. If the required data fields are not - set this function will return `FKO_ERROR_MISSING_ENCODED_DATA'. + hash for the current SPA data. If the required data fields are not + set this function will return 'FKO_ERROR_MISSING_ENCODED_DATA'. *Note*: It should not be necessary to call this function directly as it will be called automatically by other functions during normal - processing (most notably `fko_spa_data_final'). + processing (most notably 'fko_spa_data_final'). -- Function: int fko_set_spa_hmac (fko_ctx_t CTX, const char *HMAC_KEY, const int HMAC_KEY_LEN); @@ -922,12 +893,12 @@ the current SPA data. *Note*: It should not be necessary to call this function directly as it will be called automatically by other functions during normal processing (most notably - `fko_spa_data_final'). + 'fko_spa_data_final'). -- Function: int fko_set_spa_data (fko_ctx_t CTX, char *ENC_DATA); This function is used to place encrypted SPA data into a newly - created empty context (i.e. with `fko_new'). In most cases, you - would use `fko_new_with_data' so you wouldn't have to take the + created empty context (i.e. with 'fko_new'). In most cases, you + would use 'fko_new_with_data' so you wouldn't have to take the extra step to use this function. However, some may find a reason to do it in this way. @@ -937,12 +908,13 @@ (fko_ctx_t CTX, const char RECIPIENT); Sets the GPG key for the recipient. This would be the recipient's public key used to encyrpt the SPA data. You can use the user name - ("recip@the.dest.com") or the key ID ("5EXXXXCC"). At present, + ("recip@the.dest.com") or the key ID ("5EXXXXCC"). At present, multiple recipients are not supported. -- Function: int fko_set_gpg_signer (fko_ctx_t CTX, const char SIGNER); Sets the GPG key for signing the data. This would be the sender's - key used to sign the SPA data. You can use the user name or key ID. + key used to sign the SPA data. You can use the user name or key + ID. -- Function: int fko_set_gpg_home_dir (fko_ctx_t CTX, const char HOME_DIR); @@ -960,19 +932,18 @@ -- Function: int fko_set_gpg_ignore_verify_error (fko_ctx_t CTX, unsigned char IGNORE); Sets the ignore signature verify error flag. When set to a true - value. Any signature verification errors are ignored (but still + value. Any signature verification errors are ignored (but still captured) and the decoding process will continue. The default value of this flag is false. -- Function: int fko_set_gpg_exe (fko_ctx_t CTX, const char GPG_EXE); Sets the path to the GPG executable that _gpgme_ will use. By - default, _libfko_ forces _gpgme_ to use `gpg' in case _gpgme_ was - compiled to use `gpg2' as its default engine. You can use this + default, _libfko_ forces _gpgme_ to use 'gpg' in case _gpgme_ was + compiled to use 'gpg2' as its default engine. You can use this function to override and set what GPG executable _gpgme_ will use. -*Note*: On a libfko build without GPG support, the GPG-related -functions above will simply return the FKO_ERROR_UNSUPPORTED_FEATURE -error code. +*Note*: On a libfko build without GPG support, the GPG-related functions +above will simply return the FKO_ERROR_UNSUPPORTED_FEATURE error code.  File: libfko.info, Node: Retrieving SPA Data, Next: Utility Functions, Prev: Setting SPA Data, Up: Using libfko @@ -980,21 +951,21 @@ 3.5 Retrieving SPA Data ======================= -This section describes the functions used for retrieving the various -SPA data fields and parameters settings. They all return an FKO error -code. The value of the respective field or parmeter that is being -retrieved will placed into the variables whose addresses are passed to -the function. +This section describes the functions used for retrieving the various SPA +data fields and parameters settings. They all return an FKO error code. +The value of the respective field or parmeter that is being retrieved +will placed into the variables whose addresses are passed to the +function. -- Function: int fko_get_spa_data (fko_ctx_t CTX, char **SPA_DATA); Assigns the pointer to the string holding the final encrypted SPA - data to the address SPA_DATA is pointing to. This is the data - that would be packaged into a packet and sent to an fwknop server. - The return value is an FKO error status. + data to the address SPA_DATA is pointing to. This is the data that + would be packaged into a packet and sent to an fwknop server. The + return value is an FKO error status. -- Function: int fko_get_rand_value (fko_ctx_t CTX, char **RAND_VAL); Assigns the pointer to the string holding the random 16-character - decimal number (`rand_val') associated with the current context to + decimal number ('rand_val') associated with the current context to the address RAND_VAL is pointing to. The return value is an FKO error status. @@ -1012,8 +983,8 @@ (fko_ctx_t CTX, short *MSG_TYPE); Sets the value of the MSG_TYPE variable to the SPA message type value associated with the current context. This value can be - checked against the list of valid message_types listed in *note - SPA Messages:: of this manual. For example: + checked against the list of valid message_types listed in *note SPA + Messages:: of this manual. For example: short msg_type; rc = fko_get_spa_message_type(ctx, &msg_type); @@ -1052,22 +1023,22 @@ -- Function: int fko_get_spa_client_timeout (fko_ctx_t CTX, int *CLIENT_TIMEOUT); Sets the value of the CLIENT_TIMEOUT variable to the client_timeout - value associated with the current context. The return value is an + value associated with the current context. The return value is an FKO error status. -- Function: int fko_get_spa_digest_type (fko_ctx_t CTX, short *DIGEST_TYPE); Sets the value of the DIGEST_TYPE variable to the digest type value - associated with the current context. This value can be checked - against the list of valid digest_types listed in *note Digests:: - of this manual. The return value is an FKO error status. + associated with the current context. This value can be checked + against the list of valid digest_types listed in *note Digests:: of + this manual. The return value is an FKO error status. -- Function: int fko_get_spa_hmac_type (fko_ctx_t CTX, short *HMAC_TYPE); Sets the value of the HMAC_TYPE variable to the HMAC type value - associated with the current context. This value can be checked - against the list of valid hmac_types listed in *note HMAC - Digests:: of this manual. The return value is an FKO error status. + associated with the current context. This value can be checked + against the list of valid hmac_types listed in *note HMAC Digests:: + of this manual. The return value is an FKO error status. -- Function: int fko_get_spa_digest (fko_ctx_t CTX, char **SPA_DIGEST); Assigns the pointer to the string holding the the fko SPA digest @@ -1082,25 +1053,25 @@ -- Function: int fko_get_spa_encryption_type (fko_ctx_t CTX, short *ENC_TYPE); Sets the value of the ENC_TYPE variable to the encryption type - value associated with the current context. This value can be + value associated with the current context. This value can be checked against the list of valid digest_types listed in *note - Encryption Algorithms:: of this manual. The return value is an - FKO error status. + Encryption Algorithms:: of this manual. The return value is an FKO + error status. -- Function: int fko_get_encoded_data (fko_ctx_t CTX, char **ENC_MSG); Assigns the pointer to the string holding the the encoded SPA data (before encryption) associated with the current context to the address ENC_MSG is pointing to. This is intermediate data that - would not normally be of use unless debugging the library. The + would not normally be of use unless debugging the library. The return value is an FKO error status. -- Function: int fko_get_version (fko_ctx_t CTX, char **FKO_VERSION); - Assigns the pointer to the string holding the the SPA version - value associated with the current context to the address - FKO_VERSION is pointing to. This is a static value for SPA data - that is being created in a new context. For data parsed from an - external source, the version string will be whatever version the - sending client used. The return value is an FKO error status. + Assigns the pointer to the string holding the the SPA version value + associated with the current context to the address FKO_VERSION is + pointing to. This is a static value for SPA data that is being + created in a new context. For data parsed from an external source, + the version string will be whatever version the sending client + used. The return value is an FKO error status. _GPG-specific functions:_ @@ -1124,13 +1095,13 @@ (fko_ctx_t CTX, unsigned char *VAL); Sets the value of the VAL variable to the current gpg_signature_verify flag value associated with the current - context. The return value is an FKO error status. + context. The return value is an FKO error status. -- Function: int fko_get_gpg_ignore_verify_error (fko_ctx_t CTX, unsigned char *VAL); Sets the value of the VAL variable to the current - ignore_verify_error flag value associated with the current - context. The return value is an FKO error status. + ignore_verify_error flag value associated with the current context. + The return value is an FKO error status. -- Function: int fko_get_gpg_signature_id (fko_ctx_t CTX, char **SIG_ID); @@ -1146,14 +1117,14 @@ -- Function: int fko_get_gpg_signature_summary (fko_ctx_t CTX, int *SIG_SUM); - Sets the value of the SIG_SUM variable to the GPG signature - summary value associated with the current context. The return - value is an FKO error status. + Sets the value of the SIG_SUM variable to the GPG signature summary + value associated with the current context. The return value is an + FKO error status. -- Function: int fko_get_gpg_signature_status (fko_ctx_t CTX, int *SIG_STAT); Sets the value of the SIG_STAT variable to the GPG signature error - status value associated with the current context. The return value + status value associated with the current context. The return value is an FKO error status. -- Function: int fko_get_gpg_exe (fko_ctx_t CTX, char **GPG_EXE); @@ -1161,7 +1132,7 @@ path associated with the current context to the address GPG_EXE is pointing to. The return value is an FKO error status. -*Note*: The char* values retrieved by the GPG-related functions above +*Note*: The char* values retrieved by the GPG-related functions above will be NULL if the context value was not previously set.  @@ -1172,50 +1143,49 @@ This section describes the functions not covered elsewhere in this manual. These are utility functions that operate on the data in the fko -context. All but `fko_spa_data_final' are called by other functions -and are not normally explictly called by the user. However, they can -be, so they are listed here. +context. All but 'fko_spa_data_final' are called by other functions and +are not normally explictly called by the user. However, they can be, so +they are listed here. All of these functions return an integer representing the return -status of the function. When succesfull, they will return `FKO_SUCCESS'. -Otherwise, an error code value is returned. +status of the function. When succesfull, they will return +'FKO_SUCCESS'. Otherwise, an error code value is returned. -- Function: int fko_spa_data_final (fko_ctx_t CTX, char *ENC_KEY, int KEN_LEN, char *HMAC_KEY, int HMAC_KEY_LEN); This function is the final step in creating a complete encrypted SPA data string suitable for transmission to an fwknop server. It - does require all of the requisite SPA data fields be set, - otherwise it will fail with an appropriate error code. + does require all of the requisite SPA data fields be set, otherwise + it will fail with an appropriate error code. -- Function: int fko_decrypt_spa_data (fko_ctx_t CTX, char *DEC_KEY, int KEY_LEN); When given the correct KEY (password), this function decrypts, - decodes, and parses the encrypted SPA data that was supplied to - the context via the `fko_new_with_data' function that was also - called without the KEY value. Once the data is decrypted, this - function will also call `fko_decode_spa_data' to decode, parse, - validate, and store the data fields in the context for later - retrieval. + decodes, and parses the encrypted SPA data that was supplied to the + context via the 'fko_new_with_data' function that was also called + without the KEY value. Once the data is decrypted, this function + will also call 'fko_decode_spa_data' to decode, parse, validate, + and store the data fields in the context for later retrieval. -- Function: int fko_encrypt_spa_data (fko_ctx_t CTX, char *ENC_KEY, int KEY_LEN); Encrypts the intermediate encoded SPA data stored in the context. - This function will call `fko_encode' if necessary. It is normally - not called directly as it is called from `fko_spa_data_final'. + This function will call 'fko_encode' if necessary. It is normally + not called directly as it is called from 'fko_spa_data_final'. -- Function: int fko_decode_spa_data (fko_ctx_t CTX); This function performs the decoding, parsing, validation of the SPA - data that was just decrypted. It is normally not called directly - as it is called from `fko_decrypt_spa_data' (which is in turn - called from `fko_new_with_data' if a password is supplied to it). + data that was just decrypted. It is normally not called directly + as it is called from 'fko_decrypt_spa_data' (which is in turn + called from 'fko_new_with_data' if a password is supplied to it). -- Function: int fko_encode_spa_data (fko_ctx_t CTX); Performs the base64 encoding of those SPA data fields that need to be encoded, performs some data validation, and calls - `fkp_set_spa_digest' to recompute the SPA message digest. It is + 'fkp_set_spa_digest' to recompute the SPA message digest. It is normally not called directly as it is called from - `fko_encrypt_spa_data' (which is in turn called from - `fko_spa_data_final'). + 'fko_encrypt_spa_data' (which is in turn called from + 'fko_spa_data_final'). _GPG-specific utility functions:_ @@ -1223,14 +1193,14 @@ (fko_ctx_t CTX, const char *ID, unsigned char *ID_MATCH); Sets the value of the ID_MATCH variable to true (1) if the value of ID matches the ID of the GPG signature associated with the current - context. Otherwise, ID_MATCH is set to false (0). The return value - is an FKO error status. + context. Otherwise, ID_MATCH is set to false (0). The return + value is an FKO error status. -- Function: int fko_gpg_signature_fpr_match (fko_ctx_t CTX, const char *FPR, unsigned char *FPR_MATCH); Sets the value of the FPR_MATCH variable to true (1) if the value - of FPR matches the fingerprint of the GPG signature associated - with the current context. Otherwise, FPR_MATCH is set to false (0). + of FPR matches the fingerprint of the GPG signature associated with + the current context. Otherwise, FPR_MATCH is set to false (0). The return value is an FKO error status.  @@ -1245,83 +1215,60 @@ string for the given error code. -- Function: const char * fko_errstr (int ERR_CODE) - The function `fko_errstr' returns a pointer to a statically + The function 'fko_errstr' returns a pointer to a statically allocated string containing the descripton of the error. The list of the possible error codes and their corresponding -descriptions as returned by `fko_errstr' follows: +descriptions as returned by 'fko_errstr' follows: -- Variable: int error_code - `FKO_SUCCESS' + 'FKO_SUCCESS' Success - - `FKO_ERROR_CTX_NOT_INITIALIZED' + 'FKO_ERROR_CTX_NOT_INITIALIZED' FKO Context is not initialized - - `FKO_ERROR_MEMORY_ALLOCATION' + 'FKO_ERROR_MEMORY_ALLOCATION' Unable to allocate memory - - `FKO_ERROR_FILESYSTEM_OPERATION' + 'FKO_ERROR_FILESYSTEM_OPERATION' Read/write bytes mismiatch - - `FKO_ERROR_INVALID_DATA' + 'FKO_ERROR_INVALID_DATA' Args contain invalid data - - `FKO_ERROR_DATA_TOO_LARGE' + 'FKO_ERROR_DATA_TOO_LARGE' Value or Size of the data exceeded the max allowed - - `FKO_ERROR_INVALID_KEY_LEN' + 'FKO_ERROR_INVALID_KEY_LEN' Invalid key length - - `FKO_ERROR_USERNAME_UNKNOWN' + 'FKO_ERROR_USERNAME_UNKNOWN' Unable to determine username - - `FKO_ERROR_INCOMPLETE_SPA_DATA' + 'FKO_ERROR_INCOMPLETE_SPA_DATA' Missing or incomplete SPA data - - `FKO_ERROR_MISSING_ENCODED_DATA' + 'FKO_ERROR_MISSING_ENCODED_DATA' There is no encoded data to process - - `FKO_ERROR_INVALID_DIGEST_TYPE' + 'FKO_ERROR_INVALID_DIGEST_TYPE' Invalid digest type - - `FKO_ERROR_INVALID_ALLOW_IP' + 'FKO_ERROR_INVALID_ALLOW_IP' Invalid allow IP address in the SPA message data - - `FKO_ERROR_INVALID_SPA_COMMAND_MSG' + 'FKO_ERROR_INVALID_SPA_COMMAND_MSG' Invalid SPA command message format - - `FKO_ERROR_INVALID_SPA_ACCESS_MSG' + 'FKO_ERROR_INVALID_SPA_ACCESS_MSG' Invalid SPA access message format - - `FKO_ERROR_INVALID_SPA_NAT_ACCESS_MSG' + 'FKO_ERROR_INVALID_SPA_NAT_ACCESS_MSG' Invalid SPA nat_access message format - - `FKO_ERROR_INVALID_ENCRYPTION_TYPE' + 'FKO_ERROR_INVALID_ENCRYPTION_TYPE' Invalid encryption type - - `FKO_ERROR_WRONG_ENCRYPTION_TYPE' + 'FKO_ERROR_WRONG_ENCRYPTION_TYPE' Wrong or inappropriate encryption type for this operation - - `FKO_ERROR_DECRYPTION_SIZE' + 'FKO_ERROR_DECRYPTION_SIZE' Unexpected or invalid size for decrypted data - - `FKO_ERROR_DECRYPTION_FAILURE' + 'FKO_ERROR_DECRYPTION_FAILURE' Decryption failed or decrypted data is invalid - - `FKO_ERROR_DIGEST_VERIFICATION_FAILED' + 'FKO_ERROR_DIGEST_VERIFICATION_FAILED' The computed digest did not match the digest in the spa data - - `FKO_ERROR_INVALID_HMAC_KEY_LEN' + 'FKO_ERROR_INVALID_HMAC_KEY_LEN' Invalid HMAC key length - - `FKO_ERROR_UNSUPPORTED_HMAC_MODE' + 'FKO_ERROR_UNSUPPORTED_HMAC_MODE' Unsupported HMAC mode (default: SHA256) - - `FKO_ERROR_UNSUPPORTED_FEATURE' + 'FKO_ERROR_UNSUPPORTED_FEATURE' Unsupported or unimplemented feature or function - - `FKO_ERROR_UNKNOWN' + 'FKO_ERROR_UNKNOWN' Unknown/Unclassified error If GPG support is available, there are additional possible error @@ -1331,85 +1278,62 @@ are: -- Variable: int error_code (gpgme support only) - `FKO_ERROR_MISSING_GPG_KEY_DATA' + 'FKO_ERROR_MISSING_GPG_KEY_DATA' Missing GPG key data (signer or recipient not set) - - `FKO_ERROR_GPGME_NO_OPENPGP' + 'FKO_ERROR_GPGME_NO_OPENPGP' This GPGME implementation does not support OpenPGP - - `FKO_ERROR_GPGME_CONTEXT' + 'FKO_ERROR_GPGME_CONTEXT' Unable to create GPGME context - - `FKO_ERROR_GPGME_PLAINTEXT_DATA_OBJ' + 'FKO_ERROR_GPGME_PLAINTEXT_DATA_OBJ' Error creating the plaintext data object - - `FKO_ERROR_GPGME_SET_PROTOCOL' + 'FKO_ERROR_GPGME_SET_PROTOCOL' Unable to set GPGME to use OpenPGP protocol - - `FKO_ERROR_GPGME_CIPHER_DATA_OBJ' + 'FKO_ERROR_GPGME_CIPHER_DATA_OBJ' Error creating the encrypted data data object - - `FKO_ERROR_GPGME_BAD_PASSPHRASE' + 'FKO_ERROR_GPGME_BAD_PASSPHRASE' The GPG passphrase was not valid - - `FKO_ERROR_GPGME_ENCRYPT_SIGN' + 'FKO_ERROR_GPGME_ENCRYPT_SIGN' Error during the encrypt and sign operation - - `FKO_ERROR_GPGME_CONTEXT_SIGNER_KEY' + 'FKO_ERROR_GPGME_CONTEXT_SIGNER_KEY' Unable to create GPGME context for the signer key - - `FKO_ERROR_GPGME_SIGNER_KEYLIST_START' + 'FKO_ERROR_GPGME_SIGNER_KEYLIST_START' Error from signer keylist start operation - - `FKO_ERROR_GPGME_SIGNER_KEY_NOT_FOUND' + 'FKO_ERROR_GPGME_SIGNER_KEY_NOT_FOUND' The key for the given signer was not found - - `FKO_ERROR_GPGME_SIGNER_KEY_AMBIGUOUS' + 'FKO_ERROR_GPGME_SIGNER_KEY_AMBIGUOUS' Ambiguous name/id for the signer key (mulitple matches) - - `FKO_ERROR_GPGME_ADD_SIGNER' + 'FKO_ERROR_GPGME_ADD_SIGNER' Error adding the signer key to the gpgme context - - `FKO_ERROR_GPGME_CONTEXT_RECIPIENT_KEY' + 'FKO_ERROR_GPGME_CONTEXT_RECIPIENT_KEY' Unable to create GPGME context for the recipient key - - `FKO_ERROR_GPGME_RECIPIENT_KEYLIST_START' + 'FKO_ERROR_GPGME_RECIPIENT_KEYLIST_START' Error from signer keylist start operation - - `FKO_ERROR_GPGME_RECIPIENT_KEY_NOT_FOUND' + 'FKO_ERROR_GPGME_RECIPIENT_KEY_NOT_FOUND' The key for the given recipient was not found - - `FKO_ERROR_GPGME_RECIPIENT_KEY_AMBIGUOUS' + 'FKO_ERROR_GPGME_RECIPIENT_KEY_AMBIGUOUS' Ambiguous name/id for the recipient key (mulitple matches) - - `FKO_ERROR_GPGME_DECRYPT_FAILED' + 'FKO_ERROR_GPGME_DECRYPT_FAILED' Decryption operation failed - - `FKO_ERROR_GPGME_BAD_GPG_EXE' + 'FKO_ERROR_GPGME_BAD_GPG_EXE' Unable to stat the given GPG executable - - `FKO_ERROR_GPGME_BAD_HOME_DIR' + 'FKO_ERROR_GPGME_BAD_HOME_DIR' Unable to stat the given GPG home directory - - `FKO_ERROR_GPGME_SET_HOME_DIR' + 'FKO_ERROR_GPGME_SET_HOME_DIR' Unable to set the given GPG home directory - - `FKO_ERROR_GPGME_NO_SIGNATURE' + 'FKO_ERROR_GPGME_NO_SIGNATURE' Missing GPG signature - - `FKO_ERROR_GPGME_BAD_SIGNATURE' + 'FKO_ERROR_GPGME_BAD_SIGNATURE' Bad GPG signature - - `FKO_ERROR_GPGME_SIGNATURE_VERIFY_DISABLED' + 'FKO_ERROR_GPGME_SIGNATURE_VERIFY_DISABLED' Trying to check signature with verification disabled - You can use the `IS_GPGME_ERROR(err_code)' macro to determine whether + You can use the 'IS_GPGME_ERROR(err_code)' macro to determine whether or not an error id GPGME related. If the macro evaluates to a true value, you may be able to get additional information about the error using the following function: -- Function: const char * fko_gpg_errstr (int ERR_CODE) - The function `fko_errstr' returns a pointer to a statically + The function 'fko_errstr' returns a pointer to a statically allocated string containing the descripton of the GPGME error. *Note*: For some errors, this function may return an empty string. @@ -1431,22 +1355,21 @@ A.1 Preamble ============ -The licenses for most software are designed to take away your freedom -to share and change it. By contrast, the GNU General Public License is -intended to guarantee your freedom to share and change free -software--to make sure the software is free for all its users. This -General Public License applies to most of the Free Software -Foundation's software and to any other program whose authors commit to -using it. (Some other Free Software Foundation software is covered by -the GNU Lesser General Public License instead.) You can apply it to -your programs, too. +The licenses for most software are designed to take away your freedom to +share and change it. By contrast, the GNU General Public License is +intended to guarantee your freedom to share and change free software--to +make sure the software is free for all its users. This General Public +License applies to most of the Free Software Foundation's software and +to any other program whose authors commit to using it. (Some other Free +Software Foundation software is covered by the GNU Lesser General Public +License instead.) You can apply it to your programs, too. When we speak of free software, we are referring to freedom, not price. Our General Public Licenses are designed to make sure that you have the freedom to distribute copies of free software (and charge for -this service if you wish), that you receive source code or can get it -if you want it, that you can change the software or use pieces of it in -new free programs; and that you know you can do these things. +this service if you wish), that you receive source code or can get it if +you want it, that you can change the software or use pieces of it in new +free programs; and that you know you can do these things. To protect your rights, we need to make restrictions that forbid anyone to deny you these rights or to ask you to surrender the rights. @@ -1481,11 +1404,12 @@ GNU GENERAL PUBLIC LICENSE TERMS AND CONDITIONS FOR COPYING, DISTRIBUTION AND MODIFICATION + 0. This License applies to any program or other work which contains a notice placed by the copyright holder saying it may be distributed under the terms of this General Public License. The "Program", - below, refers to any such program or work, and a "work based on - the Program" means either the Program or any derivative work under + below, refers to any such program or work, and a "work based on the + Program" means either the Program or any derivative work under copyright law: that is to say, a work containing the Program or a portion of it, either verbatim or with modifications and/or translated into another language. (Hereinafter, translation is @@ -1499,8 +1423,8 @@ the Program (independent of having been made by running the Program). Whether that is true depends on what the Program does. - 1. You may copy and distribute verbatim copies of the Program's - source code as you receive it, in any medium, provided that you + 1. You may copy and distribute verbatim copies of the Program's source + code as you receive it, in any medium, provided that you conspicuously and appropriately publish on each copy an appropriate copyright notice and disclaimer of warranty; keep intact all the notices that refer to this License and to the absence of any @@ -1511,8 +1435,8 @@ and you may at your option offer warranty protection in exchange for a fee. - 2. You may modify your copy or copies of the Program or any portion - of it, thus forming a work based on the Program, and copy and + 2. You may modify your copy or copies of the Program or any portion of + it, thus forming a work based on the Program, and copy and distribute such modifications or work under the terms of Section 1 above, provided that you also meet all of these conditions: @@ -1520,9 +1444,9 @@ stating that you changed the files and the date of any change. b. You must cause any work that you distribute or publish, that - in whole or in part contains or is derived from the Program - or any part thereof, to be licensed as a whole at no charge - to all third parties under the terms of this License. + in whole or in part contains or is derived from the Program or + any part thereof, to be licensed as a whole at no charge to + all third parties under the terms of this License. c. If the modified program normally reads commands interactively when run, you must cause it, when started running for such @@ -1539,13 +1463,13 @@ These requirements apply to the modified work as a whole. If identifiable sections of that work are not derived from the Program, and can be reasonably considered independent and separate - works in themselves, then this License, and its terms, do not - apply to those sections when you distribute them as separate - works. But when you distribute the same sections as part of a - whole which is a work based on the Program, the distribution of - the whole must be on the terms of this License, whose permissions - for other licensees extend to the entire whole, and thus to each - and every part regardless of who wrote it. + works in themselves, then this License, and its terms, do not apply + to those sections when you distribute them as separate works. But + when you distribute the same sections as part of a whole which is a + work based on the Program, the distribution of the whole must be on + the terms of this License, whose permissions for other licensees + extend to the entire whole, and thus to each and every part + regardless of who wrote it. Thus, it is not the intent of this section to claim rights or contest your rights to work written entirely by you; rather, the @@ -1553,9 +1477,9 @@ derivative or collective works based on the Program. In addition, mere aggregation of another work not based on the - Program with the Program (or with a work based on the Program) on - a volume of a storage or distribution medium does not bring the - other work under the scope of this License. + Program with the Program (or with a work based on the Program) on a + volume of a storage or distribution medium does not bring the other + work under the scope of this License. 3. You may copy and distribute the Program (or a work based on it, under Section 2) in object code or executable form under the terms @@ -1586,10 +1510,10 @@ plus any associated interface definition files, plus the scripts used to control compilation and installation of the executable. However, as a special exception, the source code distributed need - not include anything that is normally distributed (in either - source or binary form) with the major components (compiler, - kernel, and so on) of the operating system on which the executable - runs, unless that component itself accompanies the executable. + not include anything that is normally distributed (in either source + or binary form) with the major components (compiler, kernel, and so + on) of the operating system on which the executable runs, unless + that component itself accompanies the executable. If distribution of executable or object code is made by offering access to copy from a designated place, then offering equivalent @@ -1602,25 +1526,25 @@ otherwise to copy, modify, sublicense or distribute the Program is void, and will automatically terminate your rights under this License. However, parties who have received copies, or rights, - from you under this License will not have their licenses - terminated so long as such parties remain in full compliance. + from you under this License will not have their licenses terminated + so long as such parties remain in full compliance. 5. You are not required to accept this License, since you have not signed it. However, nothing else grants you permission to modify or distribute the Program or its derivative works. These actions are prohibited by law if you do not accept this License. Therefore, by modifying or distributing the Program (or any work - based on the Program), you indicate your acceptance of this - License to do so, and all its terms and conditions for copying, + based on the Program), you indicate your acceptance of this License + to do so, and all its terms and conditions for copying, distributing or modifying the Program or works based on it. 6. Each time you redistribute the Program (or any work based on the Program), the recipient automatically receives a license from the - original licensor to copy, distribute or modify the Program - subject to these terms and conditions. You may not impose any - further restrictions on the recipients' exercise of the rights - granted herein. You are not responsible for enforcing compliance - by third parties to this License. + original licensor to copy, distribute or modify the Program subject + to these terms and conditions. You may not impose any further + restrictions on the recipients' exercise of the rights granted + herein. You are not responsible for enforcing compliance by third + parties to this License. 7. If, as a consequence of a court judgment or allegation of patent infringement or for any other reason (not limited to patent @@ -1631,10 +1555,10 @@ your obligations under this License and any other pertinent obligations, then as a consequence you may not distribute the Program at all. For example, if a patent license would not permit - royalty-free redistribution of the Program by all those who - receive copies directly or indirectly through you, then the only - way you could satisfy both it and this License would be to refrain - entirely from distribution of the Program. + royalty-free redistribution of the Program by all those who receive + copies directly or indirectly through you, then the only way you + could satisfy both it and this License would be to refrain entirely + from distribution of the Program. If any portion of this section is held invalid or unenforceable under any particular circumstance, the balance of the section is @@ -1665,41 +1589,41 @@ this License. 9. The Free Software Foundation may publish revised and/or new - versions of the General Public License from time to time. Such - new versions will be similar in spirit to the present version, but - may differ in detail to address new problems or concerns. + versions of the General Public License from time to time. Such new + versions will be similar in spirit to the present version, but may + differ in detail to address new problems or concerns. Each version is given a distinguishing version number. If the - Program specifies a version number of this License which applies - to it and "any later version", you have the option of following - the terms and conditions either of that version or of any later - version published by the Free Software Foundation. If the Program - does not specify a version number of this License, you may choose - any version ever published by the Free Software Foundation. + Program specifies a version number of this License which applies to + it and "any later version", you have the option of following the + terms and conditions either of that version or of any later version + published by the Free Software Foundation. If the Program does not + specify a version number of this License, you may choose any + version ever published by the Free Software Foundation. - 10. If you wish to incorporate parts of the Program into other free + 10. If you wish to incorporate parts of the Program into other free programs whose distribution conditions are different, write to the - author to ask for permission. For software which is copyrighted - by the Free Software Foundation, write to the Free Software + author to ask for permission. For software which is copyrighted by + the Free Software Foundation, write to the Free Software Foundation; we sometimes make exceptions for this. Our decision will be guided by the two goals of preserving the free status of all derivatives of our free software and of promoting the sharing and reuse of software generally. - NO WARRANTY + NO WARRANTY - 11. BECAUSE THE PROGRAM IS LICENSED FREE OF CHARGE, THERE IS NO + 11. BECAUSE THE PROGRAM IS LICENSED FREE OF CHARGE, THERE IS NO WARRANTY FOR THE PROGRAM, TO THE EXTENT PERMITTED BY APPLICABLE - LAW. EXCEPT WHEN OTHERWISE STATED IN WRITING THE COPYRIGHT - HOLDERS AND/OR OTHER PARTIES PROVIDE THE PROGRAM "AS IS" WITHOUT - WARRANTY OF ANY KIND, EITHER EXPRESSED OR IMPLIED, INCLUDING, BUT - NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND - FITNESS FOR A PARTICULAR PURPOSE. THE ENTIRE RISK AS TO THE - QUALITY AND PERFORMANCE OF THE PROGRAM IS WITH YOU. SHOULD THE - PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF ALL NECESSARY - SERVICING, REPAIR OR CORRECTION. + LAW. EXCEPT WHEN OTHERWISE STATED IN WRITING THE COPYRIGHT HOLDERS + AND/OR OTHER PARTIES PROVIDE THE PROGRAM "AS IS" WITHOUT WARRANTY + OF ANY KIND, EITHER EXPRESSED OR IMPLIED, INCLUDING, BUT NOT + LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS + FOR A PARTICULAR PURPOSE. THE ENTIRE RISK AS TO THE QUALITY AND + PERFORMANCE OF THE PROGRAM IS WITH YOU. SHOULD THE PROGRAM PROVE + DEFECTIVE, YOU ASSUME THE COST OF ALL NECESSARY SERVICING, REPAIR + OR CORRECTION. - 12. IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN + 12. IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MAY MODIFY AND/OR REDISTRIBUTE THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES, INCLUDING ANY GENERAL, SPECIAL, @@ -1722,8 +1646,8 @@ To do so, attach the following notices to the program. It is safest to attach them to the start of each source file to most effectively -convey the exclusion of warranty; and each file should have at least -the "copyright" line and a pointer to where the full notice is found. +convey the exclusion of warranty; and each file should have at least the +"copyright" line and a pointer to where the full notice is found. ONE LINE TO GIVE THE PROGRAM'S NAME AND A BRIEF IDEA OF WHAT IT DOES. Copyright (C) YYYY NAME OF AUTHOR @@ -1753,9 +1677,9 @@ This is free software, and you are welcome to redistribute it under certain conditions; type `show c' for details. - The hypothetical commands `show w' and `show c' should show the + The hypothetical commands 'show w' and 'show c' should show the appropriate parts of the General Public License. Of course, the -commands you use may be called something other than `show w' and `show +commands you use may be called something other than 'show w' and 'show c'; they could even be mouse-clicks or menu items--whatever suits your program. @@ -1790,30 +1714,30 @@ * context, destruction: Destroying Contexts. (line 6) * default encryption: Encryption Algorithms. (line 6) -* default message digest <1>: HMAC Digests. (line 6) * default message digest: Digests. (line 6) +* default message digest <1>: HMAC Digests. (line 6) * digest types: Digests. (line 6) * encryption types: Encryption Algorithms. (line 6) * error codes: Error Handling. (line 6) -* error codes, gpgme related: Error Handling. (line 97) +* error codes, gpgme related: Error Handling. (line 74) * error handling: Error Handling. (line 6) * error strings: Error Handling. (line 6) * Firewall Knock Operator, intro: Introduction. (line 6) * fko.h: libfko Header. (line 6) * fwknop: Introduction. (line 6) -* gpg-specific functions <1>: Error Handling. (line 175) -* gpg-specific functions <2>: Utility Functions. (line 53) -* gpg-specific functions <3>: Retrieving SPA Data. (line 128) * gpg-specific functions: Setting SPA Data. (line 112) +* gpg-specific functions <1>: Retrieving SPA Data. (line 128) +* gpg-specific functions <2>: Utility Functions. (line 52) +* gpg-specific functions <3>: Error Handling. (line 129) * GPL, General Public License: Library Copying. (line 6) * header file: libfko Header. (line 6) * HMAC digest types: HMAC Digests. (line 6) * include file: libfko Header. (line 6) * linking, with libfko: Compiling with libfko. (line 6) -* message digest types <1>: HMAC Digests. (line 6) * message digest types: Digests. (line 6) +* message digest types <1>: HMAC Digests. (line 6) * message types: SPA Messages. (line 6) * Single Packet Authorization, intro: Introduction. (line 6) * spa data, retrieving values: Retrieving SPA Data. (line 6) @@ -1837,99 +1761,100 @@ [index] * Menu: -* error_code: Error Handling. (line 19) -* fko_decode_spa_data: Utility Functions. (line 40) -* fko_decrypt_spa_data: Utility Functions. (line 25) -* fko_destroy: Destroying Contexts. (line 12) -* fko_digest_type_t <1>: HMAC Digests. (line 15) -* fko_digest_type_t: Digests. (line 18) -* fko_encode_spa_data: Utility Functions. (line 46) -* fko_encrypt_spa_data: Utility Functions. (line 35) +* error_code: Error Handling. (line 18) +* error_code <1>: Error Handling. (line 74) +* fko_decode_spa_data: Utility Functions. (line 38) +* fko_decrypt_spa_data: Utility Functions. (line 23) +* fko_destroy: Destroying Contexts. (line 11) +* fko_digest_type_t: Digests. (line 17) +* fko_digest_type_t <1>: HMAC Digests. (line 14) +* fko_encode_spa_data: Utility Functions. (line 44) * fko_encryption_type_t: Encryption Algorithms. - (line 11) -* fko_errstr: Error Handling. (line 12) -* fko_get_encoded_data: Retrieving SPA Data. (line 114) -* fko_get_gpg_exe: Retrieving SPA Data. (line 183) -* fko_get_gpg_home_dir: Retrieving SPA Data. (line 142) -* fko_get_gpg_ignore_verify_error: Retrieving SPA Data. (line 154) -* fko_get_gpg_recipient: Retrieving SPA Data. (line 132) -* fko_get_gpg_signature_fpr: Retrieving SPA Data. (line 166) -* fko_get_gpg_signature_id: Retrieving SPA Data. (line 160) -* fko_get_gpg_signature_status: Retrieving SPA Data. (line 178) -* fko_get_gpg_signature_summary: Retrieving SPA Data. (line 172) -* fko_get_gpg_signature_verify: Retrieving SPA Data. (line 148) -* fko_get_gpg_signer: Retrieving SPA Data. (line 137) -* fko_get_rand_value: Retrieving SPA Data. (line 19) -* fko_get_spa_client_timeout: Retrieving SPA Data. (line 77) -* fko_get_spa_data: Retrieving SPA Data. (line 13) -* fko_get_spa_digest: Retrieving SPA Data. (line 96) -* fko_get_spa_digest_type: Retrieving SPA Data. (line 83) -* fko_get_spa_encryption_type: Retrieving SPA Data. (line 107) -* fko_get_spa_hmac: Retrieving SPA Data. (line 101) -* fko_get_spa_hmac_type: Retrieving SPA Data. (line 90) -* fko_get_spa_message: Retrieving SPA Data. (line 57) -* fko_get_spa_message_type: Retrieving SPA Data. (line 36) -* fko_get_spa_nat_access: Retrieving SPA Data. (line 63) -* fko_get_spa_server_auth: Retrieving SPA Data. (line 70) -* fko_get_timestamp: Retrieving SPA Data. (line 30) -* fko_get_username: Retrieving SPA Data. (line 25) -* fko_get_version: Retrieving SPA Data. (line 121) -* fko_gpg_errstr: Error Handling. (line 176) -* fko_gpg_signature_fpr_match: Utility Functions. (line 64) -* fko_gpg_signature_id_match: Utility Functions. (line 57) -* fko_message_type_t: SPA Messages. (line 12) -* fko_new: Creating Contexts. (line 16) -* fko_new_with_data: Creating Contexts. (line 40) + (line 10) +* fko_encrypt_spa_data: Utility Functions. (line 32) +* fko_errstr: Error Handling. (line 11) +* fko_get_encoded_data: Retrieving SPA Data. (line 113) +* fko_get_gpg_exe: Retrieving SPA Data. (line 182) +* fko_get_gpg_home_dir: Retrieving SPA Data. (line 141) +* fko_get_gpg_ignore_verify_error: Retrieving SPA Data. (line 152) +* fko_get_gpg_recipient: Retrieving SPA Data. (line 130) +* fko_get_gpg_signature_fpr: Retrieving SPA Data. (line 164) +* fko_get_gpg_signature_id: Retrieving SPA Data. (line 158) +* fko_get_gpg_signature_status: Retrieving SPA Data. (line 176) +* fko_get_gpg_signature_summary: Retrieving SPA Data. (line 170) +* fko_get_gpg_signature_verify: Retrieving SPA Data. (line 146) +* fko_get_gpg_signer: Retrieving SPA Data. (line 136) +* fko_get_rand_value: Retrieving SPA Data. (line 18) +* fko_get_spa_client_timeout: Retrieving SPA Data. (line 75) +* fko_get_spa_data: Retrieving SPA Data. (line 12) +* fko_get_spa_digest: Retrieving SPA Data. (line 95) +* fko_get_spa_digest_type: Retrieving SPA Data. (line 81) +* fko_get_spa_encryption_type: Retrieving SPA Data. (line 105) +* fko_get_spa_hmac: Retrieving SPA Data. (line 100) +* fko_get_spa_hmac_type: Retrieving SPA Data. (line 88) +* fko_get_spa_message: Retrieving SPA Data. (line 56) +* fko_get_spa_message_type: Retrieving SPA Data. (line 34) +* fko_get_spa_nat_access: Retrieving SPA Data. (line 61) +* fko_get_spa_server_auth: Retrieving SPA Data. (line 68) +* fko_get_timestamp: Retrieving SPA Data. (line 29) +* fko_get_username: Retrieving SPA Data. (line 24) +* fko_get_version: Retrieving SPA Data. (line 120) +* fko_gpg_errstr: Error Handling. (line 129) +* fko_gpg_signature_fpr_match: Utility Functions. (line 61) +* fko_gpg_signature_id_match: Utility Functions. (line 54) +* fko_message_type_t: SPA Messages. (line 11) +* fko_new: Creating Contexts. (line 15) +* fko_new_with_data: Creating Contexts. (line 38) * fko_set_gpg_exe: Setting SPA Data. (line 146) -* fko_set_gpg_home_dir: Setting SPA Data. (line 127) -* fko_set_gpg_ignore_verify_error: Setting SPA Data. (line 140) -* fko_set_gpg_recipient: Setting SPA Data. (line 116) -* fko_set_gpg_signature_verify: Setting SPA Data. (line 132) -* fko_set_gpg_signer: Setting SPA Data. (line 122) -* fko_set_rand_value: Setting SPA Data. (line 35) -* fko_set_spa_client_timeout: Setting SPA Data. (line 82) -* fko_set_spa_data: Setting SPA Data. (line 106) -* fko_set_spa_digest: Setting SPA Data. (line 90) -* fko_set_spa_digest_type: Setting SPA Data. (line 13) -* fko_set_spa_encryption_type: Setting SPA Data. (line 29) -* fko_set_spa_hmac: Setting SPA Data. (line 99) -* fko_set_spa_hmac_type: Setting SPA Data. (line 21) -* fko_set_spa_message: Setting SPA Data. (line 65) -* fko_set_spa_message_type: Setting SPA Data. (line 58) -* fko_set_spa_nat_access: Setting SPA Data. (line 71) -* fko_set_spa_server_auth: Setting SPA Data. (line 77) -* fko_set_timestamp: Setting SPA Data. (line 53) -* fko_set_username: Setting SPA Data. (line 44) -* fko_spa_data_final: Utility Functions. (line 18) -* spa_message_fields: SPA Data Format. (line 13) +* fko_set_gpg_home_dir: Setting SPA Data. (line 126) +* fko_set_gpg_ignore_verify_error: Setting SPA Data. (line 139) +* fko_set_gpg_recipient: Setting SPA Data. (line 114) +* fko_set_gpg_signature_verify: Setting SPA Data. (line 131) +* fko_set_gpg_signer: Setting SPA Data. (line 121) +* fko_set_rand_value: Setting SPA Data. (line 34) +* fko_set_spa_client_timeout: Setting SPA Data. (line 80) +* fko_set_spa_data: Setting SPA Data. (line 105) +* fko_set_spa_digest: Setting SPA Data. (line 89) +* fko_set_spa_digest_type: Setting SPA Data. (line 11) +* fko_set_spa_encryption_type: Setting SPA Data. (line 27) +* fko_set_spa_hmac: Setting SPA Data. (line 97) +* fko_set_spa_hmac_type: Setting SPA Data. (line 19) +* fko_set_spa_message: Setting SPA Data. (line 63) +* fko_set_spa_message_type: Setting SPA Data. (line 56) +* fko_set_spa_nat_access: Setting SPA Data. (line 69) +* fko_set_spa_server_auth: Setting SPA Data. (line 75) +* fko_set_timestamp: Setting SPA Data. (line 52) +* fko_set_username: Setting SPA Data. (line 42) +* fko_spa_data_final: Utility Functions. (line 16) +* spa_message_fields: SPA Data Format. (line 12)  Tag Table: Node: Top1118 -Node: Introduction4314 -Node: Getting Started6024 -Node: Features6620 -Node: Overview7649 -Node: SPA Data Format8714 -Node: Preparation11354 -Node: libfko Header11914 -Node: Compiling with libfko12456 -Node: SPA Parameter Types13541 -Node: Digests14053 -Node: SPA Messages15116 +Node: Introduction4315 +Node: Getting Started6025 +Node: Features6621 +Node: Overview7650 +Node: SPA Data Format8709 +Node: Preparation11345 +Node: libfko Header11905 +Node: Compiling with libfko12448 +Node: SPA Parameter Types13533 +Node: Digests14045 +Node: SPA Messages15108 Node: Encryption Algorithms17227 -Node: HMAC Digests18363 -Node: Using libfko19264 -Node: Creating Contexts20103 -Node: Destroying Contexts25084 +Node: HMAC Digests18365 +Node: Using libfko19266 +Node: Creating Contexts20105 +Node: Destroying Contexts25082 Node: Creating a SPA Message25703 -Node: Setting SPA Data30545 -Node: Retrieving SPA Data38089 -Node: Utility Functions47063 -Node: Error Handling50442 -Node: Library Copying56135 -Node: Concept Index75416 -Node: Function and Data Index78663 +Node: Setting SPA Data30537 +Node: Retrieving SPA Data38098 +Node: Utility Functions47081 +Node: Error Handling50461 +Node: Library Copying56156 +Node: Concept Index75431 +Node: Function and Data Index78678  End Tag Table diff -Nru fwknop-2.5.1/doc/stamp-vti fwknop-2.6.0/doc/stamp-vti --- fwknop-2.5.1/doc/stamp-vti 2013-07-26 01:31:14.000000000 +0000 +++ fwknop-2.6.0/doc/stamp-vti 2014-01-13 03:31:15.000000000 +0000 @@ -1,4 +1,4 @@ -@set UPDATED 25 July 2013 -@set UPDATED-MONTH July 2013 -@set EDITION 2.5.1 -@set VERSION 2.5.1 +@set UPDATED 12 January 2014 +@set UPDATED-MONTH January 2014 +@set EDITION 2.6.0 +@set VERSION 2.6.0 diff -Nru fwknop-2.5.1/doc/version.texi fwknop-2.6.0/doc/version.texi --- fwknop-2.5.1/doc/version.texi 2013-07-26 01:31:14.000000000 +0000 +++ fwknop-2.6.0/doc/version.texi 2014-01-13 03:31:15.000000000 +0000 @@ -1,4 +1,4 @@ -@set UPDATED 25 July 2013 -@set UPDATED-MONTH July 2013 -@set EDITION 2.5.1 -@set VERSION 2.5.1 +@set UPDATED 12 January 2014 +@set UPDATED-MONTH January 2014 +@set EDITION 2.6.0 +@set VERSION 2.6.0 diff -Nru fwknop-2.5.1/extras/apparmor/configure_args.sh fwknop-2.6.0/extras/apparmor/configure_args.sh --- fwknop-2.5.1/extras/apparmor/configure_args.sh 1970-01-01 00:00:00.000000000 +0000 +++ fwknop-2.6.0/extras/apparmor/configure_args.sh 2014-01-13 03:29:04.000000000 +0000 @@ -0,0 +1,13 @@ +#!/bin/sh -x + +# +# This is a convenience script to run ./configure with the command line args +# that the AppArmor policy expects (sets up binary locations, sysconfdir, +# etc.). Execute this script from the top level fwknop sources directory. +# + +if [ -x ./configure ]; then + ./configure --prefix=/usr --sysconfdir=/etc --localstatedir=/var +else + echo "[*] Execute from the fwknop top level sources directory" +fi diff -Nru fwknop-2.5.1/extras/apparmor/usr.sbin.fwknopd fwknop-2.6.0/extras/apparmor/usr.sbin.fwknopd --- fwknop-2.5.1/extras/apparmor/usr.sbin.fwknopd 1970-01-01 00:00:00.000000000 +0000 +++ fwknop-2.6.0/extras/apparmor/usr.sbin.fwknopd 2014-01-13 03:29:04.000000000 +0000 @@ -0,0 +1,31 @@ +# Last Modified: Sun Aug 18 22:54:57 2013 +# Assumes fwknopd was built with: +# './configure --prefix=/usr --sysconfdir=/etc --localstatedir=/var' +#include + +/usr/sbin/fwknopd { + #include + + capability ipc_lock, + capability net_admin, + capability net_raw, + + network inet raw, + network packet raw, + + /bin/dash rix, + /bin/bash rix, + /etc/fwknop/access.conf r, + /etc/fwknop/fwknopd.conf r, + /etc/nsswitch.conf r, + /etc/passwd r, + /etc/protocols r, + /root/.gnupg/* rwkl, + /run/fwknop/ rw, + /run/fwknop/* rwk, + /sbin/xtables-multi rix, + /usr/bin/gpg rix, + /usr/sbin/fwknopd mr, + /var/cache/nscd/passwd r, + +} diff -Nru fwknop-2.5.1/fwknop.spec fwknop-2.6.0/fwknop.spec --- fwknop-2.5.1/fwknop.spec 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/fwknop.spec 2014-01-13 03:29:04.000000000 +0000 @@ -13,7 +13,7 @@ %define _mandir /usr/share/man Name: fwknop -Version: 2.5.1 +Version: 2.6.0 Epoch: 1 Release: 1%{?dist} Summary: Firewall Knock Operator client. An implementation of Single Packet Authorization. @@ -25,28 +25,27 @@ BuildRoot: %(mktemp -ud %{_tmppath}/%{name}-%{version}-%{release}-XXXXXX) BuildRequires: gpg, gpgme-devel, libpcap-devel, gdbm-devel, iptables - -Requires: libfko, iptables +Requires: libfko >= 2.0.1, iptables %package -n libfko -Version: 2.0.0 +Version: 2.0.1 Release: 2 Summary: The fwknop library Group: Development/Libraries Requires: gpg, gpgme %package -n libfko-devel -Version: 2.0.0 +Version: 2.0.1 Release: 2 Summary: The fwknop library header and API docs Group: Development/Libraries -Requires: libfko +Requires: libfko >= 2.0.1 %package server Summary: The Firewall Knock Operator server. An implementation of Single Packet Authorization. Group: System Environment/Daemons -Requires: libfko, libpcap, gdbm, iptables +Requires: libfko => 2.0.1, libpcap, gdbm, iptables %description @@ -143,6 +142,10 @@ %config(noreplace) %attr(0600,root,root) %{_sysconfdir}/fwknop/access.conf %changelog +* Sun Jan 12 2014 - 2.6.0 +- Bumped libfko and libfko-devel version to 2.0.1. +- fwknop-2.6.0 release. + * Thu Jul 25 2013 - 2.5.1 - fwknop-2.5.1 release. diff -Nru fwknop-2.5.1/lib/Makefile.am fwknop-2.6.0/lib/Makefile.am --- fwknop-2.5.1/lib/Makefile.am 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/lib/Makefile.am 2014-01-13 03:29:04.000000000 +0000 @@ -11,7 +11,7 @@ gpgme_funcs.c gpgme_funcs.h strlcpy.c strlcat.c fko_util.c fko_util.h libfko_la_SOURCES = $(libfko_source_files) -libfko_la_LDFLAGS = -version-info 2:0:0 $(GPGME_LIBS) -export-symbols-regex '^fko_' +libfko_la_LDFLAGS = -version-info 2:1:0 $(GPGME_LIBS) -export-symbols-regex '^fko_' AM_CPPFLAGS = $(GPGME_CFLAGS) -I $(top_srcdir)/common diff -Nru fwknop-2.5.1/lib/Makefile.in fwknop-2.6.0/lib/Makefile.in --- fwknop-2.5.1/lib/Makefile.in 2013-07-26 01:30:38.000000000 +0000 +++ fwknop-2.6.0/lib/Makefile.in 2014-01-13 03:30:53.000000000 +0000 @@ -1,9 +1,8 @@ -# Makefile.in generated by automake 1.11.6 from Makefile.am. +# Makefile.in generated by automake 1.13.3 from Makefile.am. # @configure_input@ -# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002, -# 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011 Free Software -# Foundation, Inc. +# Copyright (C) 1994-2013 Free Software Foundation, Inc. + # This Makefile.in is free software; the Free Software Foundation # gives unlimited permission to copy and/or distribute it, # with or without modifications, as long as this notice is preserved. @@ -17,23 +16,51 @@ VPATH = @srcdir@ -am__make_dryrun = \ - { \ - am__dry=no; \ +am__is_gnu_make = test -n '$(MAKEFILE_LIST)' && test -n '$(MAKELEVEL)' +am__make_running_with_option = \ + case $${target_option-} in \ + ?) ;; \ + *) echo "am__make_running_with_option: internal error: invalid" \ + "target option '$${target_option-}' specified" >&2; \ + exit 1;; \ + esac; \ + has_opt=no; \ + sane_makeflags=$$MAKEFLAGS; \ + if $(am__is_gnu_make); then \ + sane_makeflags=$$MFLAGS; \ + else \ case $$MAKEFLAGS in \ *\\[\ \ ]*) \ - echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \ - | grep '^AM OK$$' >/dev/null || am__dry=yes;; \ - *) \ - for am__flg in $$MAKEFLAGS; do \ - case $$am__flg in \ - *=*|--*) ;; \ - *n*) am__dry=yes; break;; \ - esac; \ - done;; \ + bs=\\; \ + sane_makeflags=`printf '%s\n' "$$MAKEFLAGS" \ + | sed "s/$$bs$$bs[$$bs $$bs ]*//g"`;; \ esac; \ - test $$am__dry = yes; \ - } + fi; \ + skip_next=no; \ + strip_trailopt () \ + { \ + flg=`printf '%s\n' "$$flg" | sed "s/$$1.*$$//"`; \ + }; \ + for flg in $$sane_makeflags; do \ + test $$skip_next = yes && { skip_next=no; continue; }; \ + case $$flg in \ + *=*|--*) continue;; \ + -*I) strip_trailopt 'I'; skip_next=yes;; \ + -*I?*) strip_trailopt 'I';; \ + -*O) strip_trailopt 'O'; skip_next=yes;; \ + -*O?*) strip_trailopt 'O';; \ + -*l) strip_trailopt 'l'; skip_next=yes;; \ + -*l?*) strip_trailopt 'l';; \ + -[dEDm]) skip_next=yes;; \ + -[JT]) skip_next=yes;; \ + esac; \ + case $$flg in \ + *$$target_option*) has_opt=yes; break;; \ + esac; \ + done; \ + test $$has_opt = yes +am__make_dryrun = (target_option=n; $(am__make_running_with_option)) +am__make_keepgoing = (target_option=k; $(am__make_running_with_option)) pkgdatadir = $(datadir)/@PACKAGE@ pkgincludedir = $(includedir)/@PACKAGE@ pkglibdir = $(libdir)/@PACKAGE@ @@ -54,8 +81,8 @@ host_triplet = @host@ target_triplet = @target@ subdir = lib -DIST_COMMON = $(include_HEADERS) $(srcdir)/Makefile.am \ - $(srcdir)/Makefile.in +DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am \ + $(top_srcdir)/config/depcomp $(include_HEADERS) ACLOCAL_M4 = $(top_srcdir)/aclocal.m4 am__aclocal_m4_deps = $(top_srcdir)/m4/gpgme.m4 \ $(top_srcdir)/m4/libtool.m4 $(top_srcdir)/m4/ltoptions.m4 \ @@ -106,22 +133,47 @@ strlcpy.lo strlcat.lo fko_util.lo am_libfko_la_OBJECTS = $(am__objects_1) libfko_la_OBJECTS = $(am_libfko_la_OBJECTS) -libfko_la_LINK = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) \ +AM_V_lt = $(am__v_lt_@AM_V@) +am__v_lt_ = $(am__v_lt_@AM_DEFAULT_V@) +am__v_lt_0 = --silent +am__v_lt_1 = +libfko_la_LINK = $(LIBTOOL) $(AM_V_lt) --tag=CC $(AM_LIBTOOLFLAGS) \ $(LIBTOOLFLAGS) --mode=link $(CCLD) $(AM_CFLAGS) $(CFLAGS) \ $(libfko_la_LDFLAGS) $(LDFLAGS) -o $@ +AM_V_P = $(am__v_P_@AM_V@) +am__v_P_ = $(am__v_P_@AM_DEFAULT_V@) +am__v_P_0 = false +am__v_P_1 = : +AM_V_GEN = $(am__v_GEN_@AM_V@) +am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@) +am__v_GEN_0 = @echo " GEN " $@; +am__v_GEN_1 = +AM_V_at = $(am__v_at_@AM_V@) +am__v_at_ = $(am__v_at_@AM_DEFAULT_V@) +am__v_at_0 = @ +am__v_at_1 = DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir) depcomp = $(SHELL) $(top_srcdir)/config/depcomp am__depfiles_maybe = depfiles am__mv = mv -f COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \ $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -LTCOMPILE = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \ - --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \ - $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) +LTCOMPILE = $(LIBTOOL) $(AM_V_lt) --tag=CC $(AM_LIBTOOLFLAGS) \ + $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) \ + $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) \ + $(AM_CFLAGS) $(CFLAGS) +AM_V_CC = $(am__v_CC_@AM_V@) +am__v_CC_ = $(am__v_CC_@AM_DEFAULT_V@) +am__v_CC_0 = @echo " CC " $@; +am__v_CC_1 = CCLD = $(CC) -LINK = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \ - --mode=link $(CCLD) $(AM_CFLAGS) $(CFLAGS) $(AM_LDFLAGS) \ - $(LDFLAGS) -o $@ +LINK = $(LIBTOOL) $(AM_V_lt) --tag=CC $(AM_LIBTOOLFLAGS) \ + $(LIBTOOLFLAGS) --mode=link $(CCLD) $(AM_CFLAGS) $(CFLAGS) \ + $(AM_LDFLAGS) $(LDFLAGS) -o $@ +AM_V_CCLD = $(am__v_CCLD_@AM_V@) +am__v_CCLD_ = $(am__v_CCLD_@AM_DEFAULT_V@) +am__v_CCLD_0 = @echo " CCLD " $@; +am__v_CCLD_1 = SOURCES = $(libfko_la_SOURCES) DIST_SOURCES = $(libfko_la_SOURCES) am__can_run_installinfo = \ @@ -130,11 +182,29 @@ *) (install-info --version) >/dev/null 2>&1;; \ esac HEADERS = $(include_HEADERS) +am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP) +# Read a list of newline-separated strings from the standard input, +# and print each of them once, without duplicates. Input order is +# *not* preserved. +am__uniquify_input = $(AWK) '\ + BEGIN { nonempty = 0; } \ + { items[$$0] = 1; nonempty = 1; } \ + END { if (nonempty) { for (i in items) print i; }; } \ +' +# Make sure the list of sources is unique. This is necessary because, +# e.g., the same source file might be shared among _SOURCES variables +# for different programs/libraries. +am__define_uniq_tagged_files = \ + list='$(am__tagged_files)'; \ + unique=`for i in $$list; do \ + if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \ + done | $(am__uniquify_input)` ETAGS = etags CTAGS = ctags DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST) ACLOCAL = @ACLOCAL@ AMTAR = @AMTAR@ +AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@ AR = @AR@ AUTOCONF = @AUTOCONF@ AUTOHEADER = @AUTOHEADER@ @@ -270,7 +340,7 @@ gpgme_funcs.c gpgme_funcs.h strlcpy.c strlcat.c fko_util.c fko_util.h libfko_la_SOURCES = $(libfko_source_files) -libfko_la_LDFLAGS = -version-info 2:0:0 $(GPGME_LIBS) -export-symbols-regex '^fko_' +libfko_la_LDFLAGS = -version-info 2:1:0 $(GPGME_LIBS) -export-symbols-regex '^fko_' AM_CPPFLAGS = $(GPGME_CFLAGS) -I $(top_srcdir)/common include_HEADERS = fko.h all: all-am @@ -307,6 +377,7 @@ $(ACLOCAL_M4): $(am__aclocal_m4_deps) cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh $(am__aclocal_m4_deps): + install-libLTLIBRARIES: $(lib_LTLIBRARIES) @$(NORMAL_INSTALL) @list='$(lib_LTLIBRARIES)'; test -n "$(libdir)" || list=; \ @@ -333,14 +404,17 @@ clean-libLTLIBRARIES: -test -z "$(lib_LTLIBRARIES)" || rm -f $(lib_LTLIBRARIES) - @list='$(lib_LTLIBRARIES)'; for p in $$list; do \ - dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \ - test "$$dir" != "$$p" || dir=.; \ - echo "rm -f \"$${dir}/so_locations\""; \ - rm -f "$${dir}/so_locations"; \ - done + @list='$(lib_LTLIBRARIES)'; \ + locs=`for p in $$list; do echo $$p; done | \ + sed 's|^[^/]*$$|.|; s|/[^/]*$$||; s|$$|/so_locations|' | \ + sort -u`; \ + test -z "$$locs" || { \ + echo rm -f $${locs}; \ + rm -f $${locs}; \ + } + libfko.la: $(libfko_la_OBJECTS) $(libfko_la_DEPENDENCIES) $(EXTRA_libfko_la_DEPENDENCIES) - $(libfko_la_LINK) -rpath $(libdir) $(libfko_la_OBJECTS) $(libfko_la_LIBADD) $(LIBS) + $(AM_V_CCLD)$(libfko_la_LINK) -rpath $(libdir) $(libfko_la_OBJECTS) $(libfko_la_LIBADD) $(LIBS) mostlyclean-compile: -rm -f *.$(OBJEXT) @@ -376,25 +450,25 @@ @AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/strlcpy.Plo@am__quote@ .c.o: -@am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $< -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$<' object='$@' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $< +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(COMPILE) -c $< +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(COMPILE) -c $< .c.obj: -@am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'` -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$<' object='$@' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'` +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(COMPILE) -c `$(CYGPATH_W) '$<'` +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(COMPILE) -c `$(CYGPATH_W) '$<'` .c.lo: -@am__fastdepCC_TRUE@ $(LTCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $< -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(LTCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $< +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(LTCOMPILE) -c -o $@ $< +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(LTCOMPILE) -c -o $@ $< mostlyclean-libtool: -rm -f *.lo @@ -423,26 +497,15 @@ files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \ dir='$(DESTDIR)$(includedir)'; $(am__uninstall_files_from_dir) -ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES) - list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \ - unique=`for i in $$list; do \ - if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \ - done | \ - $(AWK) '{ files[$$0] = 1; nonempty = 1; } \ - END { if (nonempty) { for (i in files) print i; }; }'`; \ - mkid -fID $$unique -tags: TAGS +ID: $(am__tagged_files) + $(am__define_uniq_tagged_files); mkid -fID $$unique +tags: tags-am +TAGS: tags -TAGS: $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \ - $(TAGS_FILES) $(LISP) +tags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files) set x; \ here=`pwd`; \ - list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \ - unique=`for i in $$list; do \ - if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \ - done | \ - $(AWK) '{ files[$$0] = 1; nonempty = 1; } \ - END { if (nonempty) { for (i in files) print i; }; }'`; \ + $(am__define_uniq_tagged_files); \ shift; \ if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \ test -n "$$unique" || unique=$$empty_fix; \ @@ -454,15 +517,11 @@ $$unique; \ fi; \ fi -ctags: CTAGS -CTAGS: $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \ - $(TAGS_FILES) $(LISP) - list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \ - unique=`for i in $$list; do \ - if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \ - done | \ - $(AWK) '{ files[$$0] = 1; nonempty = 1; } \ - END { if (nonempty) { for (i in files) print i; }; }'`; \ +ctags: ctags-am + +CTAGS: ctags +ctags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files) + $(am__define_uniq_tagged_files); \ test -z "$(CTAGS_ARGS)$$unique" \ || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \ $$unique @@ -471,6 +530,21 @@ here=`$(am__cd) $(top_builddir) && pwd` \ && $(am__cd) $(top_srcdir) \ && gtags -i $(GTAGS_ARGS) "$$here" +cscopelist: cscopelist-am + +cscopelist-am: $(am__tagged_files) + list='$(am__tagged_files)'; \ + case "$(srcdir)" in \ + [\\/]* | ?:[\\/]*) sdir="$(srcdir)" ;; \ + *) sdir=$(subdir)/$(srcdir) ;; \ + esac; \ + for i in $$list; do \ + if test -f "$$i"; then \ + echo "$(subdir)/$$i"; \ + else \ + echo "$$sdir/$$i"; \ + fi; \ + done >> $(top_builddir)/cscope.files distclean-tags: -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags @@ -615,19 +689,20 @@ .MAKE: install-am install-strip -.PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \ - clean-libLTLIBRARIES clean-libtool ctags distclean \ - distclean-compile distclean-generic distclean-libtool \ - distclean-tags distdir dvi dvi-am html html-am info info-am \ - install install-am install-data install-data-am install-dvi \ - install-dvi-am install-exec install-exec-am install-html \ - install-html-am install-includeHEADERS install-info \ - install-info-am install-libLTLIBRARIES install-man install-pdf \ - install-pdf-am install-ps install-ps-am install-strip \ - installcheck installcheck-am installdirs maintainer-clean \ +.PHONY: CTAGS GTAGS TAGS all all-am check check-am clean clean-generic \ + clean-libLTLIBRARIES clean-libtool cscopelist-am ctags \ + ctags-am distclean distclean-compile distclean-generic \ + distclean-libtool distclean-tags distdir dvi dvi-am html \ + html-am info info-am install install-am install-data \ + install-data-am install-dvi install-dvi-am install-exec \ + install-exec-am install-html install-html-am \ + install-includeHEADERS install-info install-info-am \ + install-libLTLIBRARIES install-man install-pdf install-pdf-am \ + install-ps install-ps-am install-strip installcheck \ + installcheck-am installdirs maintainer-clean \ maintainer-clean-generic mostlyclean mostlyclean-compile \ mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \ - tags uninstall uninstall-am uninstall-includeHEADERS \ + tags tags-am uninstall uninstall-am uninstall-includeHEADERS \ uninstall-libLTLIBRARIES diff -Nru fwknop-2.5.1/lib/base64.c fwknop-2.6.0/lib/base64.c --- fwknop-2.5.1/lib/base64.c 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/lib/base64.c 2014-01-13 03:29:04.000000000 +0000 @@ -88,7 +88,7 @@ char *dst = out; - if (in_len) { /* Special edge case, what should we really do here? */ + if (in_len > 0) { /* Special edge case, what should we really do here? */ while (bytes_remaining) { i_bits = (i_bits << 8) + *in++; bytes_remaining--; diff -Nru fwknop-2.5.1/lib/cipher_funcs.c fwknop-2.6.0/lib/cipher_funcs.c --- fwknop-2.5.1/lib/cipher_funcs.c 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/lib/cipher_funcs.c 2014-01-13 03:29:04.000000000 +0000 @@ -331,6 +331,13 @@ { char *tbuf; + /* We only add the base64 encoded salt to data that is already base64 + * encoded + */ + if(is_base64((unsigned char *)ctx->encrypted_msg, + ctx->encrypted_msg_len) == 0) + return(FKO_ERROR_INVALID_DATA_ENCODE_NOTBASE64); + if(constant_runtime_cmp(ctx->encrypted_msg, B64_RIJNDAEL_SALT, B64_RIJNDAEL_SALT_STR_LEN) != 0) { @@ -367,6 +374,13 @@ { char *tbuf; + /* We only add the base64 encoded salt to data that is already base64 + * encoded + */ + if(is_base64((unsigned char *)ctx->encrypted_msg, + ctx->encrypted_msg_len) == 0) + return(FKO_ERROR_INVALID_DATA_ENCODE_NOTBASE64); + if(constant_runtime_cmp(ctx->encrypted_msg, B64_GPG_PREFIX, B64_GPG_PREFIX_STR_LEN) != 0) { diff -Nru fwknop-2.5.1/lib/fko.h fwknop-2.6.0/lib/fko.h --- fwknop-2.5.1/lib/fko.h 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/lib/fko.h 2014-01-13 03:29:04.000000000 +0000 @@ -53,7 +53,7 @@ /* General params */ -#define FKO_PROTOCOL_VERSION "2.0" /* The fwknop protocol version */ +#define FKO_PROTOCOL_VERSION "2.0.1" /* The fwknop protocol version */ /* Supported FKO Message types... */ @@ -130,7 +130,97 @@ FKO_ERROR_CTX_NOT_INITIALIZED, FKO_ERROR_MEMORY_ALLOCATION, FKO_ERROR_FILESYSTEM_OPERATION, + + /* Invalid data errors */ FKO_ERROR_INVALID_DATA, + FKO_ERROR_INVALID_DATA_CLIENT_TIMEOUT_NEGATIVE, + FKO_ERROR_INVALID_DATA_DECODE_MSGLEN_VALIDFAIL, + FKO_ERROR_INVALID_DATA_DECODE_NON_ASCII, + FKO_ERROR_INVALID_DATA_DECODE_LT_MIN_FIELDS, + FKO_ERROR_INVALID_DATA_DECODE_ENC_MSG_LEN_MT_T_SIZE, + FKO_ERROR_INVALID_DATA_DECODE_RAND_MISSING, + FKO_ERROR_INVALID_DATA_DECODE_USERNAME_MISSING, + FKO_ERROR_INVALID_DATA_DECODE_USERNAME_TOOBIG, + FKO_ERROR_INVALID_DATA_DECODE_USERNAME_DECODEFAIL, + FKO_ERROR_INVALID_DATA_DECODE_USERNAME_VALIDFAIL, + FKO_ERROR_INVALID_DATA_DECODE_TIMESTAMP_MISSING, + FKO_ERROR_INVALID_DATA_DECODE_TIMESTAMP_TOOBIG, + FKO_ERROR_INVALID_DATA_DECODE_TIMESTAMP_DECODEFAIL, + FKO_ERROR_INVALID_DATA_DECODE_VERSION_MISSING, + FKO_ERROR_INVALID_DATA_DECODE_VERSION_TOOBIG, + FKO_ERROR_INVALID_DATA_DECODE_MSGTYPE_MISSING, + FKO_ERROR_INVALID_DATA_DECODE_MSGTYPE_TOOBIG, + FKO_ERROR_INVALID_DATA_DECODE_MSGTYPE_DECODEFAIL, + FKO_ERROR_INVALID_DATA_DECODE_MESSAGE_MISSING, + FKO_ERROR_INVALID_DATA_DECODE_MESSAGE_TOOBIG, + FKO_ERROR_INVALID_DATA_DECODE_MESSAGE_DECODEFAIL, + FKO_ERROR_INVALID_DATA_DECODE_MESSAGE_VALIDFAIL, + FKO_ERROR_INVALID_DATA_DECODE_ACCESS_VALIDFAIL, + FKO_ERROR_INVALID_DATA_DECODE_NATACCESS_MISSING, + FKO_ERROR_INVALID_DATA_DECODE_NATACCESS_TOOBIG, + FKO_ERROR_INVALID_DATA_DECODE_NATACCESS_DECODEFAIL, + FKO_ERROR_INVALID_DATA_DECODE_NATACCESS_VALIDFAIL, + FKO_ERROR_INVALID_DATA_DECODE_SRVAUTH_MISSING, + FKO_ERROR_INVALID_DATA_DECODE_SRVAUTH_DECODEFAIL, + FKO_ERROR_INVALID_DATA_DECODE_SPA_EXTRA_TOOBIG, + FKO_ERROR_INVALID_DATA_DECODE_EXTRA_TOOBIG, + FKO_ERROR_INVALID_DATA_DECODE_EXTRA_DECODEFAIL, + FKO_ERROR_INVALID_DATA_DECODE_TIMEOUT_MISSING, + FKO_ERROR_INVALID_DATA_DECODE_TIMEOUT_TOOBIG, + FKO_ERROR_INVALID_DATA_DECODE_TIMEOUT_VALIDFAIL, + FKO_ERROR_INVALID_DATA_DECODE_TIMEOUT_DECODEFAIL, + FKO_ERROR_INVALID_DATA_ENCODE_MESSAGE_TOOBIG, + FKO_ERROR_INVALID_DATA_ENCODE_MSGLEN_VALIDFAIL, + FKO_ERROR_INVALID_DATA_ENCODE_DIGEST_VALIDFAIL, + FKO_ERROR_INVALID_DATA_ENCODE_DIGEST_TOOBIG, + FKO_ERROR_INVALID_DATA_ENCODE_NOTBASE64, + FKO_ERROR_INVALID_DATA_ENCRYPT_MSGLEN_VALIDFAIL, + FKO_ERROR_INVALID_DATA_ENCRYPT_DIGESTLEN_VALIDFAIL, + FKO_ERROR_INVALID_DATA_ENCRYPT_PTLEN_VALIDFAIL, + FKO_ERROR_INVALID_DATA_ENCRYPT_RESULT_MSGLEN_VALIDFAIL, + FKO_ERROR_INVALID_DATA_ENCRYPT_CIPHERLEN_DECODEFAIL, + FKO_ERROR_INVALID_DATA_ENCRYPT_CIPHERLEN_VALIDFAIL, + FKO_ERROR_INVALID_DATA_ENCRYPT_DECRYPTED_MESSAGE_MISSING, + FKO_ERROR_INVALID_DATA_ENCRYPT_DECRYPTED_MSGLEN_VALIDFAIL, + FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_MESSAGE_VALIDFAIL, + FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_DIGEST_VALIDFAIL, + FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_MSGLEN_VALIDFAIL, + FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_RESULT_MSGLEN_VALIDFAIL, + FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_CIPHER_DECODEFAIL, + FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_ENCODEDMSG_NULL, + FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_ENCODEDMSGLEN_VALIDFAIL, + FKO_ERROR_INVALID_DATA_ENCRYPT_TYPE_VALIDFAIL, + FKO_ERROR_INVALID_DATA_ENCRYPT_MODE_VALIDFAIL, + FKO_ERROR_INVALID_DATA_ENCRYPT_TYPE_UNKNOWN, + FKO_ERROR_INVALID_DATA_FUNCS_NEW_ENCMSG_MISSING, + FKO_ERROR_INVALID_DATA_FUNCS_NEW_MSGLEN_VALIDFAIL, + FKO_ERROR_INVALID_DATA_FUNCS_GEN_KEYLEN_VALIDFAIL, + FKO_ERROR_INVALID_DATA_FUNCS_GEN_HMACLEN_VALIDFAIL, + FKO_ERROR_INVALID_DATA_FUNCS_GEN_KEY_ENCODEFAIL, + FKO_ERROR_INVALID_DATA_FUNCS_GEN_HMAC_ENCODEFAIL, + FKO_ERROR_INVALID_DATA_FUNCS_SET_MSGLEN_VALIDFAIL, + FKO_ERROR_INVALID_DATA_HMAC_MSGLEN_VALIDFAIL, + FKO_ERROR_INVALID_DATA_HMAC_ENCMSGLEN_VALIDFAIL, + FKO_ERROR_INVALID_DATA_HMAC_COMPAREFAIL, + FKO_ERROR_INVALID_DATA_HMAC_TYPE_VALIDFAIL, + FKO_ERROR_INVALID_DATA_HMAC_LEN_VALIDFAIL, + FKO_ERROR_INVALID_DATA_MESSAGE_PORT_MISSING, + FKO_ERROR_INVALID_DATA_MESSAGE_TYPE_VALIDFAIL, + FKO_ERROR_INVALID_DATA_MESSAGE_EMPTY, + FKO_ERROR_INVALID_DATA_MESSAGE_CMD_MISSING, + FKO_ERROR_INVALID_DATA_MESSAGE_ACCESS_MISSING, + FKO_ERROR_INVALID_DATA_MESSAGE_NAT_MISSING, + FKO_ERROR_INVALID_DATA_MESSAGE_PORTPROTO_MISSING, + FKO_ERROR_INVALID_DATA_NAT_EMPTY, + FKO_ERROR_INVALID_DATA_RAND_LEN_VALIDFAIL, + FKO_ERROR_INVALID_DATA_SRVAUTH_MISSING, + FKO_ERROR_INVALID_DATA_TIMESTAMP_VALIDFAIL, + FKO_ERROR_INVALID_DATA_USER_MISSING, + FKO_ERROR_INVALID_DATA_USER_FIRSTCHAR_VALIDFAIL, + FKO_ERROR_INVALID_DATA_USER_REMCHAR_VALIDFAIL, + FKO_ERROR_INVALID_DATA_UTIL_STRTOL_LT_MIN, + FKO_ERROR_INVALID_DATA_UTIL_STROL_GT_MAX, + FKO_ERROR_DATA_TOO_LARGE, FKO_ERROR_INVALID_KEY_LEN, FKO_ERROR_USERNAME_UNKNOWN, @@ -152,7 +242,9 @@ FKO_ERROR_ZERO_OUT_DATA, FKO_ERROR_UNKNOWN, - /* Start GPGME-related errors */ + /* Start GPGME-related errors (NOTE: Do not put non-GPG-related error + * below this point). + */ GPGME_ERR_START, FKO_ERROR_MISSING_GPG_KEY_DATA, FKO_ERROR_GPGME_NO_OPENPGP, @@ -245,7 +337,6 @@ DLL_API int fko_spa_data_final(fko_ctx_t ctx, const char * const enc_key, const int enc_key_len, const char * const hmac_key, const int hmac_key_len); - /* Set context data functions */ DLL_API int fko_set_rand_value(fko_ctx_t ctx, const char * const val); @@ -289,7 +380,6 @@ DLL_API int fko_get_encoded_data(fko_ctx_t ctx, char **enc_data); - /* Get context data functions */ DLL_API int fko_get_rand_value(fko_ctx_t ctx, char **rand_val); @@ -311,7 +401,8 @@ DLL_API int fko_get_version(fko_ctx_t ctx, char **version); -/* GPG-related functions */ +/* GPG-related functions +*/ DLL_API int fko_set_gpg_exe(fko_ctx_t ctx, const char * const gpg_exe); DLL_API int fko_get_gpg_exe(fko_ctx_t ctx, char **gpg_exe); diff -Nru fwknop-2.5.1/lib/fko_client_timeout.c fwknop-2.6.0/lib/fko_client_timeout.c --- fwknop-2.5.1/lib/fko_client_timeout.c 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/lib/fko_client_timeout.c 2014-01-13 03:29:04.000000000 +0000 @@ -46,7 +46,7 @@ /* Gotta have a valid string. */ if(timeout < 0) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_CLIENT_TIMEOUT_NEGATIVE); old_msg_type = ctx->message_type; @@ -108,6 +108,9 @@ if(!CTX_INITIALIZED(ctx)) return(FKO_ERROR_CTX_NOT_INITIALIZED); + if(timeout == NULL) + return(FKO_ERROR_INVALID_DATA); + *timeout = ctx->client_timeout; return(FKO_SUCCESS); diff -Nru fwknop-2.5.1/lib/fko_decode.c fwknop-2.6.0/lib/fko_decode.c --- fwknop-2.5.1/lib/fko_decode.c 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/lib/fko_decode.c 2014-01-13 03:29:04.000000000 +0000 @@ -43,13 +43,13 @@ int t_size, i, is_err; if (! is_valid_encoded_msg_len(ctx->encoded_msg_len)) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_DECODE_MSGLEN_VALIDFAIL); /* Make sure there are no non-ascii printable chars */ for (i=0; i < (int)strnlen(ctx->encoded_msg, MAX_SPA_ENCODED_MSG_SIZE); i++) if(isprint(ctx->encoded_msg[i]) == 0) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_DECODE_NON_ASCII); /* Make sure there are enough fields in the SPA packet * delimited with ':' chars @@ -65,7 +65,7 @@ } if (i < MIN_SPA_FIELDS) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_DECODE_LT_MIN_FIELDS); t_size = strnlen(ndx, SHA512_B64_LEN+1); @@ -101,7 +101,7 @@ } if (ctx->encoded_msg_len - t_size < 0) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_DECODE_ENC_MSG_LEN_MT_T_SIZE); if(ctx->digest != NULL) free(ctx->digest); @@ -170,7 +170,7 @@ if((t_size = strcspn(ndx, ":")) < FKO_RAND_VAL_SIZE) { free(tbuf); - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_DECODE_RAND_MISSING); } if(ctx->rand_val != NULL) @@ -191,13 +191,13 @@ if((t_size = strcspn(ndx, ":")) < 1) { free(tbuf); - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_DECODE_USERNAME_MISSING); } if (t_size > MAX_SPA_USERNAME_SIZE) { free(tbuf); - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_DECODE_USERNAME_TOOBIG); } strlcpy(tbuf, ndx, t_size+1); @@ -215,12 +215,12 @@ if(b64_decode(tbuf, (unsigned char*)ctx->username) < 0) { free(tbuf); - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_DECODE_USERNAME_DECODEFAIL); } if(validate_username(ctx->username) != FKO_SUCCESS) { free(tbuf); - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_DECODE_USERNAME_VALIDFAIL); } /* Extract the timestamp value. @@ -229,13 +229,13 @@ if((t_size = strcspn(ndx, ":")) < 1) { free(tbuf); - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_DECODE_TIMESTAMP_MISSING); } if (t_size > MAX_SPA_TIMESTAMP_SIZE) { free(tbuf); - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_DECODE_TIMESTAMP_TOOBIG); } strlcpy(tbuf, ndx, t_size+1); @@ -245,7 +245,7 @@ if(is_err != FKO_SUCCESS) { free(tbuf); - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_DECODE_TIMESTAMP_DECODEFAIL); } /* Extract the version string. @@ -254,13 +254,13 @@ if((t_size = strcspn(ndx, ":")) < 1) { free(tbuf); - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_DECODE_VERSION_MISSING); } if (t_size > MAX_SPA_VERSION_SIZE) { free(tbuf); - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_DECODE_VERSION_TOOBIG); } if(ctx->version != NULL) @@ -281,13 +281,13 @@ if((t_size = strcspn(ndx, ":")) < 1) { free(tbuf); - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_DECODE_MSGTYPE_MISSING); } if (t_size > MAX_SPA_MESSAGE_TYPE_SIZE) { free(tbuf); - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_DECODE_MSGTYPE_TOOBIG); } strlcpy(tbuf, ndx, t_size+1); @@ -297,7 +297,7 @@ if(is_err != FKO_SUCCESS) { free(tbuf); - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_DECODE_MSGTYPE_DECODEFAIL); } /* Extract the SPA message string. @@ -306,13 +306,13 @@ if((t_size = strcspn(ndx, ":")) < 1) { free(tbuf); - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_DECODE_MESSAGE_MISSING); } if (t_size > MAX_SPA_MESSAGE_SIZE) { free(tbuf); - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_DECODE_MESSAGE_TOOBIG); } strlcpy(tbuf, ndx, t_size+1); @@ -330,7 +330,7 @@ if(b64_decode(tbuf, (unsigned char*)ctx->message) < 0) { free(tbuf); - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_DECODE_MESSAGE_DECODEFAIL); } if(ctx->message_type == FKO_COMMAND_MSG) @@ -340,7 +340,7 @@ if(validate_cmd_msg(ctx->message) != FKO_SUCCESS) { free(tbuf); - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_DECODE_MESSAGE_VALIDFAIL); } } else @@ -350,7 +350,7 @@ if(validate_access_msg(ctx->message) != FKO_SUCCESS) { free(tbuf); - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_DECODE_ACCESS_VALIDFAIL); } } @@ -365,13 +365,13 @@ if((t_size = strcspn(ndx, ":")) < 1) { free(tbuf); - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_DECODE_NATACCESS_MISSING); } if (t_size > MAX_SPA_MESSAGE_SIZE) { free(tbuf); - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_DECODE_NATACCESS_TOOBIG); } strlcpy(tbuf, ndx, t_size+1); @@ -389,13 +389,13 @@ if(b64_decode(tbuf, (unsigned char*)ctx->nat_access) < 0) { free(tbuf); - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_DECODE_NATACCESS_DECODEFAIL); } if(validate_nat_access_msg(ctx->nat_access) != FKO_SUCCESS) { free(tbuf); - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_DECODE_NATACCESS_VALIDFAIL); } } @@ -407,7 +407,7 @@ if (t_size > MAX_SPA_MESSAGE_SIZE) { free(tbuf); - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_DECODE_SRVAUTH_MISSING); } /* There is data, but what is it? @@ -433,7 +433,7 @@ if(b64_decode(tbuf, (unsigned char*)ctx->server_auth) < 0) { free(tbuf); - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_DECODE_SRVAUTH_DECODEFAIL); } /* At this point we should be done. @@ -460,7 +460,7 @@ if (t_size > MAX_SPA_MESSAGE_SIZE) { free(tbuf); - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_DECODE_EXTRA_TOOBIG); } /* Looks like we have both, so assume this is the @@ -480,7 +480,7 @@ if(b64_decode(tbuf, (unsigned char*)ctx->server_auth) < 0) { free(tbuf); - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_DECODE_EXTRA_DECODEFAIL); } ndx += t_size + 1; @@ -495,12 +495,12 @@ if((t_size = strlen(ndx)) < 1) { free(tbuf); - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_DECODE_TIMEOUT_MISSING); } if (t_size > MAX_SPA_MESSAGE_SIZE) { free(tbuf); - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_DECODE_TIMEOUT_TOOBIG); } /* Should be a number only. @@ -508,7 +508,7 @@ if(strspn(ndx, "0123456789") != t_size) { free(tbuf); - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_DECODE_TIMEOUT_VALIDFAIL); } ctx->client_timeout = (unsigned int) strtol_wrapper(ndx, 0, @@ -516,7 +516,7 @@ if(is_err != FKO_SUCCESS) { free(tbuf); - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_DECODE_TIMEOUT_DECODEFAIL); } } } diff -Nru fwknop-2.5.1/lib/fko_digest.c fwknop-2.6.0/lib/fko_digest.c --- fwknop-2.5.1/lib/fko_digest.c 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/lib/fko_digest.c 2014-01-13 03:29:04.000000000 +0000 @@ -46,7 +46,7 @@ return(FKO_ERROR_CTX_NOT_INITIALIZED); if(digest_type < 1 || digest_type >= FKO_LAST_DIGEST_TYPE) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_ENCODE_DIGEST_VALIDFAIL); *digest_type_field = digest_type; @@ -77,6 +77,9 @@ if(!CTX_INITIALIZED(ctx)) return(FKO_ERROR_CTX_NOT_INITIALIZED); + if(digest_type == NULL) + return(FKO_ERROR_INVALID_DATA); + *digest_type = ctx->digest_type; return(FKO_SUCCESS); @@ -106,7 +109,7 @@ data_len = strnlen(data, MAX_SPA_ENCODED_MSG_SIZE); if(data_len == MAX_SPA_ENCODED_MSG_SIZE) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_ENCODE_DIGEST_TOOBIG); switch(digest_type) { @@ -217,6 +220,9 @@ if(!CTX_INITIALIZED(ctx)) return(FKO_ERROR_CTX_NOT_INITIALIZED); + if(md == NULL) + return(FKO_ERROR_INVALID_DATA); + *md = ctx->digest; return(FKO_SUCCESS); diff -Nru fwknop-2.5.1/lib/fko_encode.c fwknop-2.6.0/lib/fko_encode.c --- fwknop-2.5.1/lib/fko_encode.c 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/lib/fko_encode.c 2014-01-13 03:29:04.000000000 +0000 @@ -45,7 +45,7 @@ char *bs; if(len >= MAX_SPA_ENCODED_MSG_SIZE) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_ENCODE_MESSAGE_TOOBIG); bs = malloc(((len/3)*4)+8); if(bs == NULL) @@ -201,7 +201,7 @@ ctx->encoded_msg_len = strnlen(ctx->encoded_msg, MAX_SPA_ENCODED_MSG_SIZE); if(! is_valid_encoded_msg_len(ctx->encoded_msg_len)) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_ENCODE_MSGLEN_VALIDFAIL); /* At this point we can compute the digest for this SPA data. */ @@ -230,6 +230,9 @@ if(!CTX_INITIALIZED(ctx)) return(FKO_ERROR_CTX_NOT_INITIALIZED); + if(enc_msg == NULL) + return(FKO_ERROR_INVALID_DATA); + *enc_msg = ctx->encoded_msg; return(FKO_SUCCESS); diff -Nru fwknop-2.5.1/lib/fko_encryption.c fwknop-2.6.0/lib/fko_encryption.c --- fwknop-2.5.1/lib/fko_encryption.c 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/lib/fko_encryption.c 2014-01-13 03:29:04.000000000 +0000 @@ -53,11 +53,11 @@ int pt_len; int zero_free_rv = FKO_SUCCESS; - if(enc_key_len > RIJNDAEL_MAX_KEYSIZE) + if(enc_key_len < 0 || enc_key_len > RIJNDAEL_MAX_KEYSIZE) return(FKO_ERROR_INVALID_KEY_LEN); if (! is_valid_encoded_msg_len(ctx->encoded_msg_len)) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_ENCRYPT_MSGLEN_VALIDFAIL); switch(ctx->digest_len) { @@ -72,7 +72,7 @@ case SHA512_B64_LEN: break; default: - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_ENCRYPT_DIGESTLEN_VALIDFAIL); } pt_len = ctx->encoded_msg_len + ctx->digest_len + RIJNDAEL_BLOCKSIZE + 2; @@ -90,7 +90,7 @@ if(! is_valid_pt_msg_len(pt_len)) { if(zero_free(plaintext, pt_len) == FKO_SUCCESS) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_ENCRYPT_PTLEN_VALIDFAIL); else return(FKO_ERROR_ZERO_OUT_DATA); } @@ -150,7 +150,7 @@ return(FKO_ERROR_MEMORY_ALLOCATION); if(! is_valid_encoded_msg_len(ctx->encrypted_msg_len)) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_ENCRYPT_RESULT_MSGLEN_VALIDFAIL); return(zero_free_rv); } @@ -166,7 +166,7 @@ int cipher_len, pt_len, i, err = 0, res = FKO_SUCCESS; int zero_free_rv = FKO_SUCCESS; - if(key_len > RIJNDAEL_MAX_KEYSIZE) + if(key_len < 0 || key_len > RIJNDAEL_MAX_KEYSIZE) return(FKO_ERROR_INVALID_KEY_LEN); /* Now see if we need to add the "Salted__" string to the front of the @@ -189,7 +189,7 @@ if((cipher_len = b64_decode(ctx->encrypted_msg, cipher)) < 0) { if(zero_free((char *)cipher, ctx->encrypted_msg_len) == FKO_SUCCESS) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_ENCRYPT_CIPHERLEN_DECODEFAIL); else return(FKO_ERROR_ZERO_OUT_DATA); } @@ -200,7 +200,7 @@ if((cipher_len % RIJNDAEL_BLOCKSIZE) != 0) { if(zero_free((char *)cipher, ctx->encrypted_msg_len) == FKO_SUCCESS) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_ENCRYPT_CIPHERLEN_VALIDFAIL); else return(FKO_ERROR_ZERO_OUT_DATA); } @@ -236,10 +236,10 @@ return(FKO_ERROR_DECRYPTION_SIZE); if(ctx->encoded_msg == NULL) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_ENCODEDMSG_NULL); if(! is_valid_encoded_msg_len(pt_len)) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_ENCODEDMSGLEN_VALIDFAIL); if(zero_free_rv != FKO_SUCCESS) return(zero_free_rv); @@ -281,7 +281,7 @@ char *empty_key = ""; if (! is_valid_encoded_msg_len(ctx->encoded_msg_len)) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_MESSAGE_VALIDFAIL); switch(ctx->digest_len) { @@ -296,7 +296,7 @@ case SHA512_B64_LEN: break; default: - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_DIGEST_VALIDFAIL); } /* First make sure we have a recipient key set. @@ -318,7 +318,7 @@ if(! is_valid_pt_msg_len(pt_len)) { if(zero_free(plain, pt_len) == FKO_SUCCESS) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_MSGLEN_VALIDFAIL); else return(FKO_ERROR_ZERO_OUT_DATA); } @@ -396,7 +396,7 @@ return(FKO_ERROR_MEMORY_ALLOCATION); if(! is_valid_encoded_msg_len(ctx->encrypted_msg_len)) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_RESULT_MSGLEN_VALIDFAIL); return(zero_free_rv); } @@ -426,7 +426,7 @@ if((b64_decode_len = b64_decode(ctx->encrypted_msg, cipher)) < 0) { if(zero_free((char *) cipher, ctx->encrypted_msg_len) == FKO_SUCCESS) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_CIPHER_DECODEFAIL); else return(FKO_ERROR_ZERO_OUT_DATA); @@ -461,10 +461,10 @@ pt_len = strnlen(ctx->encoded_msg, MAX_SPA_ENCODED_MSG_SIZE); if(ctx->encoded_msg == NULL) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_ENCRYPT_DECRYPTED_MESSAGE_MISSING); if(! is_valid_encoded_msg_len(pt_len)) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_ENCRYPT_DECRYPTED_MSGLEN_VALIDFAIL); ctx->encoded_msg_len = pt_len; @@ -486,7 +486,7 @@ return(FKO_ERROR_CTX_NOT_INITIALIZED); if(encrypt_type < 0 || encrypt_type >= FKO_LAST_ENCRYPTION_TYPE) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_ENCRYPT_TYPE_VALIDFAIL); ctx->encryption_type = encrypt_type; @@ -521,7 +521,7 @@ return(FKO_ERROR_CTX_NOT_INITIALIZED); if(encrypt_mode < 0 || encrypt_mode >= FKO_LAST_ENC_MODE) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_ENCRYPT_MODE_VALIDFAIL); ctx->encryption_mode = encrypt_mode; @@ -540,6 +540,9 @@ if(!CTX_INITIALIZED(ctx)) return(FKO_ERROR_CTX_NOT_INITIALIZED); + if(enc_mode == NULL) + return(FKO_ERROR_INVALID_DATA); + *enc_mode = ctx->encryption_mode; return(FKO_SUCCESS); @@ -558,6 +561,9 @@ if(!CTX_INITIALIZED(ctx)) return(FKO_ERROR_CTX_NOT_INITIALIZED); + if(enc_key_len < 0) + return(FKO_ERROR_INVALID_KEY_LEN); + /* If there is no encoded data or the SPA data has been modified, * go ahead and re-encode here. */ @@ -577,7 +583,11 @@ /* Encrypt according to type and return... */ if(ctx->encryption_type == FKO_ENCRYPTION_RIJNDAEL) + { + if(enc_key == NULL) + return(FKO_ERROR_INVALID_KEY_LEN); res = _rijndael_encrypt(ctx, enc_key, enc_key_len); + } else if(ctx->encryption_type == FKO_ENCRYPTION_GPG) #if HAVE_LIBGPGME res = gpg_encrypt(ctx, enc_key); @@ -600,12 +610,16 @@ if(!CTX_INITIALIZED(ctx)) return(FKO_ERROR_CTX_NOT_INITIALIZED); + if(key_len < 0) + return(FKO_ERROR_INVALID_KEY_LEN); + /* Get the (assumed) type of encryption used. This will also provide * some data validation. */ enc_type = fko_encryption_type(ctx->encrypted_msg); - if(enc_type == FKO_ENCRYPTION_GPG) + if(enc_type == FKO_ENCRYPTION_GPG + && ctx->encryption_mode == FKO_ENC_MODE_ASYMMETRIC) { ctx->encryption_type = FKO_ENCRYPTION_GPG; #if HAVE_LIBGPGME @@ -621,7 +635,7 @@ dec_key, key_len, ctx->encryption_mode); } else - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_ENCRYPT_TYPE_UNKNOWN); return(res); } diff -Nru fwknop-2.5.1/lib/fko_error.c fwknop-2.6.0/lib/fko_error.c --- fwknop-2.5.1/lib/fko_error.c 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/lib/fko_error.c 2014-01-13 03:29:04.000000000 +0000 @@ -57,6 +57,267 @@ case FKO_ERROR_INVALID_DATA: return("Args contain invalid data"); + case FKO_ERROR_INVALID_DATA_CLIENT_TIMEOUT_NEGATIVE: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_CLIENT_TIMEOUT_NEGATIVE"); + + case FKO_ERROR_INVALID_DATA_DECODE_MSGLEN_VALIDFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_DECODE_MSGLEN_VALIDFAIL"); + + case FKO_ERROR_INVALID_DATA_DECODE_NON_ASCII: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_DECODE_NON_ASCII"); + + case FKO_ERROR_INVALID_DATA_DECODE_LT_MIN_FIELDS: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_DECODE_LT_MIN_FIELDS"); + + case FKO_ERROR_INVALID_DATA_DECODE_ENC_MSG_LEN_MT_T_SIZE: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_DECODE_ENC_MSG_LEN_MT_T_SIZE"); + + case FKO_ERROR_INVALID_DATA_DECODE_RAND_MISSING: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_DECODE_RAND_MISSING"); + + case FKO_ERROR_INVALID_DATA_DECODE_USERNAME_MISSING: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_DECODE_USERNAME_MISSING"); + + case FKO_ERROR_INVALID_DATA_DECODE_USERNAME_TOOBIG: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_DECODE_USERNAME_TOOBIG"); + + case FKO_ERROR_INVALID_DATA_DECODE_USERNAME_DECODEFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_DECODE_USERNAME_DECODEFAIL"); + + case FKO_ERROR_INVALID_DATA_DECODE_USERNAME_VALIDFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_DECODE_USERNAME_VALIDFAIL"); + + case FKO_ERROR_INVALID_DATA_DECODE_TIMESTAMP_MISSING: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_DECODE_TIMESTAMP_MISSING"); + + case FKO_ERROR_INVALID_DATA_DECODE_TIMESTAMP_TOOBIG: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_DECODE_TIMESTAMP_TOOBIG"); + + case FKO_ERROR_INVALID_DATA_DECODE_TIMESTAMP_DECODEFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_DECODE_TIMESTAMP_DECODEFAIL"); + + case FKO_ERROR_INVALID_DATA_DECODE_VERSION_MISSING: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_DECODE_VERSION_MISSING"); + + case FKO_ERROR_INVALID_DATA_DECODE_VERSION_TOOBIG: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_DECODE_VERSION_TOOBIG"); + + case FKO_ERROR_INVALID_DATA_DECODE_MSGTYPE_MISSING: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_DECODE_MSGTYPE_MISSING"); + + case FKO_ERROR_INVALID_DATA_DECODE_MSGTYPE_TOOBIG: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_DECODE_MSGTYPE_TOOBIG"); + + case FKO_ERROR_INVALID_DATA_DECODE_MSGTYPE_DECODEFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_DECODE_MSGTYPE_DECODEFAIL"); + + case FKO_ERROR_INVALID_DATA_DECODE_MESSAGE_MISSING: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_DECODE_MESSAGE_MISSING"); + + case FKO_ERROR_INVALID_DATA_DECODE_MESSAGE_TOOBIG: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_DECODE_MESSAGE_TOOBIG"); + + case FKO_ERROR_INVALID_DATA_DECODE_MESSAGE_DECODEFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_DECODE_MESSAGE_DECODEFAIL"); + + case FKO_ERROR_INVALID_DATA_DECODE_MESSAGE_VALIDFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_DECODE_MESSAGE_VALIDFAIL"); + + case FKO_ERROR_INVALID_DATA_DECODE_ACCESS_VALIDFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_DECODE_ACCESS_VALIDFAIL"); + + case FKO_ERROR_INVALID_DATA_DECODE_NATACCESS_MISSING: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_DECODE_NATACCESS_MISSING"); + + case FKO_ERROR_INVALID_DATA_DECODE_NATACCESS_TOOBIG: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_DECODE_NATACCESS_TOOBIG"); + + case FKO_ERROR_INVALID_DATA_DECODE_NATACCESS_DECODEFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_DECODE_NATACCESS_DECODEFAIL"); + + case FKO_ERROR_INVALID_DATA_DECODE_NATACCESS_VALIDFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_DECODE_NATACCESS_VALIDFAIL"); + + case FKO_ERROR_INVALID_DATA_DECODE_SRVAUTH_MISSING: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_DECODE_SRVAUTH_MISSING"); + + case FKO_ERROR_INVALID_DATA_DECODE_SRVAUTH_DECODEFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_DECODE_SRVAUTH_DECODEFAIL"); + + case FKO_ERROR_INVALID_DATA_DECODE_SPA_EXTRA_TOOBIG: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_DECODE_SPA_EXTRA_TOOBIG"); + + case FKO_ERROR_INVALID_DATA_DECODE_EXTRA_TOOBIG: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_DECODE_EXTRA_TOOBIG"); + + case FKO_ERROR_INVALID_DATA_DECODE_EXTRA_DECODEFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_DECODE_EXTRA_DECODEFAIL"); + + case FKO_ERROR_INVALID_DATA_DECODE_TIMEOUT_MISSING: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_DECODE_TIMEOUT_MISSING"); + + case FKO_ERROR_INVALID_DATA_DECODE_TIMEOUT_TOOBIG: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_DECODE_TIMEOUT_TOOBIG"); + + case FKO_ERROR_INVALID_DATA_DECODE_TIMEOUT_VALIDFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_DECODE_TIMEOUT_VALIDFAIL"); + + case FKO_ERROR_INVALID_DATA_DECODE_TIMEOUT_DECODEFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_DECODE_TIMEOUT_DECODEFAIL"); + + case FKO_ERROR_INVALID_DATA_ENCODE_MESSAGE_TOOBIG: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_ENCODE_MESSAGE_TOOBIG"); + + case FKO_ERROR_INVALID_DATA_ENCODE_MSGLEN_VALIDFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_ENCODE_MSGLEN_VALIDFAIL"); + + case FKO_ERROR_INVALID_DATA_ENCODE_DIGEST_VALIDFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_ENCODE_DIGEST_VALIDFAIL"); + + case FKO_ERROR_INVALID_DATA_ENCODE_DIGEST_TOOBIG: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_ENCODE_DIGEST_TOOBIG"); + + case FKO_ERROR_INVALID_DATA_ENCODE_NOTBASE64: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_ENCODE_NOTBASE64"); + + case FKO_ERROR_INVALID_DATA_ENCRYPT_MSGLEN_VALIDFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_ENCRYPT_MSGLEN_VALIDFAIL"); + + case FKO_ERROR_INVALID_DATA_ENCRYPT_DIGESTLEN_VALIDFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_ENCRYPT_DIGESTLEN_VALIDFAIL"); + + case FKO_ERROR_INVALID_DATA_ENCRYPT_PTLEN_VALIDFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_ENCRYPT_PTLEN_VALIDFAIL"); + + case FKO_ERROR_INVALID_DATA_ENCRYPT_RESULT_MSGLEN_VALIDFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_ENCRYPT_RESULT_MSGLEN_VALIDFAIL"); + + case FKO_ERROR_INVALID_DATA_ENCRYPT_CIPHERLEN_DECODEFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_ENCRYPT_CIPHERLEN_DECODEFAIL"); + + case FKO_ERROR_INVALID_DATA_ENCRYPT_CIPHERLEN_VALIDFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_ENCRYPT_CIPHERLEN_VALIDFAIL"); + + case FKO_ERROR_INVALID_DATA_ENCRYPT_DECRYPTED_MESSAGE_MISSING: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_ENCRYPT_DECRYPTED_MESSAGE_MISSING"); + + case FKO_ERROR_INVALID_DATA_ENCRYPT_DECRYPTED_MSGLEN_VALIDFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_ENCRYPT_DECRYPTED_MSGLEN_VALIDFAIL"); + + case FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_MESSAGE_VALIDFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_MESSAGE_VALIDFAIL"); + + case FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_DIGEST_VALIDFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_DIGEST_VALIDFAIL"); + + case FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_MSGLEN_VALIDFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_MSGLEN_VALIDFAIL"); + + case FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_RESULT_MSGLEN_VALIDFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_RESULT_MSGLEN_VALIDFAIL"); + + case FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_CIPHER_DECODEFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_CIPHER_DECODEFAIL"); + + case FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_ENCODEDMSG_NULL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_ENCODEDMSG_NULL"); + + case FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_ENCODEDMSGLEN_VALIDFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_ENCODEDMSGLEN_VALIDFAIL"); + + case FKO_ERROR_INVALID_DATA_ENCRYPT_TYPE_VALIDFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_ENCRYPT_TYPE_VALIDFAIL"); + + case FKO_ERROR_INVALID_DATA_ENCRYPT_MODE_VALIDFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_ENCRYPT_MODE_VALIDFAIL"); + + case FKO_ERROR_INVALID_DATA_ENCRYPT_TYPE_UNKNOWN: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_ENCRYPT_TYPE_UNKNOWN"); + + case FKO_ERROR_INVALID_DATA_FUNCS_NEW_ENCMSG_MISSING: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_FUNCS_NEW_ENCMSG_MISSING"); + + case FKO_ERROR_INVALID_DATA_FUNCS_NEW_MSGLEN_VALIDFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_FUNCS_NEW_MSGLEN_VALIDFAIL"); + + case FKO_ERROR_INVALID_DATA_FUNCS_GEN_KEYLEN_VALIDFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_FUNCS_GEN_KEYLEN_VALIDFAIL"); + + case FKO_ERROR_INVALID_DATA_FUNCS_GEN_HMACLEN_VALIDFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_FUNCS_GEN_HMACLEN_VALIDFAIL"); + + case FKO_ERROR_INVALID_DATA_FUNCS_GEN_KEY_ENCODEFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_FUNCS_GEN_KEY_ENCODEFAIL"); + + case FKO_ERROR_INVALID_DATA_FUNCS_GEN_HMAC_ENCODEFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_FUNCS_GEN_HMAC_ENCODEFAIL"); + + case FKO_ERROR_INVALID_DATA_FUNCS_SET_MSGLEN_VALIDFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_FUNCS_SET_MSGLEN_VALIDFAIL"); + + case FKO_ERROR_INVALID_DATA_HMAC_MSGLEN_VALIDFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_HMAC_MSGLEN_VALIDFAIL"); + + case FKO_ERROR_INVALID_DATA_HMAC_ENCMSGLEN_VALIDFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_HMAC_ENCMSGLEN_VALIDFAIL"); + + case FKO_ERROR_INVALID_DATA_HMAC_COMPAREFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_HMAC_COMPAREFAIL"); + + case FKO_ERROR_INVALID_DATA_HMAC_TYPE_VALIDFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_HMAC_TYPE_VALIDFAIL"); + + case FKO_ERROR_INVALID_DATA_HMAC_LEN_VALIDFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_HMAC_LEN_VALIDFAIL"); + + case FKO_ERROR_INVALID_DATA_MESSAGE_PORT_MISSING: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_MESSAGE_PORT_MISSING"); + + case FKO_ERROR_INVALID_DATA_MESSAGE_TYPE_VALIDFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_MESSAGE_TYPE_VALIDFAIL"); + + case FKO_ERROR_INVALID_DATA_MESSAGE_EMPTY: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_MESSAGE_EMPTY"); + + case FKO_ERROR_INVALID_DATA_MESSAGE_CMD_MISSING: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_MESSAGE_CMD_MISSING"); + + case FKO_ERROR_INVALID_DATA_MESSAGE_ACCESS_MISSING: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_MESSAGE_ACCESS_MISSING"); + + case FKO_ERROR_INVALID_DATA_MESSAGE_NAT_MISSING: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_MESSAGE_NAT_MISSING"); + + case FKO_ERROR_INVALID_DATA_MESSAGE_PORTPROTO_MISSING: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_MESSAGE_PORTPROTO_MISSING"); + + case FKO_ERROR_INVALID_DATA_NAT_EMPTY: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_NAT_EMPTY"); + + case FKO_ERROR_INVALID_DATA_RAND_LEN_VALIDFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_RAND_LEN_VALIDFAIL"); + + case FKO_ERROR_INVALID_DATA_SRVAUTH_MISSING: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_SRVAUTH_MISSING"); + + case FKO_ERROR_INVALID_DATA_TIMESTAMP_VALIDFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_TIMESTAMP_VALIDFAIL"); + + case FKO_ERROR_INVALID_DATA_USER_MISSING: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_USER_MISSING"); + + case FKO_ERROR_INVALID_DATA_USER_FIRSTCHAR_VALIDFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_USER_FIRSTCHAR_VALIDFAIL"); + + case FKO_ERROR_INVALID_DATA_USER_REMCHAR_VALIDFAIL: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_USER_REMCHAR_VALIDFAIL"); + + case FKO_ERROR_INVALID_DATA_UTIL_STRTOL_LT_MIN: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_UTIL_STRTOL_LT_MIN"); + + case FKO_ERROR_INVALID_DATA_UTIL_STROL_GT_MAX: + return("Args contain invalid data: FKO_ERROR_INVALID_DATA_UTIL_STROL_GT_MAX"); + case FKO_ERROR_DATA_TOO_LARGE: return("Value or Size of the data exceeded the max allowed"); diff -Nru fwknop-2.5.1/lib/fko_funcs.c fwknop-2.6.0/lib/fko_funcs.c --- fwknop-2.5.1/lib/fko_funcs.c 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/lib/fko_funcs.c 2014-01-13 03:29:04.000000000 +0000 @@ -186,7 +186,10 @@ int enc_msg_len; if(enc_msg == NULL) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_FUNCS_NEW_ENCMSG_MISSING); + + if(dec_key_len < 0 || hmac_key_len < 0) + return(FKO_ERROR_INVALID_KEY_LEN); ctx = calloc(1, sizeof *ctx); if(ctx == NULL) @@ -197,7 +200,7 @@ if(! is_valid_encoded_msg_len(enc_msg_len)) { free(ctx); - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_FUNCS_NEW_MSGLEN_VALIDFAIL); } if(ctx->encrypted_msg != NULL) @@ -411,21 +414,21 @@ } if((klen < 1) || (klen > RIJNDAEL_MAX_KEYSIZE)) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_FUNCS_GEN_KEYLEN_VALIDFAIL); if((hmac_klen < 1) || (hmac_klen > SHA512_BLOCK_LEN)) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_FUNCS_GEN_HMACLEN_VALIDFAIL); get_random_data(key, klen); get_random_data(hmac_key, hmac_klen); b64_len = b64_encode(key, key_base64, klen); if(b64_len < klen) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_FUNCS_GEN_KEY_ENCODEFAIL); b64_len = b64_encode(hmac_key, hmac_key_base64, hmac_klen); if(b64_len < hmac_klen) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_FUNCS_GEN_HMAC_ENCODEFAIL); return(FKO_SUCCESS); } @@ -454,6 +457,9 @@ if(!CTX_INITIALIZED(ctx)) return(FKO_ERROR_CTX_NOT_INITIALIZED); + if(version == NULL) + return(FKO_ERROR_INVALID_DATA); + *version = ctx->version; return(FKO_SUCCESS); @@ -476,13 +482,21 @@ if(!CTX_INITIALIZED(ctx)) return(FKO_ERROR_CTX_NOT_INITIALIZED); + if(enc_key_len < 0) + return(FKO_ERROR_INVALID_KEY_LEN); + res = fko_encrypt_spa_data(ctx, enc_key, enc_key_len); /* Now calculate hmac if so configured */ - if (res == FKO_SUCCESS && - ctx->hmac_type != FKO_HMAC_UNKNOWN && hmac_key != NULL) + if (res == FKO_SUCCESS && ctx->hmac_type != FKO_HMAC_UNKNOWN) { + if(hmac_key_len < 0) + return(FKO_ERROR_INVALID_KEY_LEN); + + if(hmac_key == NULL) + return(FKO_ERROR_INVALID_KEY_LEN); + res = fko_set_spa_hmac(ctx, hmac_key, hmac_key_len); if (res == FKO_SUCCESS) @@ -518,6 +532,9 @@ if(!CTX_INITIALIZED(ctx)) return(FKO_ERROR_CTX_NOT_INITIALIZED); + if(spa_data == NULL) + return(FKO_ERROR_INVALID_DATA); + /* We expect to have encrypted data to process. If not, we bail. */ if(ctx->encrypted_msg == NULL || ! is_valid_encoded_msg_len( @@ -551,10 +568,13 @@ if(!CTX_INITIALIZED(ctx)) return FKO_ERROR_CTX_NOT_INITIALIZED; + if(enc_msg == NULL) + return(FKO_ERROR_INVALID_DATA_FUNCS_SET_MSGLEN_VALIDFAIL); + enc_msg_len = strnlen(enc_msg, MAX_SPA_ENCODED_MSG_SIZE); if(! is_valid_encoded_msg_len(enc_msg_len)) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_FUNCS_SET_MSGLEN_VALIDFAIL); if(ctx->encrypted_msg != NULL) free(ctx->encrypted_msg); diff -Nru fwknop-2.5.1/lib/fko_hmac.c fwknop-2.6.0/lib/fko_hmac.c --- fwknop-2.5.1/lib/fko_hmac.c 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/lib/fko_hmac.c 2014-01-13 03:29:04.000000000 +0000 @@ -48,10 +48,13 @@ if(!CTX_INITIALIZED(ctx)) return(FKO_ERROR_CTX_NOT_INITIALIZED); - if (! is_valid_encoded_msg_len(ctx->encrypted_msg_len)) + if(hmac_key == NULL) return(FKO_ERROR_INVALID_DATA); - if(hmac_key_len > MAX_DIGEST_BLOCK_LEN) + if (! is_valid_encoded_msg_len(ctx->encrypted_msg_len)) + return(FKO_ERROR_INVALID_DATA_HMAC_MSGLEN_VALIDFAIL); + + if(hmac_key_len < 0 || hmac_key_len > MAX_DIGEST_BLOCK_LEN) return(FKO_ERROR_INVALID_HMAC_KEY_LEN); if(ctx->hmac_type == FKO_HMAC_MD5) @@ -69,7 +72,7 @@ if((ctx->encrypted_msg_len - hmac_b64_digest_len) < MIN_SPA_ENCODED_MSG_SIZE) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_HMAC_ENCMSGLEN_VALIDFAIL); /* Get digest value */ @@ -146,7 +149,7 @@ if(constant_runtime_cmp(hmac_digest_from_data, ctx->msg_hmac, hmac_b64_digest_len) != 0) { - res = FKO_ERROR_INVALID_DATA; + res = FKO_ERROR_INVALID_DATA_HMAC_COMPAREFAIL; } } } @@ -171,6 +174,9 @@ if(!CTX_INITIALIZED(ctx)) return(FKO_ERROR_CTX_NOT_INITIALIZED); + if(hmac_data == NULL) + return(FKO_ERROR_INVALID_DATA); + *hmac_data = ctx->msg_hmac; return(FKO_SUCCESS); @@ -187,7 +193,7 @@ return(FKO_ERROR_CTX_NOT_INITIALIZED); if(hmac_type < 0 || hmac_type >= FKO_LAST_HMAC_MODE) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_HMAC_TYPE_VALIDFAIL); ctx->hmac_type = hmac_type; @@ -206,6 +212,9 @@ if(!CTX_INITIALIZED(ctx)) return(FKO_ERROR_CTX_NOT_INITIALIZED); + if(hmac_type == NULL) + return(FKO_ERROR_INVALID_DATA); + *hmac_type = ctx->hmac_type; return(FKO_SUCCESS); @@ -224,7 +233,10 @@ if(!CTX_INITIALIZED(ctx)) return(FKO_ERROR_CTX_NOT_INITIALIZED); - if(hmac_key_len > MAX_DIGEST_BLOCK_LEN) + if(hmac_key == NULL) + return(FKO_ERROR_INVALID_DATA); + + if(hmac_key_len < 0 || hmac_key_len > MAX_DIGEST_BLOCK_LEN) return(FKO_ERROR_INVALID_HMAC_KEY_LEN); if(ctx->hmac_type == FKO_HMAC_MD5) @@ -296,7 +308,7 @@ case SHA512_B64_LEN: break; default: - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_HMAC_LEN_VALIDFAIL); } return FKO_SUCCESS; diff -Nru fwknop-2.5.1/lib/fko_message.c fwknop-2.6.0/lib/fko_message.c --- fwknop-2.5.1/lib/fko_message.c 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/lib/fko_message.c 2014-01-13 03:29:04.000000000 +0000 @@ -33,14 +33,6 @@ #include "fko_message.h" #include "fko.h" -#ifndef WIN32 - /* for inet_aton() IP validation - */ - #include - #include - #include -#endif - static int have_allow_ip(const char *msg) { @@ -48,9 +40,6 @@ char ip_str[MAX_IPV4_STR_LEN]; int dot_ctr = 0, char_ctr = 0; int res = FKO_SUCCESS; -#if HAVE_SYS_SOCKET_H - struct in_addr in; -#endif while(*ndx != ',' && *ndx != '\0') { @@ -76,19 +65,9 @@ else res = FKO_ERROR_INVALID_ALLOW_IP; - if ((res == FKO_SUCCESS) && (char_ctr < MIN_IPV4_STR_LEN)) - res = FKO_ERROR_INVALID_ALLOW_IP; - - if((res == FKO_SUCCESS) && dot_ctr != 3) - res = FKO_ERROR_INVALID_ALLOW_IP; - -#if HAVE_SYS_SOCKET_H - /* Stronger IP validation now that we have a candidate that looks - * close enough - */ - if((res == FKO_SUCCESS) && (inet_aton(ip_str, &in) == 0)) - res = FKO_ERROR_INVALID_ALLOW_IP; -#endif + if(res == FKO_SUCCESS) + if (! is_valid_ipv4_addr(ip_str)) + res = FKO_ERROR_INVALID_ALLOW_IP; return(res); } @@ -100,7 +79,7 @@ int startlen = strnlen(msg, MAX_SPA_MESSAGE_SIZE), port_str_len = 0; if(startlen == MAX_SPA_MESSAGE_SIZE) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_MESSAGE_PORT_MISSING); /* Must have at least one digit for the port number */ @@ -129,7 +108,7 @@ return FKO_ERROR_CTX_NOT_INITIALIZED; if(msg_type < 0 || msg_type >= FKO_LAST_MSG_TYPE) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_MESSAGE_TYPE_VALIDFAIL); ctx->message_type = msg_type; @@ -148,6 +127,9 @@ if(!CTX_INITIALIZED(ctx)) return FKO_ERROR_CTX_NOT_INITIALIZED; + if(msg_type == NULL) + return(FKO_ERROR_INVALID_DATA); + *msg_type = ctx->message_type; return(FKO_SUCCESS); @@ -168,7 +150,7 @@ /* Gotta have a valid string. */ if(msg == NULL || strnlen(msg, MAX_SPA_MESSAGE_SIZE) == 0) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_MESSAGE_EMPTY); /* --DSS XXX: Bail out for now. But consider just * truncating in the future... @@ -212,6 +194,9 @@ if(!CTX_INITIALIZED(ctx)) return(FKO_ERROR_CTX_NOT_INITIALIZED); + if(msg == NULL) + return(FKO_ERROR_INVALID_DATA); + *msg = ctx->message; return(FKO_SUCCESS); @@ -227,7 +212,7 @@ int startlen = strnlen(msg, MAX_SPA_CMD_LEN); if(startlen == MAX_SPA_CMD_LEN) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_MESSAGE_CMD_MISSING); /* Should always have a valid allow IP regardless of message type */ @@ -253,7 +238,7 @@ int startlen = strnlen(msg, MAX_SPA_MESSAGE_SIZE); if(startlen == MAX_SPA_MESSAGE_SIZE) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_MESSAGE_ACCESS_MISSING); /* Should always have a valid allow IP regardless of message type */ @@ -287,7 +272,7 @@ int startlen = strnlen(msg, MAX_SPA_MESSAGE_SIZE); if(startlen == MAX_SPA_MESSAGE_SIZE) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_MESSAGE_NAT_MISSING); /* Should always have a valid allow IP regardless of message type */ @@ -319,7 +304,7 @@ const char *ndx = msg; if(startlen == MAX_SPA_MESSAGE_SIZE) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_MESSAGE_PORTPROTO_MISSING); /* Now check for proto/port string. */ diff -Nru fwknop-2.5.1/lib/fko_nat_access.c fwknop-2.6.0/lib/fko_nat_access.c --- fwknop-2.5.1/lib/fko_nat_access.c 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/lib/fko_nat_access.c 2014-01-13 03:29:04.000000000 +0000 @@ -46,7 +46,7 @@ /* Gotta have a valid string. */ if(msg == NULL || strnlen(msg, MAX_SPA_NAT_ACCESS_SIZE) == 0) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_NAT_EMPTY); /* --DSS XXX: Bail out for now. But consider just * truncating in the future... @@ -97,6 +97,9 @@ if(!CTX_INITIALIZED(ctx)) return(FKO_ERROR_CTX_NOT_INITIALIZED); + if(nat_access == NULL) + return(FKO_ERROR_INVALID_DATA); + *nat_access = ctx->nat_access; return(FKO_SUCCESS); diff -Nru fwknop-2.5.1/lib/fko_rand_value.c fwknop-2.6.0/lib/fko_rand_value.c --- fwknop-2.5.1/lib/fko_rand_value.c 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/lib/fko_rand_value.c 2014-01-13 03:29:04.000000000 +0000 @@ -70,7 +70,7 @@ if(new_val != NULL) { if(strnlen(new_val, FKO_RAND_VAL_SIZE+1) != FKO_RAND_VAL_SIZE) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_RAND_LEN_VALIDFAIL); if(ctx->rand_val != NULL) free(ctx->rand_val); @@ -152,6 +152,9 @@ if(!CTX_INITIALIZED(ctx)) return(FKO_ERROR_CTX_NOT_INITIALIZED); + if(rand_value == NULL) + return(FKO_ERROR_INVALID_DATA); + *rand_value = ctx->rand_val; return(FKO_SUCCESS); diff -Nru fwknop-2.5.1/lib/fko_server_auth.c fwknop-2.6.0/lib/fko_server_auth.c --- fwknop-2.5.1/lib/fko_server_auth.c 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/lib/fko_server_auth.c 2014-01-13 03:29:04.000000000 +0000 @@ -51,7 +51,7 @@ /* Gotta have a valid string. */ if(msg == NULL || strnlen(msg, MAX_SPA_SERVER_AUTH_SIZE) == 0) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_SRVAUTH_MISSING); /* --DSS XXX: Bail out for now. But consider just * truncating in the future... @@ -92,6 +92,9 @@ if(!CTX_INITIALIZED(ctx)) return(FKO_ERROR_CTX_NOT_INITIALIZED); + if(server_auth == NULL) + return(FKO_ERROR_INVALID_DATA); + *server_auth = ctx->server_auth; return(FKO_SUCCESS); diff -Nru fwknop-2.5.1/lib/fko_timestamp.c fwknop-2.6.0/lib/fko_timestamp.c --- fwknop-2.5.1/lib/fko_timestamp.c 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/lib/fko_timestamp.c 2014-01-13 03:29:04.000000000 +0000 @@ -47,7 +47,7 @@ ts = time(NULL) + offset; if(ts < 0) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_TIMESTAMP_VALIDFAIL); ctx->timestamp = ts; @@ -66,6 +66,9 @@ if(!CTX_INITIALIZED(ctx)) return(FKO_ERROR_CTX_NOT_INITIALIZED); + if(timestamp == NULL) + return(FKO_ERROR_INVALID_DATA); + *timestamp = ctx->timestamp; return(FKO_SUCCESS); diff -Nru fwknop-2.5.1/lib/fko_user.c fwknop-2.6.0/lib/fko_user.c --- fwknop-2.5.1/lib/fko_user.c 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/lib/fko_user.c 2014-01-13 03:29:04.000000000 +0000 @@ -128,6 +128,9 @@ if(!CTX_INITIALIZED(ctx)) return(FKO_ERROR_CTX_NOT_INITIALIZED); + if(username == NULL) + return(FKO_ERROR_INVALID_DATA); + *username = ctx->username; return(FKO_SUCCESS); @@ -139,17 +142,17 @@ int i; if(username == NULL || strnlen(username, MAX_SPA_USERNAME_SIZE) == 0) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_USER_MISSING); /* Make sure it is just alpha-numeric chars, dashes, dots, and underscores */ if(isalnum(username[0]) == 0) - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_USER_FIRSTCHAR_VALIDFAIL); for (i=1; i < (int)strnlen(username, MAX_SPA_USERNAME_SIZE); i++) if((isalnum(username[i]) == 0) && username[i] != '-' && username[i] != '_' && username[i] != '.') - return(FKO_ERROR_INVALID_DATA); + return(FKO_ERROR_INVALID_DATA_USER_REMCHAR_VALIDFAIL); return FKO_SUCCESS; } diff -Nru fwknop-2.5.1/lib/fko_util.c fwknop-2.6.0/lib/fko_util.c --- fwknop-2.5.1/lib/fko_util.c 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/lib/fko_util.c 2014-01-13 03:29:04.000000000 +0000 @@ -29,14 +29,27 @@ ***************************************************************************** */ #include "fko_common.h" -#include "fko.h" #include "fko_util.h" #include +#include + +#ifndef WIN32 + /* for inet_aton() IP validation + */ + #include + #include + #include +#endif + +/* Check for a FKO error returned by a function an return the error code */ +#define RETURN_ON_FKO_ERROR(e, f) do { if (((e)=(f)) != FKO_SUCCESS) { return (e); } } while(0); #define FKO_ENCRYPTION_MODE_BUFSIZE 16 /*!< Maximum size of an encryption mode string */ #define FKO_ENC_MODE_SUPPORTED 0 /*!< Defined a supported fko encryption mode */ #define FKO_ENC_MODE_NOT_SUPPORTED !FKO_ENC_MODE_SUPPORTED /*!< Defined an unsupported fko encryption mode */ +#define NULL_STRING "" /*!< String which represents a NULL buffer */ + /** * Structure to handle an encryption mode string string and its associated integer value */ @@ -88,7 +101,6 @@ return 0 - bad; } - /* Validate encoded message length */ int @@ -100,6 +112,58 @@ return(1); } +/* Validate an IPv4 address +*/ +int +is_valid_ipv4_addr(const char * const ip_str) +{ + const char *ndx = ip_str; + int dot_ctr = 0, char_ctr = 0; + int res = 1; +#if HAVE_SYS_SOCKET_H + struct in_addr in; +#endif + + if(ip_str == NULL) + return 0; + + while(*ndx != '\0') + { + char_ctr++; + if(char_ctr >= MAX_IPV4_STR_LEN) + { + res = 0; + break; + } + if(*ndx == '.') + dot_ctr++; + else if(isdigit(*ndx) == 0) + { + res = 0; + break; + } + ndx++; + } + if(char_ctr >= MAX_IPV4_STR_LEN) + res = 0; + + if ((res == 1) && (char_ctr < MIN_IPV4_STR_LEN)) + res = 0; + + if((res == 1) && dot_ctr != 3) + res = 0; + +#if HAVE_SYS_SOCKET_H + /* Stronger IP validation now that we have a candidate that looks + * close enough + */ + if((res == 1) && (inet_aton(ip_str, &in) == 0)) + res = 0; +#endif + + return(res); +} + /* Convert a digest_type string to its integer value. */ short @@ -372,7 +436,7 @@ if(val < min) { - *err = FKO_ERROR_INVALID_DATA; + *err = FKO_ERROR_INVALID_DATA_UTIL_STRTOL_LT_MIN; if(exit_upon_err == EXIT_UPON_ERR) { fprintf(stderr, "[*] Value %d out of range %d - %d\n", @@ -386,7 +450,7 @@ */ if((max >= 0) && (val > max)) { - *err = FKO_ERROR_INVALID_DATA; + *err = FKO_ERROR_INVALID_DATA_UTIL_STROL_GT_MAX; if(exit_upon_err == EXIT_UPON_ERR) { fprintf(stderr, "[*] Value %d out of range %d - %d\n", @@ -466,4 +530,184 @@ } #endif +/** + * @brief Add a printf style message to a buffer + * + * This function allows to append a printf style message to a buffer + * and prevents buffer overflow by taking care of the size the buffer. + * It returns the number of bytes really written to the buffer. + * Thus if an error is encoutered during the process the number of bytes + * written is set to 0. This way the user knows exactly how many bytes + * can be appended afterwards. + * + * @param buf Buffer to write the formated message to + * @param buf_size Maximum number of bytes to write to the buffer + * @param msg Message to format and to append to the buffer + * + * @return the number of bytes written to the buffer + */ +static int +append_msg_to_buf(char *buf, size_t buf_size, const char* msg, ...) +{ + int bytes_written = 0; /* Number of bytes written to buf */ + va_list ap; + + /* Check if the buffer is valid */ + if (buf_size > 0) + { + va_start(ap, msg); + + /* Format the message like a printf message */ + bytes_written = vsnprintf(buf, buf_size, msg, ap); + + /* It looks like the message has been truncated or an error occured*/ + if (bytes_written < 0) + bytes_written = 0; + + else if (bytes_written >= buf_size) + bytes_written = buf_size; + + /* The messsage has been formatted correctly */ + else; + + va_end(ap); + } + + /* No valid buffer has been supplied, thus we do not write anything */ + else; + + /* Return the number of bytes written to the buffer */ + return bytes_written; +} + +/* Determine if a buffer contains only characters from the base64 + * encoding set +*/ +int +is_base64(const unsigned char * const buf, const unsigned short int len) +{ + unsigned short int i; + int rv = 1; + + for(i=0; i \@MSG_TYPES, - 'digest_types' => \@DIGEST_TYPES, + 'message_types' => \@MSG_TYPES, + 'digest_types' => \@DIGEST_TYPES, 'hmac_digest_types' => \@HMAC_DIGEST_TYPES, - 'encryption_types' => \@ENCRYPTION_TYPES, - 'encryption_modes' => \@ENCRYPTION_MODES, - 'errors' => \@ERROR_CODES, + 'encryption_types' => \@ENCRYPTION_TYPES, + 'encryption_modes' => \@ENCRYPTION_MODES, + 'errors' => \@ERROR_CODES, + 'types' => [ @MSG_TYPES, @DIGEST_TYPES, @HMAC_DIGEST_TYPES, @ENCRYPTION_TYPES ], + 'all' => [ @MSG_TYPES, @HMAC_DIGEST_TYPES, @@ -72,10 +74,8 @@ my $class = shift; my $data = shift; my $dc_pw = shift; - my $dc_pw_len = shift; my $enc_mode = shift; - my $hmac_pw = shift; - my $hmac_pw_len = shift; + my $hmac_pw = shift || ''; my $hmac_type = shift; my $res; @@ -84,10 +84,10 @@ # If data was passed, call _init_ctx_with_data. If a password was # not defined, then pass 0. # - if($data) { - if(defined($dc_pw)) { - $ctx = _init_ctx_with_data($data, $dc_pw, $dc_pw_len, - $enc_mode, $hmac_pw, $hmac_pw_len, $hmac_type); + if(defined($data) and $data) { + if(defined($dc_pw) and $dc_pw) { + $ctx = _init_ctx_with_data($data, $dc_pw, length($dc_pw), + $enc_mode, $hmac_pw, length($hmac_pw), $hmac_type); } else { $ctx = _init_ctx_with_data_only($data); } @@ -319,12 +319,11 @@ my $self = shift; my $recompute = shift || 0; my $hmac_key = shift || ''; - my $hmac_key_len = shift || 0; my $val = ''; return FKO::_set_spa_hmac($self->{_ctx}) - if($recompute and $hmac_key and $hmac_key_len); + if($recompute and $hmac_key); $self->{_err} = FKO::_get_spa_hmac($self->{_ctx}, $val); @@ -477,27 +476,23 @@ sub spa_data_final { my $self = shift; my $key = shift || ''; - my $key_len = shift || 0; my $hmac_key = shift || ''; - my $hmac_key_len = shift || 0; - return FKO::_spa_data_final($self->{_ctx}, $key, $key_len, $hmac_key, $hmac_key_len); + return FKO::_spa_data_final($self->{_ctx}, $key, length($key), $hmac_key, length($hmac_key)); } sub encrypt_spa_data { my $self = shift; my $key = shift || ''; - my $key_len = shift || 0; - return FKO::_encrypt_spa_data($self->{_ctx}, $key, $key_len) + return FKO::_encrypt_spa_data($self->{_ctx}, $key, length($key)); } sub decrypt_spa_data { my $self = shift; my $key = shift || ''; - my $key_len = shift || 0; - return FKO::_decrypt_spa_data($self->{_ctx}, $key, $key_len) + return FKO::_decrypt_spa_data($self->{_ctx}, $key, length($key)); } sub encode_spa_data { @@ -513,17 +508,15 @@ sub verify_hmac { my $self = shift; my $hmac_key = shift || ''; - my $hmac_key_len = shift || 0; - return FKO::_verify_hmac($self->{_ctx}, $hmac_key, $hmac_key_len) + return FKO::_verify_hmac($self->{_ctx}, $hmac_key, length($hmac_key)); } sub set_spa_hmac { my $self = shift; my $hmac_key = shift || ''; - my $hmac_key_len = shift || 0; - return FKO::_set_spa_hmac($self->{_ctx}, $hmac_key, $hmac_key_len) + return FKO::_set_spa_hmac($self->{_ctx}, $hmac_key, length($hmac_key)); } sub DESTROY { @@ -564,13 +557,13 @@ # Set the SPA message (see libfko docs for details). # - $err = $fko->spa_message('0.0.0.0,tcp/22'); + $err = $fko->spa_message('1.2.3.4,tcp/22'); # ..error checking, etc... - $err = $fko->spa_data_final(); + $err = $fko->spa_data_final('mycryptkey', 'myhmackey'); # ..error checking, etc... - # Get the encrypted and encoded SPA data. + # Get the encrypted/authenticated/encoded SPA data. # my $spa_data = $fko->spa_data(); @@ -579,7 +572,8 @@ # Create an FKO object to process incoming (or existing) # SPA data. # - my $fko_in = FKO->new($enc_spa_data, 'decrypt_pw') + my $fko_in = FKO->new($enc_spa_data, 'mycryptkey', + FKO::FKO_ENC_MODE_CBC, 'myhmackey', FKO::FKO_HMAC_SHA256) or die "Unable to create FKO object: $FKO::error_str\n"; my $timestamp = $fko_in->timestamp(); @@ -600,7 +594,8 @@ This module is essentially a Perl wrapper for the I (fwknop) library, C. Fwknop is an open source implementation of -I (I) for access to networked resources. +I (I) for access to networked resources +that are protected by a default-drop packet filter. The original I is implemented in Perl. The I library is an implementation of the I back-end data processing routines written @@ -616,16 +611,17 @@ =item B -=item B +=item B The C method creates the I object. With no arguments, it creates creates and empty I object ready to be popluated with data (i.e. create a new SPA data packet to send). -You can also pass existing encoded/encrypted I data and a decryption -password to C. Passing valid data and a password will create the new -object, decode and parse the data, and store it within the object for later -retrieval using the various methods described below. +You can also pass existing encoded/encrypted I data, a decryption +password, and an HMAC key (along with associated encryption and HMAC modes) to +C. This will create a new object, authenticate, decrypt, and decode the +data, and store it within the object for later retrieval using the various +methods described below. If there are any errors during the creation or decoding of the data I will return undef and the appropriate error message will be available in the @@ -637,7 +633,8 @@ Create an object using existing data: - my $fko = FKO->new($spa_data, 'decrypt_pw'); + my $fko = FKO->new($spa_data, 'decrypt_pw', FKO::FKO_ENC_MODE_CBC, + 'myhmackey', FKO::FKO_HMAC_SHA256); =back @@ -672,12 +669,12 @@ this method may return more detailed information from the GPG error handling system. -=item B +=item B -This function is the final step in creating a complete encrypted I data -string suitable for transmission to an fwknop server. It does require all -of the requisite I data fields be set. Otherwise it will fail and -return the appropriate error code. +This function is the final step in creating a complete encrypted and +authenticated I data string suitable for transmission to an fwknop server. +It does require all of the requisite I data fields be set. Otherwise it +will fail and return the appropriate error code. =item B @@ -725,7 +722,7 @@ =head2 Working with SPA Data Types There are a few data and method types supported by I, along with a -few functions for getting and setting them. Most of these I are +few functions for getting and setting them. Most of these I are represented using constants defined in the I module. =over @@ -735,11 +732,11 @@ =item B Get or set the encryption type for the current context. If no argument is -given, the current value is returned. Otherwise encryption type will be set -to the given value. +given, the current value is returned. Otherwise the encryption type will be +set to the given value. The encryption type parameter is an integer value. Constants have been -defined to represent this values. Currently, the only supported encryption +defined to represent this value. Currently, the only supported encryption types are: =over @@ -753,7 +750,38 @@ GnuPG encryption (if supported by the underlying I implementation). =back - + +=item B + +=item B + +Get or set the HMAC digest algorithm for the current context. If no argument +is given, the current value is returned. Otherwise the HMAC type will be set +to the given value. + +The HMAC type parameter is an integer value. Constants have been +defined to represent this value. Currently, the supported HMAC types are: + +=over + +=item * B + +The default I HMAC digest algorithm is SHA-256 + +=item * B + +Use the MD5 digest algorithm (not recommended) to generate the HMAC. + +=item * B + +Use the SHA-1 digest algorithm to generate the HMAC. + +=item * B + +Use the SHA-512 digest algorithm to generate the HMAC. + +=back + =item B @@ -764,7 +792,7 @@ to the given value. The digest type parameter is an integer value. Constants have been -defined to represent this values. Currently, the supported digest +defined to represent this value. Currently, the supported digest types are: =over @@ -799,7 +827,7 @@ value is returned. Otherwise message type will be set to the given value. The message type parameter is an integer value. Constants have been -defined to represent this values. Currently, the supported digest +defined to represent this value. Currently, the supported digest types are: =over diff -Nru fwknop-2.5.1/perl/FKO/lib/FKO_Constants.pl fwknop-2.6.0/perl/FKO/lib/FKO_Constants.pl --- fwknop-2.5.1/perl/FKO/lib/FKO_Constants.pl 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/perl/FKO/lib/FKO_Constants.pl 2014-01-13 03:29:04.000000000 +0000 @@ -24,6 +24,8 @@ # Digest types tag list. # our @DIGEST_TYPES = qw( + FKO_DIGEST_INVALID_DATA + FKO_DIGEST_UNKNOWN FKO_DIGEST_MD5 FKO_DIGEST_SHA1 FKO_DIGEST_SHA256 @@ -34,6 +36,8 @@ # HMAC digest types tag list. # our @HMAC_DIGEST_TYPES = qw( + FKO_HMAC_INVALID_DATA + FKO_HMAC_UNKNOWN FKO_HMAC_MD5 FKO_HMAC_SHA1 FKO_HMAC_SHA256 @@ -44,6 +48,8 @@ # Encryption types tag list. # our @ENCRYPTION_TYPES = qw( + FKO_ENCRYPTION_INVALID_DATA + FKO_ENCRYPTION_UNKNOWN FKO_ENCRYPTION_RIJNDAEL FKO_ENCRYPTION_GPG ); @@ -51,6 +57,7 @@ # Encryption modes tag list. # our @ENCRYPTION_MODES = qw( + FKO_ENC_MODE_UNKNOWN FKO_ENC_MODE_ECB FKO_ENC_MODE_CBC FKO_ENC_MODE_CFB @@ -69,7 +76,95 @@ FKO_ERROR_MEMORY_ALLOCATION FKO_ERROR_FILESYSTEM_OPERATION FKO_ERROR_INVALID_DATA + FKO_ERROR_INVALID_DATA_CLIENT_TIMEOUT_NEGATIVE + FKO_ERROR_INVALID_DATA_DECODE_MSGLEN_VALIDFAIL + FKO_ERROR_INVALID_DATA_DECODE_NON_ASCII + FKO_ERROR_INVALID_DATA_DECODE_LT_MIN_FIELDS + FKO_ERROR_INVALID_DATA_DECODE_ENC_MSG_LEN_MT_T_SIZE + FKO_ERROR_INVALID_DATA_DECODE_RAND_MISSING + FKO_ERROR_INVALID_DATA_DECODE_USERNAME_MISSING + FKO_ERROR_INVALID_DATA_DECODE_USERNAME_TOOBIG + FKO_ERROR_INVALID_DATA_DECODE_USERNAME_DECODEFAIL + FKO_ERROR_INVALID_DATA_DECODE_USERNAME_VALIDFAIL + FKO_ERROR_INVALID_DATA_DECODE_TIMESTAMP_MISSING + FKO_ERROR_INVALID_DATA_DECODE_TIMESTAMP_TOOBIG + FKO_ERROR_INVALID_DATA_DECODE_TIMESTAMP_DECODEFAIL + FKO_ERROR_INVALID_DATA_DECODE_VERSION_MISSING + FKO_ERROR_INVALID_DATA_DECODE_VERSION_TOOBIG + FKO_ERROR_INVALID_DATA_DECODE_MSGTYPE_MISSING + FKO_ERROR_INVALID_DATA_DECODE_MSGTYPE_TOOBIG + FKO_ERROR_INVALID_DATA_DECODE_MSGTYPE_DECODEFAIL + FKO_ERROR_INVALID_DATA_DECODE_MESSAGE_MISSING + FKO_ERROR_INVALID_DATA_DECODE_MESSAGE_TOOBIG + FKO_ERROR_INVALID_DATA_DECODE_MESSAGE_DECODEFAIL + FKO_ERROR_INVALID_DATA_DECODE_MESSAGE_VALIDFAIL + FKO_ERROR_INVALID_DATA_DECODE_ACCESS_VALIDFAIL + FKO_ERROR_INVALID_DATA_DECODE_NATACCESS_MISSING + FKO_ERROR_INVALID_DATA_DECODE_NATACCESS_TOOBIG + FKO_ERROR_INVALID_DATA_DECODE_NATACCESS_DECODEFAIL + FKO_ERROR_INVALID_DATA_DECODE_NATACCESS_VALIDFAIL + FKO_ERROR_INVALID_DATA_DECODE_SRVAUTH_MISSING + FKO_ERROR_INVALID_DATA_DECODE_SRVAUTH_DECODEFAIL + FKO_ERROR_INVALID_DATA_DECODE_SPA_EXTRA_TOOBIG + FKO_ERROR_INVALID_DATA_DECODE_EXTRA_TOOBIG + FKO_ERROR_INVALID_DATA_DECODE_EXTRA_DECODEFAIL + FKO_ERROR_INVALID_DATA_DECODE_TIMEOUT_MISSING + FKO_ERROR_INVALID_DATA_DECODE_TIMEOUT_TOOBIG + FKO_ERROR_INVALID_DATA_DECODE_TIMEOUT_VALIDFAIL + FKO_ERROR_INVALID_DATA_DECODE_TIMEOUT_DECODEFAIL + FKO_ERROR_INVALID_DATA_ENCODE_MESSAGE_TOOBIG + FKO_ERROR_INVALID_DATA_ENCODE_MSGLEN_VALIDFAIL + FKO_ERROR_INVALID_DATA_ENCODE_DIGEST_VALIDFAIL + FKO_ERROR_INVALID_DATA_ENCODE_DIGEST_TOOBIG + FKO_ERROR_INVALID_DATA_ENCODE_NOTBASE64 + FKO_ERROR_INVALID_DATA_ENCRYPT_MSGLEN_VALIDFAIL + FKO_ERROR_INVALID_DATA_ENCRYPT_DIGESTLEN_VALIDFAIL + FKO_ERROR_INVALID_DATA_ENCRYPT_PTLEN_VALIDFAIL + FKO_ERROR_INVALID_DATA_ENCRYPT_RESULT_MSGLEN_VALIDFAIL + FKO_ERROR_INVALID_DATA_ENCRYPT_CIPHERLEN_DECODEFAIL + FKO_ERROR_INVALID_DATA_ENCRYPT_CIPHERLEN_VALIDFAIL + FKO_ERROR_INVALID_DATA_ENCRYPT_DECRYPTED_MESSAGE_MISSING + FKO_ERROR_INVALID_DATA_ENCRYPT_DECRYPTED_MSGLEN_VALIDFAIL + FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_MESSAGE_VALIDFAIL + FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_DIGEST_VALIDFAIL + FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_MSGLEN_VALIDFAIL + FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_RESULT_MSGLEN_VALIDFAIL + FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_CIPHER_DECODEFAIL + FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_ENCODEDMSG_NULL + FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_ENCODEDMSGLEN_VALIDFAIL + FKO_ERROR_INVALID_DATA_ENCRYPT_TYPE_VALIDFAIL + FKO_ERROR_INVALID_DATA_ENCRYPT_MODE_VALIDFAIL + FKO_ERROR_INVALID_DATA_ENCRYPT_TYPE_UNKNOWN + FKO_ERROR_INVALID_DATA_FUNCS_NEW_ENCMSG_MISSING + FKO_ERROR_INVALID_DATA_FUNCS_NEW_MSGLEN_VALIDFAIL + FKO_ERROR_INVALID_DATA_FUNCS_GEN_KEYLEN_VALIDFAIL + FKO_ERROR_INVALID_DATA_FUNCS_GEN_HMACLEN_VALIDFAIL + FKO_ERROR_INVALID_DATA_FUNCS_GEN_KEY_ENCODEFAIL + FKO_ERROR_INVALID_DATA_FUNCS_GEN_HMAC_ENCODEFAIL + FKO_ERROR_INVALID_DATA_FUNCS_SET_MSGLEN_VALIDFAIL + FKO_ERROR_INVALID_DATA_HMAC_MSGLEN_VALIDFAIL + FKO_ERROR_INVALID_DATA_HMAC_ENCMSGLEN_VALIDFAIL + FKO_ERROR_INVALID_DATA_HMAC_COMPAREFAIL + FKO_ERROR_INVALID_DATA_HMAC_TYPE_VALIDFAIL + FKO_ERROR_INVALID_DATA_HMAC_LEN_VALIDFAIL + FKO_ERROR_INVALID_DATA_MESSAGE_PORT_MISSING + FKO_ERROR_INVALID_DATA_MESSAGE_TYPE_VALIDFAIL + FKO_ERROR_INVALID_DATA_MESSAGE_EMPTY + FKO_ERROR_INVALID_DATA_MESSAGE_CMD_MISSING + FKO_ERROR_INVALID_DATA_MESSAGE_ACCESS_MISSING + FKO_ERROR_INVALID_DATA_MESSAGE_NAT_MISSING + FKO_ERROR_INVALID_DATA_MESSAGE_PORTPROTO_MISSING + FKO_ERROR_INVALID_DATA_NAT_EMPTY + FKO_ERROR_INVALID_DATA_RAND_LEN_VALIDFAIL + FKO_ERROR_INVALID_DATA_SRVAUTH_MISSING + FKO_ERROR_INVALID_DATA_TIMESTAMP_VALIDFAIL + FKO_ERROR_INVALID_DATA_USER_MISSING + FKO_ERROR_INVALID_DATA_USER_FIRSTCHAR_VALIDFAIL + FKO_ERROR_INVALID_DATA_USER_REMCHAR_VALIDFAIL + FKO_ERROR_INVALID_DATA_UTIL_STRTOL_LT_MIN + FKO_ERROR_INVALID_DATA_UTIL_STROL_GT_MAX FKO_ERROR_DATA_TOO_LARGE + FKO_ERROR_INVALID_KEY_LEN FKO_ERROR_USERNAME_UNKNOWN FKO_ERROR_INCOMPLETE_SPA_DATA FKO_ERROR_MISSING_ENCODED_DATA @@ -83,8 +178,10 @@ FKO_ERROR_DECRYPTION_SIZE FKO_ERROR_DECRYPTION_FAILURE FKO_ERROR_DIGEST_VERIFICATION_FAILED + FKO_ERROR_INVALID_HMAC_KEY_LEN FKO_ERROR_UNSUPPORTED_HMAC_MODE FKO_ERROR_UNSUPPORTED_FEATURE + FKO_ERROR_ZERO_OUT_DATA FKO_ERROR_UNKNOWN GPGME_ERR_START FKO_ERROR_MISSING_GPG_KEY_DATA @@ -127,24 +224,31 @@ FKO_CLIENT_TIMEOUT_LOCAL_NAT_ACCESS_MSG => 6, # Digest types - FKO_DIGEST_MD5 => 1, - FKO_DIGEST_SHA1 => 2, - FKO_DIGEST_SHA256 => 3, - FKO_DIGEST_SHA384 => 4, - FKO_DIGEST_SHA512 => 5, + FKO_DIGEST_INVALID_DATA => -1, + FKO_DIGEST_UNKNOWN => 0, + FKO_DIGEST_MD5 => 1, + FKO_DIGEST_SHA1 => 2, + FKO_DIGEST_SHA256 => 3, + FKO_DIGEST_SHA384 => 4, + FKO_DIGEST_SHA512 => 5, # HMAC digest types - FKO_HMAC_MD5 => 1, - FKO_HMAC_SHA1 => 2, - FKO_HMAC_SHA256 => 3, - FKO_HMAC_SHA384 => 4, - FKO_HMAC_SHA512 => 5, + FKO_HMAC_INVALID_DATA => -1, + FKO_HMAC_UNKNOWN => 0, + FKO_HMAC_MD5 => 1, + FKO_HMAC_SHA1 => 2, + FKO_HMAC_SHA256 => 3, + FKO_HMAC_SHA384 => 4, + FKO_HMAC_SHA512 => 5, # Encryption types - FKO_ENCRYPTION_RIJNDAEL => 1, - FKO_ENCRYPTION_GPG => 2, + FKO_ENCRYPTION_INVALID_DATA => -1, + FKO_ENCRYPTION_UNKNOWN => 0, + FKO_ENCRYPTION_RIJNDAEL => 1, + FKO_ENCRYPTION_GPG => 2, # Encryption modes + FKO_ENC_MODE_UNKNOWN => 0, FKO_ENC_MODE_ECB => 1, FKO_ENC_MODE_CBC => 2, FKO_ENC_MODE_CFB => 3, @@ -155,54 +259,145 @@ FKO_ENC_MODE_CBC_LEGACY_IV => 8, # FKO error codes - FKO_SUCCESS => 0, - FKO_ERROR_CTX_NOT_INITIALIZED => 1, - FKO_ERROR_MEMORY_ALLOCATION => 2, - FKO_ERROR_FILESYSTEM_OPERATION => 3, - FKO_ERROR_INVALID_DATA => 4, - FKO_ERROR_DATA_TOO_LARGE => 5, - FKO_ERROR_USERNAME_UNKNOWN => 6, - FKO_ERROR_INCOMPLETE_SPA_DATA => 7, - FKO_ERROR_MISSING_ENCODED_DATA => 8, - FKO_ERROR_INVALID_DIGEST_TYPE => 9, - FKO_ERROR_INVALID_ALLOW_IP => 10, - FKO_ERROR_INVALID_SPA_COMMAND_MSG => 11, - FKO_ERROR_INVALID_SPA_ACCESS_MSG => 12, - FKO_ERROR_INVALID_SPA_NAT_ACCESS_MSG => 13, - FKO_ERROR_INVALID_ENCRYPTION_TYPE => 14, - FKO_ERROR_WRONG_ENCRYPTION_TYPE => 15, - FKO_ERROR_DECRYPTION_SIZE => 16, - FKO_ERROR_DECRYPTION_FAILURE => 17, - FKO_ERROR_DIGEST_VERIFICATION_FAILED => 18, - FKO_ERROR_UNSUPPORTED_FEATURE => 19, - FKO_ERROR_UNKNOWN => 20, + FKO_SUCCESS => 0, + FKO_ERROR_CTX_NOT_INITIALIZED => 1, + FKO_ERROR_MEMORY_ALLOCATION => 2, + FKO_ERROR_FILESYSTEM_OPERATION => 3, + FKO_ERROR_INVALID_DATA => 4, + FKO_ERROR_INVALID_DATA_CLIENT_TIMEOUT_NEGATIVE => 5, + FKO_ERROR_INVALID_DATA_DECODE_MSGLEN_VALIDFAIL => 6, + FKO_ERROR_INVALID_DATA_DECODE_NON_ASCII => 7, + FKO_ERROR_INVALID_DATA_DECODE_LT_MIN_FIELDS => 8, + FKO_ERROR_INVALID_DATA_DECODE_ENC_MSG_LEN_MT_T_SIZE => 9, + FKO_ERROR_INVALID_DATA_DECODE_RAND_MISSING => 10, + FKO_ERROR_INVALID_DATA_DECODE_USERNAME_MISSING => 11, + FKO_ERROR_INVALID_DATA_DECODE_USERNAME_TOOBIG => 12, + FKO_ERROR_INVALID_DATA_DECODE_USERNAME_DECODEFAIL => 13, + FKO_ERROR_INVALID_DATA_DECODE_USERNAME_VALIDFAIL => 14, + FKO_ERROR_INVALID_DATA_DECODE_TIMESTAMP_MISSING => 15, + FKO_ERROR_INVALID_DATA_DECODE_TIMESTAMP_TOOBIG => 16, + FKO_ERROR_INVALID_DATA_DECODE_TIMESTAMP_DECODEFAIL => 17, + FKO_ERROR_INVALID_DATA_DECODE_VERSION_MISSING => 18, + FKO_ERROR_INVALID_DATA_DECODE_VERSION_TOOBIG => 19, + FKO_ERROR_INVALID_DATA_DECODE_MSGTYPE_MISSING => 20, + FKO_ERROR_INVALID_DATA_DECODE_MSGTYPE_TOOBIG => 21, + FKO_ERROR_INVALID_DATA_DECODE_MSGTYPE_DECODEFAIL => 22, + FKO_ERROR_INVALID_DATA_DECODE_MESSAGE_MISSING => 23, + FKO_ERROR_INVALID_DATA_DECODE_MESSAGE_TOOBIG => 24, + FKO_ERROR_INVALID_DATA_DECODE_MESSAGE_DECODEFAIL => 25, + FKO_ERROR_INVALID_DATA_DECODE_MESSAGE_VALIDFAIL => 26, + FKO_ERROR_INVALID_DATA_DECODE_ACCESS_VALIDFAIL => 27, + FKO_ERROR_INVALID_DATA_DECODE_NATACCESS_MISSING => 28, + FKO_ERROR_INVALID_DATA_DECODE_NATACCESS_TOOBIG => 29, + FKO_ERROR_INVALID_DATA_DECODE_NATACCESS_DECODEFAIL => 30, + FKO_ERROR_INVALID_DATA_DECODE_NATACCESS_VALIDFAIL => 31, + FKO_ERROR_INVALID_DATA_DECODE_SRVAUTH_MISSING => 32, + FKO_ERROR_INVALID_DATA_DECODE_SRVAUTH_DECODEFAIL => 33, + FKO_ERROR_INVALID_DATA_DECODE_SPA_EXTRA_TOOBIG => 34, + FKO_ERROR_INVALID_DATA_DECODE_EXTRA_TOOBIG => 35, + FKO_ERROR_INVALID_DATA_DECODE_EXTRA_DECODEFAIL => 36, + FKO_ERROR_INVALID_DATA_DECODE_TIMEOUT_MISSING => 37, + FKO_ERROR_INVALID_DATA_DECODE_TIMEOUT_TOOBIG => 38, + FKO_ERROR_INVALID_DATA_DECODE_TIMEOUT_VALIDFAIL => 39, + FKO_ERROR_INVALID_DATA_DECODE_TIMEOUT_DECODEFAIL => 40, + FKO_ERROR_INVALID_DATA_ENCODE_MESSAGE_TOOBIG => 41, + FKO_ERROR_INVALID_DATA_ENCODE_MSGLEN_VALIDFAIL => 42, + FKO_ERROR_INVALID_DATA_ENCODE_DIGEST_VALIDFAIL => 43, + FKO_ERROR_INVALID_DATA_ENCODE_DIGEST_TOOBIG => 44, + FKO_ERROR_INVALID_DATA_ENCODE_NOTBASE64 => 45, + FKO_ERROR_INVALID_DATA_ENCRYPT_MSGLEN_VALIDFAIL => 46, + FKO_ERROR_INVALID_DATA_ENCRYPT_DIGESTLEN_VALIDFAIL => 47, + FKO_ERROR_INVALID_DATA_ENCRYPT_PTLEN_VALIDFAIL => 48, + FKO_ERROR_INVALID_DATA_ENCRYPT_RESULT_MSGLEN_VALIDFAIL => 49, + FKO_ERROR_INVALID_DATA_ENCRYPT_CIPHERLEN_DECODEFAIL => 50, + FKO_ERROR_INVALID_DATA_ENCRYPT_CIPHERLEN_VALIDFAIL => 51, + FKO_ERROR_INVALID_DATA_ENCRYPT_DECRYPTED_MESSAGE_MISSING => 52, + FKO_ERROR_INVALID_DATA_ENCRYPT_DECRYPTED_MSGLEN_VALIDFAIL => 53, + FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_MESSAGE_VALIDFAIL => 54, + FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_DIGEST_VALIDFAIL => 55, + FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_MSGLEN_VALIDFAIL => 56, + FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_RESULT_MSGLEN_VALIDFAIL => 57, + FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_CIPHER_DECODEFAIL => 58, + FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_ENCODEDMSG_NULL => 59, + FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_ENCODEDMSGLEN_VALIDFAIL => 60, + FKO_ERROR_INVALID_DATA_ENCRYPT_TYPE_VALIDFAIL => 61, + FKO_ERROR_INVALID_DATA_ENCRYPT_MODE_VALIDFAIL => 62, + FKO_ERROR_INVALID_DATA_ENCRYPT_TYPE_UNKNOWN => 63, + FKO_ERROR_INVALID_DATA_FUNCS_NEW_ENCMSG_MISSING => 64, + FKO_ERROR_INVALID_DATA_FUNCS_NEW_MSGLEN_VALIDFAIL => 65, + FKO_ERROR_INVALID_DATA_FUNCS_GEN_KEYLEN_VALIDFAIL => 66, + FKO_ERROR_INVALID_DATA_FUNCS_GEN_HMACLEN_VALIDFAIL => 67, + FKO_ERROR_INVALID_DATA_FUNCS_GEN_KEY_ENCODEFAIL => 68, + FKO_ERROR_INVALID_DATA_FUNCS_GEN_HMAC_ENCODEFAIL => 69, + FKO_ERROR_INVALID_DATA_FUNCS_SET_MSGLEN_VALIDFAIL => 70, + FKO_ERROR_INVALID_DATA_HMAC_MSGLEN_VALIDFAIL => 71, + FKO_ERROR_INVALID_DATA_HMAC_ENCMSGLEN_VALIDFAIL => 72, + FKO_ERROR_INVALID_DATA_HMAC_COMPAREFAIL => 73, + FKO_ERROR_INVALID_DATA_HMAC_TYPE_VALIDFAIL => 74, + FKO_ERROR_INVALID_DATA_HMAC_LEN_VALIDFAIL => 75, + FKO_ERROR_INVALID_DATA_MESSAGE_PORT_MISSING => 76, + FKO_ERROR_INVALID_DATA_MESSAGE_TYPE_VALIDFAIL => 77, + FKO_ERROR_INVALID_DATA_MESSAGE_EMPTY => 78, + FKO_ERROR_INVALID_DATA_MESSAGE_CMD_MISSING => 79, + FKO_ERROR_INVALID_DATA_MESSAGE_ACCESS_MISSING => 80, + FKO_ERROR_INVALID_DATA_MESSAGE_NAT_MISSING => 81, + FKO_ERROR_INVALID_DATA_MESSAGE_PORTPROTO_MISSING => 82, + FKO_ERROR_INVALID_DATA_NAT_EMPTY => 83, + FKO_ERROR_INVALID_DATA_RAND_LEN_VALIDFAIL => 84, + FKO_ERROR_INVALID_DATA_SRVAUTH_MISSING => 85, + FKO_ERROR_INVALID_DATA_TIMESTAMP_VALIDFAIL => 86, + FKO_ERROR_INVALID_DATA_USER_MISSING => 87, + FKO_ERROR_INVALID_DATA_USER_FIRSTCHAR_VALIDFAIL => 88, + FKO_ERROR_INVALID_DATA_USER_REMCHAR_VALIDFAIL => 89, + FKO_ERROR_INVALID_DATA_UTIL_STRTOL_LT_MIN => 90, + FKO_ERROR_INVALID_DATA_UTIL_STROL_GT_MAX => 91, + FKO_ERROR_DATA_TOO_LARGE => 92, + FKO_ERROR_INVALID_KEY_LEN => 93, + FKO_ERROR_USERNAME_UNKNOWN => 94, + FKO_ERROR_INCOMPLETE_SPA_DATA => 95, + FKO_ERROR_MISSING_ENCODED_DATA => 96, + FKO_ERROR_INVALID_DIGEST_TYPE => 97, + FKO_ERROR_INVALID_ALLOW_IP => 98, + FKO_ERROR_INVALID_SPA_COMMAND_MSG => 99, + FKO_ERROR_INVALID_SPA_ACCESS_MSG => 100, + FKO_ERROR_INVALID_SPA_NAT_ACCESS_MSG => 101, + FKO_ERROR_INVALID_ENCRYPTION_TYPE => 102, + FKO_ERROR_WRONG_ENCRYPTION_TYPE => 103, + FKO_ERROR_DECRYPTION_SIZE => 104, + FKO_ERROR_DECRYPTION_FAILURE => 105, + FKO_ERROR_DIGEST_VERIFICATION_FAILED => 106, + FKO_ERROR_INVALID_HMAC_KEY_LEN => 107, + FKO_ERROR_UNSUPPORTED_HMAC_MODE => 108, + FKO_ERROR_UNSUPPORTED_FEATURE => 109, + FKO_ERROR_ZERO_OUT_DATA => 110, + FKO_ERROR_UNKNOWN => 111, # Start GPGME-related errors - GPGME_ERR_START => 21, - FKO_ERROR_MISSING_GPG_KEY_DATA => 22, - FKO_ERROR_GPGME_NO_OPENPGP => 23, - FKO_ERROR_GPGME_CONTEXT => 24, - FKO_ERROR_GPGME_PLAINTEXT_DATA_OBJ => 25, - FKO_ERROR_GPGME_SET_PROTOCOL => 26, - FKO_ERROR_GPGME_CIPHER_DATA_OBJ => 27, - FKO_ERROR_GPGME_BAD_PASSPHRASE => 28, - FKO_ERROR_GPGME_ENCRYPT_SIGN => 29, - FKO_ERROR_GPGME_CONTEXT_SIGNER_KEY => 30, - FKO_ERROR_GPGME_SIGNER_KEYLIST_START => 31, - FKO_ERROR_GPGME_SIGNER_KEY_NOT_FOUND => 32, - FKO_ERROR_GPGME_SIGNER_KEY_AMBIGUOUS => 33, - FKO_ERROR_GPGME_ADD_SIGNER => 34, - FKO_ERROR_GPGME_CONTEXT_RECIPIENT_KEY => 35, - FKO_ERROR_GPGME_RECIPIENT_KEYLIST_START => 36, - FKO_ERROR_GPGME_RECIPIENT_KEY_NOT_FOUND => 37, - FKO_ERROR_GPGME_RECIPIENT_KEY_AMBIGUOUS => 38, - FKO_ERROR_GPGME_DECRYPT_FAILED => 39, - FKO_ERROR_GPGME_DECRYPT_UNSUPPORTED_ALGORITHM => 40, - FKO_ERROR_GPGME_BAD_GPG_EXE => 41, - FKO_ERROR_GPGME_BAD_HOME_DIR => 42, - FKO_ERROR_GPGME_SET_HOME_DIR => 43, - FKO_ERROR_GPGME_NO_SIGNATURE => 44, - FKO_ERROR_GPGME_BAD_SIGNATURE => 45, - FKO_ERROR_GPGME_SIGNATURE_VERIFY_DISABLED => 46, + GPGME_ERR_START => 112, + FKO_ERROR_MISSING_GPG_KEY_DATA => 113, + FKO_ERROR_GPGME_NO_OPENPGP => 114, + FKO_ERROR_GPGME_CONTEXT => 115, + FKO_ERROR_GPGME_PLAINTEXT_DATA_OBJ => 116, + FKO_ERROR_GPGME_SET_PROTOCOL => 117, + FKO_ERROR_GPGME_CIPHER_DATA_OBJ => 118, + FKO_ERROR_GPGME_BAD_PASSPHRASE => 119, + FKO_ERROR_GPGME_ENCRYPT_SIGN => 120, + FKO_ERROR_GPGME_CONTEXT_SIGNER_KEY => 121, + FKO_ERROR_GPGME_SIGNER_KEYLIST_START => 122, + FKO_ERROR_GPGME_SIGNER_KEY_NOT_FOUND => 123, + FKO_ERROR_GPGME_SIGNER_KEY_AMBIGUOUS => 124, + FKO_ERROR_GPGME_ADD_SIGNER => 125, + FKO_ERROR_GPGME_CONTEXT_RECIPIENT_KEY => 126, + FKO_ERROR_GPGME_RECIPIENT_KEYLIST_START => 127, + FKO_ERROR_GPGME_RECIPIENT_KEY_NOT_FOUND => 128, + FKO_ERROR_GPGME_RECIPIENT_KEY_AMBIGUOUS => 129, + FKO_ERROR_GPGME_DECRYPT_FAILED => 130, + FKO_ERROR_GPGME_DECRYPT_UNSUPPORTED_ALGORITHM => 131, + FKO_ERROR_GPGME_BAD_GPG_EXE => 132, + FKO_ERROR_GPGME_BAD_HOME_DIR => 133, + FKO_ERROR_GPGME_SET_HOME_DIR => 134, + FKO_ERROR_GPGME_NO_SIGNATURE => 135, + FKO_ERROR_GPGME_BAD_SIGNATURE => 136, + FKO_ERROR_GPGME_SIGNATURE_VERIFY_DISABLED => 137, }; 1; diff -Nru fwknop-2.5.1/perl/FKO/t/00_init.t fwknop-2.6.0/perl/FKO/t/00_init.t --- fwknop-2.5.1/perl/FKO/t/00_init.t 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/perl/FKO/t/00_init.t 2014-01-13 03:29:04.000000000 +0000 @@ -16,9 +16,12 @@ # Test support vars # -my $test_spa_data = '/6jQlii54itZX2d7uQb0CzKgBEKk9T9dOD5COpZM6tdL7I95+GXvbjBgCoDObwTpBSWGEPPEpLmiVIe0iQoEMRT4bDWindoHopxggByzr3aOToQZAhBgEIsMfC+ucz6sragIieQORkmr3OjtOAHI1hZjSMXadiXKo'; +my $test_spa_data = ''; +my $test_spa_data_pw = 'test1234567890'; +my $test_hmac_key = '0987654321test this is only a test'; -my $test_spa_data_pw = 'sdf'; +my $test_encryption_mode = $FKO::FKO_ENC_MODE_CBC; +my $test_hmac_type = $FKO::FKO_HMAC_SHA256; ############################################################################## @@ -37,9 +40,12 @@ # 5 - Init with data # -my $f2 = FKO->new($test_spa_data, $test_spa_data_pw); +my $f2 = FKO->new($test_spa_data, $test_spa_data_pw, + $test_encryption_mode, $test_hmac_key, + $test_hmac_type); isa_ok( $f2, 'FKO' ); + # 6 - Destroy full # $f2->destroy(); diff -Nru fwknop-2.5.1/perl/FKO/t/01_constants.t fwknop-2.6.0/perl/FKO/t/01_constants.t --- fwknop-2.5.1/perl/FKO/t/01_constants.t 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/perl/FKO/t/01_constants.t 2014-01-13 03:29:04.000000000 +0000 @@ -11,16 +11,18 @@ BEGIN { use FKO qw(:all); our $tc_total = scalar(@FKO::MSG_TYPES) - + scalar(@FKO::DIGEST_TYPES) - + scalar(@FKO::ENCRYPTION_TYPES) - + scalar(@FKO::ERROR_CODES); + + scalar(@FKO::DIGEST_TYPES) + + scalar(@FKO::HMAC_DIGEST_TYPES) + + scalar(@FKO::ENCRYPTION_TYPES) + + scalar(@FKO::ENCRYPTION_MODE) + + scalar(@FKO::ERROR_CODES); } use Test::More tests => $tc_total + 1; my $tc_cnt = 0; -# 1-7 - Message type constants +# Message type constants # foreach my $mt (@FKO::MSG_TYPES) { $tc_cnt++; @@ -28,7 +30,7 @@ ok(defined($val), "Message Type Constant: $mt"); } -# 8-10 - Digest type constants +# Digest type constants # foreach my $dt (@FKO::DIGEST_TYPES) { $tc_cnt++; @@ -36,7 +38,15 @@ ok(defined($val), "Digest Type Constant: $dt"); } -# 11-12 - Encryption type constants +# HMAC digest type constants +# +foreach my $dt (@FKO::HMAC_DIGEST_TYPES) { + $tc_cnt++; + my $val = eval $dt; + ok(defined($val), "HMAC digest Type Constant: $dt"); +} + +# Encryption type constants # foreach my $et (@FKO::ENCRYPTION_TYPES) { $tc_cnt++; @@ -44,12 +54,20 @@ ok(defined($val), "Encryption Type Constant: $et"); } -# 13-53 - Encryption type constants +# Encryption mode constants +# +foreach my $et (@FKO::ENCRYPTION_MODE) { + $tc_cnt++; + my $val = eval $et; + ok(defined($val), "Encryption Mode Constant: $et"); +} + +# - Encryption type constants # foreach my $ec (@FKO::ERROR_CODES) { $tc_cnt++; my $val = eval $ec; - ok(defined($val), "Encryption Type Constant: $ec"); + ok(defined($val), "Error Code Constant: $ec"); } # Did we test all of the constants? diff -Nru fwknop-2.5.1/perl/FKO/t/02_functions.t fwknop-2.6.0/perl/FKO/t/02_functions.t --- fwknop-2.5.1/perl/FKO/t/02_functions.t 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/perl/FKO/t/02_functions.t 2014-01-13 03:29:04.000000000 +0000 @@ -10,28 +10,37 @@ # use FKO; -use Test::More tests => 96; +use Test::More tests => 533; # Test spa data support vars # my ( - $tsd, $tsd_pw, $tsd_rand, $tsd_user, $tsd_time, $tsd_ver, - $tsd_msg_type, $tsd_msg, $tsd_nat_access, $tsd_server_auth, - $tsd_client_timeout, $tsd_digest, $tsd_encoded, - $tsd_digest_type, $tsd_encryption_type + $tsd, $tsd_pw, $tsd_hmac_key, $tsd_encryption_mode, $tsd_rand, + $tsd_user, $tsd_time, $tsd_ver, $tsd_msg_type, $tsd_msg, + $tsd_nat_access, $tsd_server_auth, $tsd_client_timeout, + $tsd_digest, $tsd_encoded, $tsd_digest_type, + $tsd_hmac_digest_type, $tsd_encryption_type ); # Preset for test # $tuser = 'bubba'; $tuser_pw = 'tsd-bubba'; +$thmac_key = 'This is bubba\'s HMAC key.'; # Defaults # -my $def_tsd_msg = '0.0.0.0,tcp/22'; -my $def_encryption_type = FKO::FKO_ENCRYPTION_RIJNDAEL; -my $def_digest_type = FKO::FKO_DIGEST_SHA256; -my $def_msg_type = FKO::FKO_ACCESS_MSG; +my $def_tsd_msg = '0.0.0.0,tcp/22'; +my $def_encryption_type = FKO::FKO_ENCRYPTION_RIJNDAEL; +my $def_digest_type = FKO::FKO_DIGEST_SHA256; +my $def_msg_type = FKO::FKO_ACCESS_MSG; +my $def_hmac_digest_type = FKO::FKO_HMAC_UNKNOWN; +my $def_encryption_mode = FKO::FKO_ENC_MODE_CBC; + +my $test_hmac_key = '0987654321test this is only a test'; + +my $test_encryption_mode = $FKO::FKO_ENC_MODE_ECB; +my $test_hmac_type = $FKO::FKO_HMAC_SHA256; my $err; @@ -56,7 +65,7 @@ ok(($tsd_time - $f1_now) < 2, 'default timestamp value'); $tsd_ver = $f1->version(); -ok($tsd_ver =~ /^\d+\.\d+\.\d+$/, 'version format'); +ok($tsd_ver =~ /^\d+\.\d+(:?\.\d+)?$/, 'version format'); $tsd_encryption_type = $f1->encryption_type(); ok($tsd_encryption_type == $def_encryption_type, 'default encryption type'); @@ -67,23 +76,32 @@ $tsd_msg_type = $f1->spa_message_type(); ok($tsd_msg_type == $def_msg_type, 'default message type'); +$tsd_hmac_digest_type = $f1->hmac_type(); +ok($tsd_hmac_digest_type == $def_hmac_digest_type, 'HMAC digest type'); + +$tsd_encryption_mode = $f1->encryption_mode(); +ok($tsd_encryption_mode == $def_encryption_mode, 'Encryption mode'); + # 10-11 - set and verify username # $err = $f1->username($tuser); -ok($err == 0, 'set username'); +ok($err == FKO::FKO_SUCCESS, 'set username'); ok($f1->username() eq $tuser, 'set username value'); # 12-13 - set and verify spa message string # $err = $f1->spa_message($def_tsd_msg); -ok($err == 0, 'set spa message'); +ok($err == FKO::FKO_SUCCESS, 'set spa message'); ok($f1->spa_message() eq $def_tsd_msg, 'set spa message value'); +# Set the hmac digest stuff (none here) +$thmac_key = ''; + # 14 - Finalize the spa data (encode fields , compute digest, encrypt, # and encode all) # -$err = $f1->spa_data_final($tuser_pw); -ok($err == 0, 'f1 spa data final'); +$err = $f1->spa_data_final($tuser_pw, $thmac_key); +ok($err == FKO::FKO_SUCCESS, 'f1 spa data final'); # 15-16 - Get some of the current spa data for later tests. # @@ -94,7 +112,8 @@ # 17 - create a new object based on the spa data produced by f1. # -my $f2 = FKO->new($tsd, $tuser_pw); +my $f2 = FKO->new($tsd, $tuser_pw, $f1->encryption_mode(), + $thmac_key, $def_hmac_digest_type); ok( $f2 ); # 18-31 - Ensure the f2 fields match the f1 fields @@ -105,36 +124,51 @@ # make a new fko object based on f1's spa_data. # $err = $f1->digest_type(FKO::FKO_DIGEST_SHA1); -ok($err == 0, 'f1 set digest to sha1'); +ok($err == FKO::FKO_SUCCESS, 'f1 set digest to sha1'); is($f1->digest_type(), FKO::FKO_DIGEST_SHA1, 'verify set digest sha1'); -ok($f1->timestamp(5) == 0, 'reset timestamp 1'); +ok($f1->timestamp(5) == FKO::FKO_SUCCESS, 'reset timestamp 1'); isnt($f1->timestamp(), $f2->timestamp(), 'verify new timestamp 1'); -ok($f1->spa_data_final('testme') == 0, 'f1 recompute spa data 1'); -my $f3 = FKO->new($f1->spa_data(), 'testme'); +ok($f1->spa_data_final($tuser_pw, $thmac_key) == FKO::FKO_SUCCESS, + 'f1 recompute spa data 1'); + +my $f3 = FKO->new($f1->spa_data(), $tuser_pw, $f1->encryption_mode(), + $thmac_key, $def_hmac_digest_type); ok($f3, 'create fko object f3'); # 38-51 - Compare f1 and f3 # compare_fko($f1, $f3, 'f1-f3'); -# 52-57 - Change digest_type and timestamp in f1 and recompute, then -# make a new fko object based on f1's spa_data. +# 52-57 - Change digest_type and timestamp in f1 and recompute, add an +# HMAC key, then make a new fko object based on f1's spa_data. # -$err = $f2->digest_type(FKO::FKO_DIGEST_MD5); -ok($err == 0, 'f1 set digest to md5'); -is($f2->digest_type(), FKO::FKO_DIGEST_MD5, 'verify set digest sha1'); -my $tts = $f2->timestamp(); -ok($f2->timestamp(10) == 0, 'reset timestamp 2'); -isnt($f2->timestamp(), $tts, 'verify new timestamp 2'); -ok($f2->spa_data_final('metest') == 0, 'f2 recompute spa data 1'); +$tuser_pw = 'metest'; +$thmac_key = 'This is a bogus hmac key - 1234567890'; +$tsd_hmac_digest_type = FKO::FKO_HMAC_SHA512; + +$err = $f1->digest_type(FKO::FKO_DIGEST_MD5); +ok($err == FKO::FKO_SUCCESS, 'f1 set digest to md5'); +is($f1->digest_type(), FKO::FKO_DIGEST_MD5, 'verify set digest md5'); + +$err = $f1->hmac_type($tsd_hmac_digest_type); +ok($err == FKO::FKO_SUCCESS, 'f1 set set HMAC digest to sha512'); +is($f1->hmac_type(), $tsd_hmac_digest_type, 'verify set HMAC digest sha512'); + +my $tts = $f1->timestamp(); +ok($f1->timestamp(10) == FKO::FKO_SUCCESS, 'reset timestamp 2'); +isnt($f1->timestamp(), $tts, 'verify new timestamp 2'); + +ok($f1->spa_data_final($tuser_pw, $thmac_key) == FKO::FKO_SUCCESS, + 'f2 recompute spa data 1'); -my $f4 = FKO->new($f2->spa_data(), 'metest'); +my $f4 = FKO->new($f1->spa_data(), $tuser_pw, $f1->encryption_mode(), + $thmac_key, $tsd_hmac_digest_type); ok($f4, 'create fko object f4'); # 58-71 - Compare f1 and f4 # -compare_fko($f1, $f3, 'f2-f4'); +compare_fko($f1, $f4, 'f1-f4'); # Clean up what we have so far # @@ -145,17 +179,17 @@ ### General function tests. -# 72 - A fresh object to work with. +# A fresh object to work with. # $f1 = FKO->new(); ok($f1, 'Create f1 #2'); -# 73-74 - Force rand value. +# Force rand value. # -ok($f1->rand_value('0123456789012345') == 0, 'force rand value'); +ok($f1->rand_value('0123456789012345') == FKO::FKO_SUCCESS, 'force rand value'); is($f1->rand_value(), '0123456789012345', 'verify force rand_value'); -# 75-88 - Iterate over setting message type +# Iterate over setting message type # my @msg_types = ( FKO::FKO_COMMAND_MSG, @@ -168,30 +202,50 @@ ); foreach my $mt ( @msg_types ) { - ok($f1->spa_message_type($mt) == 0, "set msg_type to $mt"); + ok($f1->spa_message_type($mt) == FKO::FKO_SUCCESS, "set msg_type to $mt"); is($f1->spa_message_type(), $mt, "verify msg_type is $mt"); } -# 89-90 - SPA message +# SPA message # -ok($f1->spa_message('1.1.1.1,udp/111') == 0, 'set spa message'); +ok($f1->spa_message('1.1.1.1,udp/111') == FKO::FKO_SUCCESS, 'set spa message'); is($f1->spa_message(), '1.1.1.1,udp/111', 'verify spa message'); -# 91-92 - Nat Access +# Nat Access # -ok($f1->spa_nat_access('1.2.1.1,211') == 0, 'set nat_access message'); +ok($f1->spa_nat_access('1.2.1.1,211') == FKO::FKO_SUCCESS, 'set nat_access message'); is($f1->spa_nat_access(), '1.2.1.1,211', 'verify nat_access message'); -# 93-94 - Server Auth +# Server Auth # -ok($f1->spa_server_auth('crypt,bubba') == 0, 'set server_auth message'); +ok($f1->spa_server_auth('crypt,bubba') == FKO::FKO_SUCCESS, 'set server_auth message'); is($f1->spa_server_auth(), 'crypt,bubba', 'verify server_auth message'); -# 95-96 - Client Timeout +# Client Timeout # -ok($f1->spa_client_timeout(666) == 0, 'set client_timeout'); +ok($f1->spa_client_timeout(666) == FKO::FKO_SUCCESS, 'set client_timeout'); is($f1->spa_client_timeout(), 666, 'verify client_timeout'); +# Now iterate over the various digest types and hmac digest types and +# Generate spa data for each. +# +# @DIGEST_TYPES, (1-5) +# @HMAC_DIGEST_TYPES, (1-5) +# @ENCRYPTION_TYPES, (1) +# @ENCRYPTION_MODES, (1-8) +foreach my $hmac_type (1..5) { + next if($hmac_type < 1); + ok($f1->hmac_type($hmac_type) == FKO::FKO_SUCCESS, "set HMAC type: $hmac_type"); + foreach my $digest_type (1..5) { + next if($digest_type < 1); + ok($f1->digest_type($digest_type) == FKO::FKO_SUCCESS, "set digest type: $digest_type"); + foreach my $enc_mode (1..8) { + ok($f1->encryption_mode($enc_mode) == FKO::FKO_SUCCESS, "set encryption mode: $enc_mode"); + ok($f1->spa_data_final($tuser_pw, $test_hmac_key) == FKO::FKO_SUCCESS, + "spa_data_final (HMAC:$hmac_type, DIGEST:$digest_type), ENC_MODE: $enc_mode"); + } + } +} ############################################################################## @@ -214,7 +268,11 @@ is($fko1->spa_client_timeout(), $fko2->spa_client_timeout(), "$tn spa_client_timeout compare"); is($fko1->spa_digest(), $fko2->spa_digest(), "$tn spa_digest compare"); is($fko1->encoded_data(), $fko2->encoded_data(), "$tn encoded_data compare"); - is($fko1->spa_data(), $fko2->spa_data(), "$tn spa_data compare"); + is($fko1->hmac_type(), $fko2->hmac_type(), "$tn hmac_type compare"); + is($fko1->encryption_mode(), $fko2->encryption_mode(), "$tn encryption_mode compare"); + # Using fko->new_with_data() does not recreate the hmac and append it to + # spa data so we don't inlcude it in this check. + #is($fko1->spa_data(), $fko2->spa_data(), "$tn spa_data compare"); } sub create diff -Nru fwknop-2.5.1/perl/FKO/t/03_errors.t fwknop-2.6.0/perl/FKO/t/03_errors.t --- fwknop-2.5.1/perl/FKO/t/03_errors.t 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/perl/FKO/t/03_errors.t 2014-01-13 03:29:04.000000000 +0000 @@ -1,6 +1,6 @@ ############################################################################## # -# File: 01_functions.t +# File: 03_errors.t # # Author: Damien S. Stuart # @@ -23,8 +23,10 @@ # Preset for test # -#$tuser = 'bubba'; -#$tuser_pw = 'tsd-bubba'; +my $tuser = 'bubba'; +my $tuser_pw = 'tsd-bubba'; +my $thmac_key = 'This is bubba\'s HMAC key.'; + my $err; @@ -35,25 +37,25 @@ # 1 -Try for invalid encryption type # -$err = $f1->encryption_type(-1); -ok($err == FKO_ERROR_INVALID_DATA, "invalid encryption type error test: got($err)"); +$err = $f1->encryption_type(-11); +ok($err == FKO_ERROR_INVALID_DATA_ENCRYPT_TYPE_VALIDFAIL, "invalid encryption type error test: got($err)"); # 2 -Try for invalid digest type # -$err = $f1->digest_type(-1); -ok($err == FKO_ERROR_INVALID_DATA, "invalid digest type error test: got($err)"); +$err = $f1->digest_type(-11); +ok($err == FKO_ERROR_INVALID_DATA_ENCODE_DIGEST_VALIDFAIL, "invalid digest type error test: got($err)"); # 3 -Try for invalid spa message type # -$err = $f1->spa_message_type(-1); -ok($err == FKO_ERROR_INVALID_DATA, "invalid message type error test: got($err)"); +$err = $f1->spa_message_type(-11); +ok($err == FKO_ERROR_INVALID_DATA_MESSAGE_TYPE_VALIDFAIL, "invalid message type error test: got($err)"); # 4-5 - Bad rand value size # $err = $f1->rand_value('666'); -ok($err == FKO_ERROR_INVALID_DATA, "rand val small error test: got($err)"); +ok($err == FKO_ERROR_INVALID_DATA_RAND_LEN_VALIDFAIL, "rand val small error test: got($err)"); $err = $f1->rand_value('66666666666666666'); -ok($err == FKO_ERROR_INVALID_DATA, "rand val big error test: got($err)"); +ok($err == FKO_ERROR_INVALID_DATA_RAND_LEN_VALIDFAIL, "rand val big error test: got($err)"); # 6 - Final with bad data # @@ -63,21 +65,25 @@ # 7 - Good spa data final for further tests. # $f1->spa_message("0.0.0.0,tcp/22"); -$err = $f1->spa_data_final("xxx"); +$f1->encryption_mode(FKO_ENC_MODE_CBC); +$f1->hmac_type(FKO_HMAC_SHA256); + +$err = $f1->spa_data_final($tuser_pw, $thmac_key); ok($err == FKO_SUCCESS, "spa_data_final: got($err)"); # 8-10 - New object from f1 data with good pw, bad pw, then no pw # -my $f2 = FKO->new($f1->spa_data(), 'xxx'); -ok($f2, 'create fko object f2 (good pw)'); +my $f2 = FKO->new($f1->spa_data(), $tuser_pw, FKO_ENC_MODE_CBC, $thmac_key, FKO_HMAC_SHA256); +ok(defined($f2), 'create fko object f2 (good pw)'); + $f2->destroy(); -$f2 = FKO->new($f1->spa_data(), 'bad_pw'); +$f2 = FKO->new($f1->spa_data(), 'bad_pw', FKO_ENC_MODE_CBC, $thmac_key, FKO_HMAC_SHA256); is($f2, undef, 'create fko object f2 (bad pw)'); $f2->destroy() if($f2); #Just in case -$f2 = FKO->new($f1->spa_data()); +$f2 = FKO->new($f1->spa_data(), undef, FKO_ENC_MODE_CBC, $thmac_key, FKO_HMAC_SHA256); ok($f2, 'create fko object f2 (no pw)'); # 11 - Bad decrypt pw @@ -85,6 +91,8 @@ $err = $f2->decrypt_spa_data('badpw'); ok($err == FKO_ERROR_DECRYPTION_FAILURE, "decrypt with bad pw: got($err)"); -# TODO: add gpg test and errors. + + +# TODO: add more error tests (and gpg tests and errors). ###EOF### diff -Nru fwknop-2.5.1/perl/FKO/t/04_fuzzing.t fwknop-2.6.0/perl/FKO/t/04_fuzzing.t --- fwknop-2.5.1/perl/FKO/t/04_fuzzing.t 1970-01-01 00:00:00.000000000 +0000 +++ fwknop-2.6.0/perl/FKO/t/04_fuzzing.t 2014-01-13 03:29:04.000000000 +0000 @@ -0,0 +1,391 @@ +############################################################################## +# +# File: 04_fuzzing.t +# +# Author: Damien S. Stuart , Michael Rash +# +# Purpose: Test suite file for FKO perl module fuzzing. These tests are +# useful not only to verify proper FKO operations under maliciously +# contructed data, but also to do so running under Test::Valgrind +# for proper memory usage testing. +# +############################################################################## +# +use FKO; + +use Test::More tests => 9693; + +my $err; + +############################################################################## + +# Fuzzing data +my @fuzz_msg_types = ( + -1, + -2, + 255, +); + +my @fuzz_digest_types = ( + -1, + -2, + 255, +); + +my @fuzzing_client_timeouts = ( + -1, + -10, + -10000, +); + +my @fuzzing_usernames = ( + 'A'x1000, + "-1", + -1, + '123%123', + '123$123', + '-user', + '_user', + '-User', + ',User', + 'part1 part2', + 'a:b', +); + +my @fuzzing_nat_access_msgs = ( + '1.2.3.4', + '-1.2.3.4', + '1.2.3.4.', + '123.123.123.123', + '923.123.123.123', + '123.123.123.123.', + '999.999.999.999', + '1.2.3.4,tcp/2a2', + '1.2.3.4,tcp/22,', + '-1.2.3.4,tcp/22', + '1.2.3.4,tcp/123456', + '1.2.3.4,tcp/123456' . '9'x100, + '1.2.3.4,tcp//22', + '1.2.3.4,tcp/22/', + 'a23.123.123.123,tcp/12345', + '999.999.999.999,tcp/22', + '999.1.1.1,tcp/22', + -1, + 1, + 'A', + 0x0, + 'A'x1000, + '/'x1000, + '%'x1000, + ':'x1000, + pack('a', ""), + '', + '1.1.1.p/12345', + '1.1.1.2,,,,12345', + '1.1.1.2,icmp/123', + ',,,', + '----', + '1.3.4.5.5', + '1.3.4.5,' . '/'x100, + '1.3.4.5,' . '/'x100 . '22', + '1.2.3.4,rcp/22', + '1.2.3.4,udp/-1', + '1.2.3.4,tcp/-1', + '1.2.3.4,icmp/-1', + pack('a', "") . '1.2.3.4,tcp/22', + '1' . pack('a', "") . '.2.3.4,tcp/22', + '1.2.3' . pack('a', "") . '.4,tcp/22', + '1.2.3.' . pack('a', "") . '4,tcp/22', + '1.2.3.4' . pack('a', "") . ',tcp/22', + '1.2.3.4,' . pack('a', "") . 'tcp/22', + '1.2.3.4,t' . pack('a', "") . 'cp/22', + '1.2.3.4,tc' . pack('a', "") . 'p/22', + '1.2.3.4,tcp' . pack('a', "") . '/22', + '1.2.3.4,tcp/' . pack('a', "") . '22', + '123.123.123' . pack('a', "") . '.123,tcp/22', + '123.123.123.' . pack('a', "") . '123,tcp/22', + '123.123.123.1' . pack('a', "") . '23,tcp/22', + '123.123.123.12' . pack('a', "") . '3,tcp/22', + '123.123.123.123' . pack('a', "") . ',tcp/22', + '123.123.123.123,' . pack('a', "") . 'tcp/22', + '123.123.123.123,t' . pack('a', "") . 'cp/22', + '123.123.123.123,tc' . pack('a', "") . 'p/22', + '123.123.123.123,tcp' . pack('a', "") . '/22', + '123.123.123.123,tcp/' . pack('a', "") . '22', + '1.2.3.4,t' . pack('a', "") . 'cp/22', + '1.1.1.1,udp/1,tap/1,tcp/2,udp/3,tcp/4,tcp/12345', + '1.1.1.1,udp/1,tcp/-11,tcp/2,udp/3,tcp/4,tcp/12345', + '1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp/12345', + '1.1.1.1,udp/1,tcp/1,tcp/2,udp/3,tcp/4,tcp////12345', + '1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345', + '1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345', + '1.1.1.1,udp/1,tcp/1,tcp/2udp/3*tcp/4,tcp////12345', + '1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcb/4,tcp////12345', + '1.1.1.1,udp/1,tcp/1tcp/2udp/3,tcp/4,tcp////12345', + '123.123.123.123udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345////////////', +); + +my @fuzzing_cmd_msgs = ( + ### must start with a valid IP, so test this + -1, + 1, + 'A', + 0x0, + 'A'x1000, + '/'x1000, + '%'x1000, + ':'x1000, + '', + pack('a', ""), + ',,,', + '----', + '1.3.4.5.5', + '999.3.4.5', + '1.,', + '1.2.,', + '1.2.3.,', + '1.2.3.4', + '123.123.123.123', + '1.2.3.4,', + '1.2.3.4.', + '123.123.123.123,' . 'A'x1000, +); + +my @fuzzing_server_auth = ( + '', + pack('a', ""), + 'A'x1000 +); + +my @fuzzing_enc_keys = ( + pack('a', "")x33, + pack('a', "") . 'A'x32, + 'A'x32 . pack('a', ""), + 'A'x33, + 'A'x34, + 'A'x128, + 'A'x1000, + 'A'x2000, + 'asdfasdfsafsdafasdfasdfsafsdaffdjskalfjdsklafjsldkafjdsajdkajsklfdafsklfjjdkljdsafjdjd' . + 'sklfjsfdsafjdslfdkjdljsajdskjdskafjdldsljdkafdsljdslafdslaldldajdskajlddslajsl', +); + +my @fuzzing_hmac_keys = ( + pack('a', "")x129, + pack('a', "") . 'A'x128, + 'A'x128 . pack('a', ""), + 'A'x129, + 'A'x1000, + 'A'x2000, +); + +# 1 - Create +# +$f1 = FKO->new(); +ok($f1, 'Create f1'); + +# Iterate over setting invalid message types +# +foreach my $mt ( @fuzz_msg_types ) { + ok($f1->spa_message_type($mt) == FKO::FKO_ERROR_INVALID_DATA_MESSAGE_TYPE_VALIDFAIL, + "set invalid msg_type to $mt"); + isnt($f1->spa_message_type(), $mt, "verify msg_type is not $mt"); +} + +foreach my $dt ( @fuzz_digest_types ) { + ok($f1->digest_type($dt) == FKO::FKO_ERROR_INVALID_DATA_ENCODE_DIGEST_VALIDFAIL, + "set invalid digest type to $dt"); + isnt($f1->digest_type(), $dt, "verify digest type is not $dt"); +} + +foreach my $dt ( @fuzz_digest_types ) { + ok($f1->hmac_type($dt) == FKO::FKO_ERROR_INVALID_DATA_HMAC_TYPE_VALIDFAIL, + "set invalid hmac type to $dt"); + isnt($f1->hmac_type(), $dt, "verify hmac type is not $dt"); +} + +# Iterate over setting invalid client timeouts +# +foreach my $tout ( @fuzzing_client_timeouts ) { + ok($f1->spa_client_timeout($tout) == FKO::FKO_ERROR_INVALID_DATA_CLIENT_TIMEOUT_NEGATIVE, + "set invalid client timeout to $tout"); + isnt($f1->spa_client_timeout(), $tout, "verify client timeout is not $tout"); +} + +# Iterate over setting invalid usernames +# +foreach my $user ( @fuzzing_usernames ) { + $err = $f1->username($user); + ok((length($user) > 100 ### long users get truncated + or $err == FKO::FKO_ERROR_INVALID_DATA_USER_MISSING + or $err == FKO::FKO_ERROR_INVALID_DATA_USER_FIRSTCHAR_VALIDFAIL + or $err == FKO::FKO_ERROR_INVALID_DATA_USER_REMCHAR_VALIDFAIL), + "set invalid username to $user"); + isnt($f1->username(), $user, "verify username is not $user"); +} + +# SPA message fuzzing +# +foreach my $msg ( @fuzzing_nat_access_msgs ) { ### use the NAT fuzzing messages + $err = $f1->spa_message($msg); + ok(($err == FKO::FKO_ERROR_INVALID_DATA_MESSAGE_ACCESS_MISSING + or $err == FKO::FKO_ERROR_INVALID_SPA_ACCESS_MSG + or $err == FKO::FKO_ERROR_INVALID_ALLOW_IP + or $err == FKO::FKO_ERROR_DATA_TOO_LARGE + or $err == FKO::FKO_ERROR_INVALID_DATA_MESSAGE_EMPTY + or $err == FKO::FKO_ERROR_INVALID_DATA_MESSAGE_PORTPROTO_MISSING + or $err == FKO::FKO_ERROR_INVALID_DATA_MESSAGE_PORT_MISSING), + "set invalid access message to $msg"); + isnt($f1->spa_message(), $msg, "verify access message is not $msg"); +} + +# Nat Access message fuzzing +# +foreach my $msg ( @fuzzing_nat_access_msgs ) { + $err = $f1->spa_nat_access($msg); + ok(($err == FKO::FKO_ERROR_INVALID_DATA_NAT_EMPTY + or $err == FKO::FKO_ERROR_DATA_TOO_LARGE + or $err == FKO::FKO_ERROR_INVALID_DATA_MESSAGE_NAT_MISSING + or $err == FKO::FKO_ERROR_INVALID_SPA_NAT_ACCESS_MSG + or $err == FKO::FKO_ERROR_MEMORY_ALLOCATION), + "set invalid nat access message to $msg"); + isnt($f1->spa_nat_access(), $msg, "verify nat access message is not $msg"); +} + +# Command message fuzzing, must set message type first +# +$f1->spa_message_type(FKO::FKO_COMMAND_MSG); +foreach my $msg ( @fuzzing_cmd_msgs ) { + $err = $f1->spa_message($msg); + ok(($err == FKO::FKO_ERROR_INVALID_DATA_MESSAGE_CMD_MISSING + or $err == FKO::FKO_ERROR_INVALID_SPA_COMMAND_MSG + or $err == FKO::FKO_ERROR_INVALID_DATA_MESSAGE_EMPTY + or $err == FKO::FKO_ERROR_DATA_TOO_LARGE + or $err == FKO::FKO_ERROR_MEMORY_ALLOCATION), + "set invalid command message to $msg"); + isnt($f1->spa_message(), $msg, "verify command message is not $msg"); +} + +# Server Auth fuzzing +# +$f1->spa_message_type(FKO::FKO_ACCESS_MSG); +foreach my $msg ( @fuzzing_server_auth ) { + $err = $f1->spa_server_auth($msg); + ok(($err == FKO::FKO_ERROR_INVALID_DATA_SRVAUTH_MISSING + or $err == FKO::FKO_ERROR_DATA_TOO_LARGE), + "set invalid server auth message to $msg"); + isnt($f1->spa_server_auth(), $msg, "verify server auth message is not $msg"); +} + +# Clean up +# +$f1->destroy(); + +# Test encryption and hmac keys +# +foreach my $key ( @fuzzing_enc_keys ) { + $f1 = FKO->new(); + ok($f1, 'f1 encryption key fuzzing'); + ok($f1->spa_message('1.2.3.4,tcp/22') == FKO::FKO_SUCCESS, 'set spa_message'); + ok($f1->spa_data_final($key, '') != FKO::FKO_SUCCESS, "encrypt under invalid key: $key"); + $f1->destroy(); +} + +foreach my $hmac_key ( @fuzzing_hmac_keys ) { + $f1 = FKO->new(); + ok($f1, 'f1 HMAC key fuzzing'); + ok($f1->spa_message('1.2.3.4,tcp/22') == FKO::FKO_SUCCESS, 'set spa_message'); + ok($f1->hmac_type(FKO::FKO_HMAC_SHA256) == FKO::FKO_SUCCESS, 'set HMAC algorithm'); + ok($f1->spa_data_final('testenckey', $hmac_key) != FKO::FKO_SUCCESS, "HMAC under invalid key: $hmac_key"); + $f1->destroy(); +} + +my $valid_enc_key = 'A'x32; +my $valid_hmac_key = 'A'x128; +$f1 = FKO->new(); +ok($f1, 'f1 valid encryption key NULL fuzzing'); +ok($f1->spa_message('1.2.3.4,tcp/22') == FKO::FKO_SUCCESS, 'set spa_message'); +$f1->encryption_mode(FKO::FKO_ENC_MODE_CBC); +$f1->hmac_type(FKO::FKO_HMAC_SHA256); +$err = $f1->spa_data_final($valid_enc_key, $valid_hmac_key); +ok($err == FKO::FKO_SUCCESS, "spa_data_final: got($err)"); + +# Test valid encryption key that is altered with embedded NULL bytes +# +for (my $i=0; $i<32; $i++) { + my $bad_key = ''; + for (my $j=0; $j < $i; $j++) { + $bad_key .= 'A'; + } + $bad_key .= pack('A', ""); + for (my $j=$i+1; $j < 32; $j++) { + $bad_key .= 'A'; + } + my $f2 = FKO->new($f1->spa_data(), $bad_key, FKO::FKO_ENC_MODE_CBC, $valid_hmac_key, FKO::FKO_HMAC_SHA256); + is($f2, undef, 'create fko object f2 (bad pw)'); + $f2->destroy() if $f2; +} + +my $bad_key = 'A'x32 . pack('A', ""); +my $f2 = FKO->new($f1->spa_data(), $bad_key, FKO::FKO_ENC_MODE_CBC, $valid_hmac_key, FKO::FKO_HMAC_SHA256); +is($f2, undef, 'create fko object f2 (bad pw)'); +$f2->destroy() if $f2; + +# Test valid HMAC key that is altered with embedded NULL bytes +# +for (my $i=0; $i<128; $i++) { + my $bad_key = ''; + for (my $j=0; $j < $i; $j++) { + $bad_key .= 'A'; + } + $bad_key .= pack('A', ""); + for (my $j=$i+1; $j < 128; $j++) { + $bad_key .= 'A'; + } + my $f2 = FKO->new($f1->spa_data(), $valid_enc_key, FKO::FKO_ENC_MODE_CBC, $bad_key, FKO::FKO_HMAC_SHA256); + is($f2, undef, 'create fko object f2 (bad HMAC key)'); + $f2->destroy() if $f2; +} + +$bad_key = 'A'x128 . pack('A', ""); +$f2 = FKO->new($f1->spa_data(), $valid_enc_key, FKO::FKO_ENC_MODE_CBC, $bad_key, FKO::FKO_HMAC_SHA256); +is($f2, undef, 'create fko object f2 (bad HMAC key)'); +$f2->destroy() if $f2; + +$f1->destroy(); + +my $fuzzing_pkts_file = 't/fuzzing_spa_packets'; +my %fuzzing_spa_packets = (); +my $fuzzing_key = 'testtest'; +open F, "< $fuzzing_pkts_file" or die $!; +while () { + if (/(?:Bogus|Invalid_encoding)\s(\S+)\:\s+(.*)\,\sSPA\spacket\:\s(\S+)/) { + push @{$fuzzing_spa_packets{$1}{$2}}, $3; + } +} +close F; + +for my $enc_mode (FKO::FKO_ENC_MODE_CBC, FKO::FKO_ENC_MODE_CBC_LEGACY_IV) { + for my $field (keys %fuzzing_spa_packets) { + for my $field_val (keys %{$fuzzing_spa_packets{$field}}) { + for my $encrypted_spa_pkt (@{$fuzzing_spa_packets{$field}{$field_val}}) { + + $f3 = FKO->new(); + ok($f3, 'Create f3 full fuzzing packets'); + + $f3->encryption_mode($enc_mode); + $f3->spa_data($encrypted_spa_pkt); + + ok($f3->decrypt_spa_data($fuzzing_key) != FKO::FKO_SUCCESS, + "accepted fuzzing packet (enc mode: $enc_mode, $field: $field_val)"); + + $f3->destroy(); + } + } + } +} + +############################################################################## + +sub create +###EOF### diff -Nru fwknop-2.5.1/perl/FKO/t/fuzzing_spa_packets fwknop-2.6.0/perl/FKO/t/fuzzing_spa_packets --- fwknop-2.5.1/perl/FKO/t/fuzzing_spa_packets 1970-01-01 00:00:00.000000000 +0000 +++ fwknop-2.6.0/perl/FKO/t/fuzzing_spa_packets 2014-01-13 03:29:04.000000000 +0000 @@ -0,0 +1,2275 @@ +[+] Bogus user: AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: /jVrw5swXAiwTCIQfm/DgmW4DzchNfMmkz9D9BhlOvtnkYlsxBypLsNggIhQnwMhISER4QcomfjD7MM9nhrAMicwLLxWXL1ArXqSTM/zZ/MzNH1v1Ryd5gbONmk9xMFRV6Ne59bkynUlQdKVoFOp6kjIH7UMCJMhakznBdYKM6mYxu2zd9FgO2FxsjEbslriaiwZ+ZTl893VQLDv2Lox9RYFuflgWQiwSsvFuAOuUIQ9Erm/O12MVaetCH6YHn/a+oomfl11LtkQ +[+] Bogus user: -1, SPA packet: /Z94ZfHSwY7u8ufEsED5sYe+e9l44ZOxWoLkm6e1/QPMWXj4QJfYA1wlOl385AxUwEnyuLuC38QHBDv5M1ZqBTyv3t/9UdqT5Bq2N6rxgXC6e4NzBrUoeeH7bkHscLpdB6gDVMn1cyjyrbZ/jED7NrE5aW5KOcZ/U +[+] Bogus user: -1, SPA packet: /S25N5Bu+ToHbapiZgVKsWxuk6LE0dc7tgemw7W47zLY0fFPFESXfSyCfh5vg6CYuYyfS8YTSfwPrNFzX5OwrtUaZYC4azyNYu3E4xcwMIc1RE9aIGHzNqfh67r6yZWbIPupRywQgm6GD7nHUKI2WvxErTcz6bpAA +[+] Bogus user: 123%123, SPA packet: +n5kjwTx5kl+2PyvzzpfwD6M4zniEmue51cHJWpA32s834S7tw42Lb1x0+S4n/HTDxZpMmblpggyLqmr/yI03KGiwOZ3LaDxcTJhMHesS/z3qLMotAeqtriK8i8yhGviLhX+QbWaq2lS0Y2DoxwvvIybx0dn9yywI +[+] Bogus user: 123$123, SPA packet: 89rJDUt4QkBwukV5Rxb9r795kYgUXvkrbATOiuWmxrScpCncoSXNUQPb1s4QJYStqAuWnVyHeJS/e6QPAarvugb+VgjkqoOQV/12G7sakLRH6NTfllfbjxjjKJLeYUSF67b0RbrHTfPpK9whrhzLVvh1vMz+xc3Ic +[+] Bogus user: -user, SPA packet: /mBtL5vIuZP6Lk0LSAdjsdBBQKjlI8xcIzdYQeQ0i5Rmee+o2xU/sSrxt3F1lel8qgSdXxRM3wNNBs5LXvY5YKDHH+qRF01nyl0ZxN2djDHay0bR1ySwaU0971OdfUZh+mCeTSa5hLNjORh71Q0TK+38EBFKmVaX4 +[+] Bogus user: -User, SPA packet: /pBXG3nk5g8WvOtVemNGuixBiVIokXdytLMerk85hWlmUPwIXJWllP2VJYGgU0luOwvsl9erg/VvFtq+w5dWGdfLCXoBfqJtuTIUBgCB/EZmSmCnzshKgLkdgOXqBj9upNMuVtWr/CkSjoqC/JYuUsnjovK6cYuhY +[+] Bogus user: ,User, SPA packet: +NttFWo9xtUi43BkpKgWTv6VFzvLg7h56Ao0jzqV83gjBQufzurBy3QL3FUoFRFVm6XtIZb+iyCe6S37oyrmDRfBd53hjW9tVTcHFNbjSbwVazx/8CY4opa0c7taDukm+ZeSrxGrEgaQ2xBOgAzWcaMjl5msB4vfY +[+] Bogus user: part1 part2, SPA packet: 8aSOVXaOVpgSCSgBi6wKmcxekN5GWqe7LYcMMhQ7CqPHbR0tqHm+lDQNXs+g/oEByE7mKHgT7MItJXj+mR+mEkLDPW1V1aKcmOd9MW5AUgwcC+FzQW237N4cV6bUh5BQXw0UI/b1GxGgZYLdZYyzloIqBix0wW/TcYGemXytKFvHWg62BZtx1a +[+] Bogus user: a:b, SPA packet: 9dKARqlqkkNfi9TGyjhF9A9A7v9Q3+uqFJoP4ruuo0d4RNg4ByUf19Gx+PxkxJ57SJW+zAuaPq9SACL+J2qy28AsyyywzgIiDh3qRWW5pTe1VV2TlYM3ZTl1mjW/VvI0NAKA4c6H+q65rQ92lEhSemQeltknSo924 +[+] Bogus access_msg: 1.2.3.4, SPA packet: +Y/knltunDHbR3eEjBa3CBKiegkcovOH4hinLk/6dbxkJMwHAd5zm+t56MhXSaV1sOFii5pWUihqOKGEEjj//LyALKuMYaCrvL6xXBN6XxaGRLOR8O3OQv2X+ZxP4f9RcMOA8H/NN6G/aChqM2S5TuZTQYSKmo3Y4 +[+] Bogus access_msg: 1.2.3.4., SPA packet: 9YbtUGgch2eewXkuq12z9X9UJoniJF7Iq8OBnqKY6avd0vcv3UfpgP0isH71V5POS1+5qBlAjjVTu6oIAAt1D2vFVALt52+VmPUrreFmyWdFXjLRWE8kb16feEI75zQepvq3fPG5vOatJnZJenZUyrhhkBG68gxec +[+] Bogus access_msg: 123.123.123.123, SPA packet: +nZG0JwJcvfElSXvQtYNkENaFYoiyNF4qA8s0vdz3wVLAOffoAMdUyo3wDke+UDF/C8NVmRmElUVPpFAYYDXLfNR/sLqzes1uv98FsO1dhQErKp/i+brQnfModVw6c2SeLuKUW37Iahv20R/IbBF1QAhDJmDkOMg4 +[+] Bogus access_msg: 923.123.123.123, SPA packet: +9xbFsktR2rFh5drNfl+0/dAOg0o/WMH4P5G4DgjGGicGrZUKl8X8G+pUGVoUOze1MMqpM5R/a5eqInHHKwGVy5lgtrQOte5Qo3+I2e3WE8Rh45uvkY52eMcZEIC90r++bf2KAKUhqwqIlgBgpKDNuASdbfWwI8hk +[+] Bogus access_msg: 123.123.123.123., SPA packet: 8NOCb1+nSuivuIMp4z5QooHgvqnWPmvRWH+Pg/8337zZ/ydKX73l/Ysmur5EccHh0qSoCtyYwRTgIMqWs3rNqXy5vtsoyOkL8WySXReYcxWqAzgAuuQpuE3JtWIZmug9zTf0pmWIDh4iZWWsVTYoOj0GN3yWqTMlU +[+] Bogus access_msg: 999.999.999.999, SPA packet: 9U0drvCpDR+I7NRP4pKMg6dKWFM2XTBK6iOYPVIaZgpF8DBVO782og5eLbC8J+ivrXgz27Svrnaiewl22d6kLzOiRR4kk6s/qvJL3P2Wd4czQzjkTblqs0Omj6DG/JK5/t3d3hRIMAFrzRxEFwx7vDD5C+1S1oZ3I +[+] Bogus access_msg: 1.2.3.4,tcp/2a2, SPA packet: 95EC1f2I/6mqfjxY8bhPqrUgxZvImfKrzZDBD/npcI9WaE6K6cvPH1elfBa1PKwqc4y7y1HM7zWbE1Xpcvpk7dDpNautqXbi4DfkyFvCFG0dXJGmx9qpkWNEnI4U+SiICrZ/uVDiXB5tgh/6KN2rbJEgF8+ZIi8co +[+] Bogus access_msg: 1.2.3.4,tcp/22,, SPA packet: 9omFjgxGzvs0L7sHj7NTnXMlNhAys3jxRHZCuvirkvlLRy/cm3x+nUW2jpB5A9rj5aMnTSOKUzNQx8t78B2I4eFPRu+XBsAxSw83RbZB0hSlr81oHABFzYYMxTxhQvKsWB8fvWsZf7Aw3oihWjPsuBD71S3dJ8NAM +[+] Bogus access_msg: 1.2.3.4,tcp/123456, SPA packet: /Nq90MUrG+L01IRiMX3Kx+K1vsDX8hahVLyA89ZU3LBtKK9gt6aeMEPIZUTx42jOGsBnTNLmwmn6YzcezwfauHNeibV045BWpxg//aUdhguseLfgW+SvSjXfTUykQO7QamBM8PjOW9BtXdyee3zKBqc5LfsPvCtok +[+] Bogus access_msg: 1.2.3.4,tcp/1234569999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999, SPA packet: 9kByHtZGK3iQEgWeqOIV3sgittzGQ0JmwFz5XPYV7ayEf2+CVs067LjKFZFJm1zzOuvkfQ33ANdq7CADudSRVOlWOSf7mvdc98/1DuDybw5WiivgF+gU5OZXJ5E3orVOgTTSYgVZ9jVEKIQv7SuwACy0EEwtPlqlMATvPScH1/yp8hyr6xk1UOBTKvZYfZ6EPGdtu3cGAsVXi0QrKe87Pn4wFpNDOYA739C6EqBGXQ1eDubbk63+JjGY4Bhi6QPizh9WwdHIOQffWJhB6N5i0Wg+6nMtSHhLqTa9K5JFhc6Urw3fQBGRZHqDI1FPn2LIpwJ4c3BtQiKFIx0heO6IBt5v06TiNlvy4 +[+] Bogus access_msg: 1.2.3.4,tcp//22, SPA packet: +TlZJ4RPMp/HfEp8dYLUhT1IOTw3Ta7dPpPjvzzUL8ICQFQtDu6U+d8yK+XICQiZgitCg2qsy/UyhsQlh1TfC7AdSntw/Agj1ROz6EXTpN7/rQejMFhQDBlRMqNrIbqwV7CcEr8YstjyXHHwMsVjPVo8R15+17HA4 +[+] Bogus access_msg: 1.2.3.4,tcp/22/, SPA packet: 8iCF93HRvg0CC1m6AAw9TInyTZVg4DqjXlkXeyS8Tm6xZlLwISCjTbnh4+TVBHrnx38Xmw94jTyBIqgNtiF1lpSWffaPILqMs5NVi38LZKRtAu3L0rkOlxNxoe+H42pPXQDxYVYPDdJwGmMXYexAckyfnC/grwpqU +[+] Bogus access_msg: a23.123.123.123,tcp/12345, SPA packet: +u7GTqR1Jl4j3RjgEQorob5M1x5enjKSd9bKxVLXRE65x0hWg52+r2KIqCs4WaIMPBm00pQb7tBV0vMG3b45TpIr1iEgTkrnLIiWXt3Dimkt+iKghsW85BJskPtz4CE7vEI8384BucgCdcI3h9hUPuqcfxPaJPKKoHT20rGhdpbdpd9o+VPFwy +[+] Bogus access_msg: 999.999.999.999,tcp/22, SPA packet: +vD629DfDcua8D8T5tNK+S8oOfHQ1c398kESZ8hZluX8SUE5YZmu+b9EaNCLMdJtCFiKcgekUQGGtjIaBj9Y5hfy7kZ7jLFqj/T5zzCXpd/QEemGl1Ey69k7geOIr/jgdvXkVuX5SJerTPSLyOtgn67X8OCZYfKd9aOxZHnVJVhUase1TMAjmH +[+] Bogus access_msg: 999.1.1.1,tcp/22, SPA packet: 84jhsIXadxhPftc3Fc0nP+VQZUwGd0cjV6Vfpnv6NmijBSC0y53mrhKNVTwqZzEeX4NPKC9ZC02EqZbuYXVtfHUmU8mZypc+vd1XF+gbGkanD/vOgJNQ3DbJhzGKGmpApXNmTv4Yn0MXVcx8C50q5Mr2ACc1NoqPI +[+] Bogus access_msg: -1, SPA packet: +2X6kd38+LTBd5xuFKsuosQWtmWDs+knqjpFAfeVPuZmHlO/UgR50V1yyaLtxX0+xWpZ3/tx80vY/R2qbU5cHh7LUywH6VYxyea/RA334C4QHgbe91H9Jwvxf0DIq1c7hdlyLIFsqTRQ +[+] Bogus access_msg: 1, SPA packet: +OsffjFIv4Tn/jtgiANrPFWOweYY4lhwEyIYb85G9b1G/oqhCM6d1lMDlPqmWJRPvGWXyKrhcFkv4TB5i9u87KkepeqdJYMDL53fYdPn4in6gBUa/cxcGqQJy0AGsKlUR9Qbf8swMWog +[+] Bogus access_msg: A, SPA packet: +5O8ft/GWwts7oZwIm46M1pBtluKVZa9JtwMBr6EJoCyQrh3Vi5wDqmv2bUG86F9wK5deNrdeF9ryzlTWYHHlKxtJ4nKeCITLQ8t1RqB76cSNlGnvcwiA7wk7F9VgRizl6AujQUFI3jw +[+] Bogus access_msg: 0, SPA packet: 9TRGameMp5ESJ4U/XEFnXvR9eiV56J0bhuZBlMjqccNrhLc/psToHXBBgF240ROBgfTbXWNjKudJc2LbOZEIAS1Vz0oBVwo23q5lJeq+uCM0zToGoRA1l+fnFI6WxDxGrjv7CA9SgWig +[+] Bogus access_msg: AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: 8mCGqDV8+05EwlQqjfVihePtdqIYRQ+shYoduEZwLxVj2BWtKmx0GybvemFZ9pTvlaZ2rBlKwo+0/53lmZGQLSEpwoCu/8xWUMVnteqlm5VLPPmRPTHelkVN2lVuM83vMHWyjHcl88cEHz86KXynFJb/xXnbtG78Ll32nHAIfEtYYMWDJBnSmkr+UpWE6Q0lKCO5JpFDRmu1THdCYAxxLkLiDlDtJ+T8c0dZVxb+Uoxyk34AuRWO2NQW+iry/KAz/9DtuXMTabxxjLGe+AU5EJwhP14I775Il5x2mmuu9P4JeDYMbmMdXXnVFah7J5IiGmsvM/e/LJ/R0IF80BJ+32po7ZliDIHLNZ3sepAprww/SVq7Nvys0EXJSudC2B5alqH7YjRH7dyZ81IZ9dmYIR/h5kMGSMEShlKZh/ZkNG5mDvc2wLcfYzs3Qs6uTSU+gFCjqDQv7OZS0ld7w06ytqcL2AIGd3rtLgnPlgHUL3OKwdeAzI5VKMP6uhln7jLj98QXNQSxeLpCvPoW/L0iwSiJeH6/aaPhxvv6wOUqn1SeggWvHpVnIgVgE0CVerqfrbgJICl9iX/bioosqtiNQP7GYTy/YFuNO7RPwRJv78iVEAY8XstmssTOBwcDDewoPV5/bc5p2nk2PvqjIMGGqSXhxwYQOA3ZsTzqzUa7GdMdDW2ZXsspoyEmaCz/WoxWbOKiHyd7+WhmZ6W1xyHBGxt3j1M3v8DhJJspdkXtrwisdIvn+q787RWgF08+/uIaHmzfHffqvKY1u9Ee5QF8hbD5HE38GnQsrbHQsbmiPtQo3ANdvctPsM/uAdVYKnicDvwZ4Xn4ayNNxO+sUTyCdNlDq2xvG1ma85ZHwSWw58UHADZj9ktYCtsSEBjENacV4wI6KvADQF130bB9jAWYxSF20Zi6dPTixk6slX/d2gnjNyx5RCQDVRI5lbcj/arvyeev0dRyzqk39MgC2K3tBGRA69OVHdDvhzyXLJtOZ6zXHkzST4M+VO6baoyL43Sj0aAmgts3H3U/GNl9t3usu/yLJdeDme/rwtv6Yr+9FSJr0mphtbYSJDk7MWMPovfwYuk+mtt6aGLv6XGSsPmeMt/jzx3sqMgKFfdc7yJERoU9wWQjSEiFqXTvSla/vYcYE8L0SUxDNyHwBSwx7WSR7Nuaz4Cjn3CdUJtxMmGaG+YrXC2JSFQkuAZ1Yef0AE3JsKtqUn2d0gCyPGa9jGkru8AMhZy6qH9x01xGw0ZtQLlL3XDKdiApeVEigm6QIerLT0senar3iIHAPIcaIZXXwxPuVYI3VZeJFHUQahhqsoUEEjMkVIfASkUEoo+1p3lAmYsdF0ctGAmnB6ZW3B75HDdgKtYY1zahbEG+ZX6IA0aKBuY+BrTbjdElCD0K8H6he6eAP0cNLKvQZNtUv9VnYSsulwRQnY81k +[+] Bogus access_msg: ////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: 9MtwufjJ65BagHZrqE3eIXC03bOu+JeItVfGAxFvv5+pF6ah0e3niGd5c5tIrk9G9zByeKIO2UNFOmju8psfBWfP/ROLPqz0j5XFH0VXlgcf4lTElKycwwjcVXsHbpwXInsLCjUZHaWoI9c8ImyGfpy3jKcGuX8aPl+rMmLuIETKleMNWWR71kes9gwigCr7e+Rf2pHUdxendn+XFU5Cr5D1eUnK2BKloWNiiuSvSYbjzQwbRBsUPeXViXKSbmQK55BFIocR5dniids9wwdv3NS+JItVbpr047pJoTRm//3CvJxsLUjwQaXPfZVM6RezW9MCy/WXS8kj747R3N+ojOUOBsrEseoJr0w4yKtDrvLoOmpCPBsFFSDrCkY+9RFIuH8Est/LJ1zQm5MFFNnclvVZ8untB/OKaKftTMvLKFHlgx90Y6EmQY46YvvcyUMMORUBsJpVoJc1F7sCA7O5pUfC0qq0c1Q3sAmSbIOkAWkZM0T7FqnT3Lhjt4itFARjicRAC0XBaevbHXMpcfZ9oRu/ngOojRTnof7C9u34GeVBpVAh31SXSPDBwhRPdkB66w/p2rwCt2vSogsEGfgIbIHYXqT9KNwG2t3AII+HVZO5UJLKzyTG1ZOkhZgLHl1UhklIJ/AFRKOs9Wedrc2oCwufe0Or2dSOAeOV4HIRYahFCTeiaFyf3lR4irJaH31ocZm2UDcfU1aNw/zJ3uMe4X7tU/4pFrYRcKcHcKluVnh6cjywQ6+a6DHndJ+6uhiiKrr5PaONITqK6G5iU1fxSMo/XZcveERcJG62t09D5G2tFId4wHayzTNyK+O0Xt0AMazI00lpx+ddvJWM3llV4s9BKqYRNGG5CJaSkyQo5bi9Vw+haRf/+tGRDYf866/9CLYniQC3JQXPKk9F6/Nh0tbG3BXSDZiB153zJhwNYRhkW7LOzrT88jUN6CeKtq3QVZFf19TIpvpLLwrN+H5krwa3PuU/SP990csa9me9cRGYwBuSaWdB6fqeSg40pjIktUP/CSpjg1e59vOimvr1mS8LFfnRIx02mtoFA9WFyxWtjDOOR8R3VWQ+N1nS1hBcmHr93u6gzSDFT78jW9boSOCMmb0wJENQiIrsgKGhT81O9VbPk/XyKNJIOlA4S+UQ0TsiHMOppcG74xLkG52q8n82rGEZuQ/Uktj3H0LbfDKSMEtJkJzCnnFPZmtaal2Z5IrJOOZ0NHHG5JepQxcDsDUagzjbhF1NxNctbhlQZATZ420UlocboD+NpLG1CfaJ6r0MiZs58p9C1wXJHGf+cqOC5T56tVytB/heek9MYSChcN/h2n/LPHhio0Ibnzu0OI7EpTH7k2X3U/ErKwYrb2rsy0Lb845kD5y2en6nHGsw56w/IDiygTsSsa1nhRDUNfW49RRY6jsn/qOCBfeWqVDPzPX2dHeZQ +[+] Bogus access_msg: %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%, SPA packet: /GJLdBQCkWrL/HT7+wzjLm/+3t3h4XELvsD4tdhmXbEolr6j5tOw6tD33y+Is/BMGAGWcVwAbJoPWVsv88tQrtNRAb4kTu+DvXlIlZiRNxEzXi2MLk3g5H+vScLySDZiaLdcXSYwMs5sWLwsF4+fHp58TyKYImA0MHngI3Uxu9J5R0e7OhxXbw4Wpi/qJ5s1Mi9GSLYisMd2nFzrJtSiqNK1G9jajjlsHjGAnYymoyjzeYSoWZezAVCAbzKkZI8KNlyEvZhEl9oLbHsef4BwZj646XROzdA+HybsPhcFt/UbHcIZf3OdfuuqJJ8PiUSmZZAfaVzoE08Abs5TSvwiUWAuN9S6lCxpxFSUz+wVE8XFzyitIbCtGB0pM1GVmP5YMM0rJGrJeWuvcG9GZeZL7hpBBgV6kqtGmjp/st+Tr1mRsUETwCVAjkZjexjHYL3lf2uWO5Wf+Rd7VJWXrtU0tI5RDOo05G4XnIad+D5AZj1LGVuxdvBEcm+6zkvPalvSs3OXsVFnIx/p50hTtaMgay2qr6lGfgImUJMDLfJO581ek1kLwFjEaxZZ7y5HcemBxb7j1znPyFXXa7li6uEsxp98hWL9C1lrqTvVGGoEssRO4q00VLcbMlCzMGKWfSTyJRV7rWx+36rf5goFMx1T1SKe2JeV2LxgQj4usrVzUJtkTnR6Rwca0+YFQ+w0NjvVX6BvYrDx4VME9NhgzBvS7CgP08qm0bo3Z0gpaVStIPbBxtA6Ldf+tvDe28p7b/DZzM48njkBxh0z1Vvao37iTUXEoLIngXantr+vKoRZncdOJpwtjzH1/oy1jZ2mmrVoKLF0iOsVeuYc7eyosc6AWTWSUCZtW4ARTlXT5XhtEEUM2fPyU7uexNf2LodNvX3PlWlt3dju8oSO3ydsxH4dMMSYrljrv+4/MOBG9mEUyGhTVVCtvWRmBJ2WTetlXmfaKuRMp/qYDdu+FnFQCRSpsDN0vzvsVpw4tJCM0oe29/WVBtHZSD8LCgBXE8S1dius8N2kzqNIQJBHDUhNAvVEcRJvr9S4/s5w88U1ayFQy6N7InoZf9dIdXlVk5+iabU8UC9veRh0s3vQtoN3oJRgQBhJSF7PQXyne2x+a+xsn+HdXKkg+g7o3HlVwi7rcACFT4MVWI82lq+RdK8ExMZMacTAbJP7+8hHrBc5wwPRHEIhUHGYKOaWAJHrpCn7aI2Oiph4rIycErTdZBeQPuERd1CnSYzBDlsz0AprDPbElIe3L29ONv0dfl/flx9jziB2dMoXqIc1zpcuP/Q8bIBaM3L/4dGB6twYWoIDJWvoU0Gy2lXYX1rJVX0nf7UvHBaSJ0hpHCgi20DiefRUV/mFs7llH6C7i1Yhl2/MRDXK/hV+7EmeKcOKHvDaVB0+IF8HiFllHRamxR6xNaupzOi04wrEELe/ltBwg +[+] Bogus access_msg: ::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::, SPA packet: 9otnyXA43ruZAHF/8ByfvMru5An9Mx6ovtwi01RMuyddstvkWgbnt/mrNd9kLr6cCteV4cgpPt+HuNjX0vNL8hXRvg0751J3d+DQubup8iHnSWX2f/ZmS19YrmrCmfbvV/3wQzR5dRyOK1wPdakrhKAVpjhlO6Y7oVe719+RTRNme3uxiYlYBAf+8NaMJ8nftV7BgDsL4bsXCGbH437obsrIB+MF3NS38MyVTw4GBqaUgEysTP+FwSeNEpoEgMZ2/aOk//Y5TvwNhd0CgpmoBcYzHWzFJLYPnhjRL8j6Yodr777Y2KCNbJuD2qK5s6sp0543MDR6n2KybmygQMaO5bfcYBNO1GMXcmhnkghbHy9TQjatN53YdY5sYrcdujaHlZyqsvZVzKV650bzfli1bnUnTH8uhRMG1TRoPn9Gmx53ft9+8/UJzQbe5qGbxtZG2+9GxAi8XTI4E648rmm7EQ8rpGcLMe1LlyE8/jVCsPPiJjZlIq5BUEhWLofDXmufCzp0wC1fHYx4mMR7XJZpr2UZKJv1mv+fkoHC7jQf8m4XaZNefufI3JzIFZY9pBakqMa0O7mxE+1jb2IwQdf1iuepDosX0IlCnSkbyWezoSrDPM7uZPvtbxZsHwNrf9qLliiD/50/dAUxciNope9XSZzv1sTi28J6zlTs3rqHtAQAFt7KJYxwdSVUcGxvPX/e48hwnPIJwsU+iGhSOBcdyiS7tu2gPeasKSCxHM5rlzdRKNk7dm0EQuO9L7tsqiExRrGlV+ohT9DLsG+BIioRJ4C1sE9y6BMY1JuqIsxnY/KVnf5JdLuxO7ErsL3qCnaRlGqA488El7sGJttidV+NvB6PhmSKxn8tSWOLPSfUCbLt8wy8h4J8zh3D4QaZsy0BxQ6v2LLWJdQE1vPcn6pwfl/IDwrvelXoZjiQoZz/6wsnx7a+orX7yxBa3WZCKozQLck5BaF1lROMWqsdzTVxilDqu/Y3PgSMuewsRF6qN5BwPeBIx2nNtTVlB75Hjpvmh7IM+TazHklDXqS3GS8fIx1bXprm594sE7wdc52HH8w7vQ3Si/x5VqjkYrq9q9zmSCa7QrWs2D4QwE9VLq74Rjq9KNKw41gCLRGyZxf3F6EcXy2YnblKZyxw+HAIqyNKkyuj2roG5kzYGhJz5ywE1Ad2lcJyUpO29tcyD2cWhrh2NmvLNkl/VwWA9jFxr0XmEaCitV3JCpgU6zRAkC5XjUBjdysE2FVHp4bYi4NIinI2UiVVeWtcLLuL0GXmdukczh0VI/MP8o77+DLm9qmhBF7T5NWiPULUJFHpLsChmirv+xNSOQ9MbAeC5zCx3h4B3dXOo6xwMaGXdbUl8U0RT2FtBzFdAhKrkHU3DFo68Fv4TuneziVj7Aoq6oYtvOvREfrMZAZw0ejA/bLkEDWRM86Vce3PjALZs +[+] Bogus access_msg: (NA), SPA packet: (NULL) +[+] Bogus access_msg: 1.1.1.p/12345, SPA packet: 9Wkx3X7J9hwy/BgcUoIy6e6kAgI6Djpt8P8zDsxa/g3W66uzkXErYKyFja+jUIaLCUnb28LbvHmDvGgAJV5erSUWVvmEyWxAxlS638gDAfotOGVrmgsvNDtBR2IJBHPHv2my19hAWhjaug8ks3ImVjO8kBcxw1XlQ +[+] Bogus access_msg: 1.1.1.2,,,,12345, SPA packet: 8sxw+RJwS4ScR/KtqKLoFWt53/BIb0McCZ8TOFtfGC5Pe05JsY0DkapvQw1/gfwrnt1wkmGA2v12rEY5G/bjLc5osJgY31d+VWYSFBifIqqGJi2HwGEeDPtXXkkGFP+Bz9O5YYz7y8C5fG+0pDwxiOvPSioTpujYo +[+] Bogus access_msg: 1.1.1.2,icmp/123, SPA packet: 9xXhH4u8Gt6onZ/ZZTumqu1izq2OJQXRJJKIIRSEPKzaqGavpLHJahmmkbtYOiJxc7v1eD3FEuhgGFIaRNCtwjP/2qfAUq+5Fz4kgfWNXdsmdrq3zHMvgz8derrboXleETCWpfM/kzmAtyj13kfCTvQ1RYywDy9fI +[+] Bogus access_msg: ,,,, SPA packet: 9FiGVvHtU60dKuK/djbXshDdgWUGz9tHVcRloxenpSFePlu3dGZ0oxCXc9+DEHoTm7SP7YSyy84J+1OooDPPiH9XiT8QJpQF5uNRwiCBg3XNsU1HSYQKHHxStthx1WFyrClsiU4WYgXA +[+] Bogus access_msg: ----, SPA packet: +2xhMbJ698KGPczacz37YHOu3Qtv5j9XKCJQ5zyJd+8ZV7DTIFwwfAkJY+Gx7SvzwOJTgcEYZht9ssk+to17xx+0HYiURvG4j1+sgWIUNjpZf9Qu/i6SXq86nU72+JAIMNxM2E49+6uA +[+] Bogus access_msg: 1.3.4.5.5, SPA packet: 8FbiFpfkFD6/hmtDHNhHP5JBInLC8ga+GAG7V2mrbuzFlRT4l2/J/Tw7eY/9lSvHnwfrADeQTJv+YH75LREzphNM34x7tujM+fiewXq7A8M2eqhjXpBBqp89TzQC0hUmSIVP9+MCd+BexJ8QDZtvQzlCIjbwpQwwI +[+] Bogus access_msg: 1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: 9zUA2q/HUNhhGF8fHmr5m/iaSDUmeyogEHnD4HCgYVtsX5Fa1uyTXh+zfz/NGj3lx8n/zamGWhW2CrwmVK3BlDCmzqZ6li6Aa0xPtFMy9VFca5s2diPS2RPOB/xYhGwl5zDBMOk9lgIhT2gA1Mchd6Ke8Ik1E2woY28+j+Gn68w8qMTEJ+hdKMtB5dJnmhpHQajnGtLwjWzk4MMZSCeZBj57vqIjTJRjUL2Hv8/9/v0FlmAce2zO2B339/uRXgjR4jWEYPhKlgWZvgpeucZOIfsSjkhAGYPVHpZtQbW0w9plLoQ4J+HGezqZVHK9nbb7iUVdO0+wLlpg +[+] Bogus access_msg: 1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////22, SPA packet: +vCa2vr8XBkUZOeqJjQUf0J7Kun8adiXB9kyNb/jgm3VOQ+5JiOisb3mBIFA8G1vv/5QjQuiKUcSfNIvMNqxT/J1YNs2CBeggSkKcbx95eaAxs3aw3rFRRqIGefkjJoErfmuoi3QnjOj1O2syO8hRLsTgXARyEzqGwtxTw5+iXlDjHom4sjZv1WLIQ0VsmGttIrCMOy95JDm/OK1L2Med11wbExOEkXCBcgrvq7eRGa8S0s0U2UdbqWt0uvMv30yOKTqMOQKstNZ8mLmx+VfaPBfHgr0lEBgTojywTpZuzJ+7srEOOZrhir1K5JQPIWX7I94jN2eKGVA +[+] Bogus access_msg: 1.2.3.4,rcp/22, SPA packet: 9CrclMdXgbd+InhemZ/GM1fZF9qGA34UVyrDhT6WPJParVTF0FOhBBVpKiR/0ANgrSlMNaGEqYMWsLb1mqsWhUyGSlfVeTGzikbCzxN92q96d7saYAvRrXFyCsfAK35hX+ozAfr7aSQbrWrTJIezpj6jjHs9xMHsY +[+] Bogus access_msg: 1.2.3.4,udp/-1, SPA packet: +E0X9Ldu1akqYjbsWfsvjkq8HLgpptSR5P+sRH2NCXCeNRKlWHsMVFrKOLE9gYf7v+CG6tu4fhHOyDdxOAxhEQJUs6b3xrtVOEtTk1ARCt09xCg3cd/nVYsz+gopX2nka9wvgqtpC3+q2cbIBdL6ZvhwmkGAWWL3Y +[+] Bogus access_msg: 1.2.3.4,tcp/-1, SPA packet: /5I2PIBSDeG8mF6Yffsmzop/Iv/oA61llG9LtqQiujNLPqOERVGzaLg2chGghzgFUUa4hXwxAeJWqmjdJxT9KL4ZP6MLWSCO3Pe1vfwfeyTqhAvx35416/2AQmlUCsg0I36HpV/jUum6hdrbsawzCHm9bmCx8c8A4 +[+] Bogus access_msg: 1.2.3.4,icmp/-1, SPA packet: 9582rLaaZbcouFiGVKLOOy4piuquXF9lOHmOPCjHYy51vdGSPxCoNGA6PTRuzHX/Hkh95fsJ+aNQhgWgGSJSxvouSY+XpU5DjOX0QuCekxsn1arV5Kc+1b+g9lGDSjxYfWziW7gJdhdb7Gvn31psx+S1HDW777ZGI +[+] Bogus access_msg: 1.2.3(NA).4,tcp/22, SPA packet: /UU4JSknF1AdvplGDptkH147gw/Z9CLfrsvp31s7Z9qKzWaB0geNf2vME9IduXyGFSN3OJmo4LHEl4FU/9hvo6/4iWDiArMW+Ly/aLL+JvPIB1aDrxCU6z/WujAzcBimSA/qbeimlnWQ +[+] Bogus access_msg: 1.2.3.(NA)4,tcp/22, SPA packet: 8WV22A20VDINU/O7YFwszp/B57Jjngkqo0W8a36LTYAZr6Wfq4S0iWvZRxk+asZhXP7lasiShsD6n98aIOfqUVvu2959VbDBQo/GBs6u2Q2PukXfbg24ixUEs0NygnbsDYR1Ttj0BmTQ +[+] Bogus access_msg: 1.2.3.4(NA),tcp/22, SPA packet: +6gbnhcY87iqE79QPhHxS+lYASlysYwajXpKS8cDXN/6nZzFPUxWhajPInl+9N+lWUSoF49UDlO1vKm4dqM0w7BVi1gDITJUQPjfFeZ5AY9gvM13O/xyraDRq5mCTtxjjoExqzLFLJKD1JN/OGy2DyuhAwiIFr/aA +[+] Bogus access_msg: 1.2.3.4,(NA)tcp/22, SPA packet: /hnYOs4Zhr7z2x35od+drlqWZ+D06BqfgxKaYHj2oSFe63ybIxA80nF4C9uIK3iDAbiJ3beqhkWOVrVaRT4LLwnHCnv0LgFW16zaTkYg8Dh3fz1BW2NIvIxkJNuxo9HuZidgkhM7zGWENlk/5kE5oYsllPY8mwVj4 +[+] Bogus access_msg: 1.2.3.4,t(NA)cp/22, SPA packet: /zTjRC9i0n8sDZda9XjSNkyhiFAteAB7iFSSO0YriX4+YQkZXBGALcG+Nl6rdza/vw9pnugni2Fqw9tCfH+DqD5lnUSMAs0KxbqbBa8KH6PJjH4Szq+m/vAje8kq298DWGNS0nJAX4XJEuRCbl0Deagd+40Xm/9D4 +[+] Bogus access_msg: 1.2.3.4,tc(NA)p/22, SPA packet: 857WnkflKH5D/9suo1kLdZGV15ZpToeWe+XsNEPv7eUK6jPCirN1G+uegjazbbjszYBiRaBQy2iaL2NAxMllCzo1wlovkx6cQgaoThsWtPnpYHnfi4Emsfx83Lgg2Q/zXnkzmpQ1cQjIwPBJAzzUOrjrsBw5bqWME +[+] Bogus access_msg: 1.2.3.4,tcp(NA)/22, SPA packet: /l61QXo68heN1XtAKg5ulON18oXH4pGrtYTDBL+FUNmAenRN3FpBqVar94Eok9lXxov/aW/UJIACfpufYty/+E0Y88vUg+QyOLQUbzU0atr5fELefYE8wI8Fw7s/WaFfG68/BxLUkgkj9PMU4hOjXIyZJyX8TPkes +[+] Bogus access_msg: 1.2.3.4,tcp/(NA)22, SPA packet: /DeHHUBkLmmH3IfS0NOB6fRPWBWTNM6q5RGuszxU9QjX0Hkfnz/XHedHTKonKn0c7IJQ9lC2UPMa7x4EPCLOL0VfWNfDjTpELUDl8v/3X6i1zQDl4pMGyIxn75aySMe1DNKG5BxfyvYeq+LnS4Y4WPBzWVmmY5ypk +[+] Bogus access_msg: 123.123.123(NA).123,tcp/22, SPA packet: /9KO/MIEkSiCSn40WpKG83vn6S5zo/kzBLZUVJAeKxGQzy3jPPZ9JNzXztxpH8Oc5k1pXSewO9gRu4oKPQNdu9ynMfWl9DSW2IoJ4q/PiGo4PLcWJCFowrdGKiR61RxgMFjquyNjtx5FPNYhIxPpdDj99b+fMpBpQ +[+] Bogus access_msg: 123.123.123.(NA)123,tcp/22, SPA packet: /RxQvZggBPowAeYHkO6ZiSabmf0b2LwngKa+HAjxwOH2vW/EZDLX9OWzRlAsRdpvBXz/9KaDkR1TzN7M/I8tq9EcBn8eRLCYaPyxZ+YLKLFItZ0yLOPq1V7pz4zadA57bacQc1vY6TEIih1gvNr6bUe8QaHPWeB0g +[+] Bogus access_msg: 123.123.123.1(NA)23,tcp/22, SPA packet: 86E8gkoCT369mXw3FmdwWmZ6hCeX09vPW1PU1WK+85UxxnXhrNnu1XEHKdUbxWBFJwyInoqUEyU8m+3JGsbEeLp9UXmJ97ZrJtOBXf28poQy7QbAfaadxA91OOWHr76bdvOj/GcCv0XmoC6Tqc0Kr9/9qMX/oySzE +[+] Bogus access_msg: 123.123.123.12(NA)3,tcp/22, SPA packet: 8qEe+fVueTq/3Vo+h004GBTt1NrjcWYtPEffL6RmcSn2MMAlTllrxMfIrxaBH0EK+AOWn/vYCXm0TW5gXDQZe+Yd4WXwTNhH4T5OFh2c3e+3vpEgQCnmrtmyFcGaP31r2bGnbpmFO7UhjYzhxnLpUvwmoTL7vCI3c +[+] Bogus access_msg: 123.123.123.123(NA),tcp/22, SPA packet: /7iRc9xTrlPJN2Z9cXbB8QdXJSOn33PTzTNvvaonI4hXqdPzEIqd+OkiPYRanAts3I+uoQaaVHSharsAVmgH1qQam9IgKXactPQpEbBpeLquDOjxRfkXxN2r8PeDaDZu7d7eqoZZaP4Z8KRwyWoSMCT3wdWrsF9tg +[+] Bogus access_msg: 123.123.123.123,(NA)tcp/22, SPA packet: 9pDtrm9zLJvyOMpMuucEkhg54SR8y9MdlXspXzAcJaHaH419XWxIppIPdx9kYcl6vtX0g5YpQEJF/S/4bYKlCRgU/uxM0YLvrqDwwVL24LNdm0kOVyG+TgQwXeWeG/FnM+V8NgBbl4iCnpY9kac2Si4S5tHIVaHZc +[+] Bogus access_msg: 123.123.123.123,t(NA)cp/22, SPA packet: +oXXi7lonnAaEoLdHoEn6HxKmL/EMK6wvfhymcm+TBsg1/mX1QeRLnLa/N6sLWbpjmYDbKgd9DTfXHk+PqWXUieYACHhhsjiVhnqYwfMF1/wGWpVnxBiGPxA8DxmZlOS7UoQkLZoGvi3zyBpDNbtXcH6AOYUCo7FE +[+] Bogus access_msg: 123.123.123.123,tc(NA)p/22, SPA packet: 8czIx1oL1Wh4lcDdUi5sIT7q1O19hiVw/T/abeNgcidq3Nibp3m9sNwKCKQFRV6pyOzjdIkOPGt/Um7ymIcs+851M3udCn1B6DfE/nHddcO4B7vmsnbuFS3V1UkdMMZLx25j+IuVwkg4WwCBav0kGSdsexyaW5enY +[+] Bogus access_msg: 123.123.123.123,tcp(NA)/22, SPA packet: 9aw6Ol1mWvoAtTirZlnvbbl/3RybEYKOuW/8C6ndQdrdKfN5CpAb8USLULTybvt85HCQxLy5W56sKmf8l5C9sGd6bBEFhcgwR1MvcPtcDbpxleHheWS4T/MU34vJtgH/6bfd/yf13Zvn5bJ12WtLyMrZlA1CEWa8pA7OjFlZvA6C3D4+8el4Fp +[+] Bogus access_msg: 123.123.123.123,tcp/(NA)22, SPA packet: 88BXAE5nWrvNjwK9IThI5tJ5eAxWVTFPfiLk4MNT4Pto2LXMnHqt3suvfnPlhhpS0vbI68/72MCR2kO8DoZMUyqGfVl7MG/5JbrlGyB6Z35vNM8u9xNSxtEZFDu9QbvXY4Byp7fV5UueuBK0JEQZCPY3zz0dk3OL9Nq7SjO/OjEgiNBmv2sSei +[+] Bogus access_msg: 1.2.3.4,t(NA)cp/22, SPA packet: /4Tdu6DQIPQQaQas800sVXjiDAK5T/cTwiguchPiBPemxT/nVLHLyX896UtaYjxxvHa2B0neS14Vt76V7l/qb48W3t0PEQbKnZLUGEu+dYx+bPDpOf0AHEPbrSFk60rrO459PRbUWAfu0uB5Fe0mUszG4dovwUH/Y +[+] Bogus access_msg: 1.1.1.1,udp/1,tap/1,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: 8aIMcsHAV6bVvHfIZXfPAgcVVCC0zfuBmR8vJ6iYFyKtUTs2rrrvE0W/IlpgbQq3JFi589quHYvS0CgYD++i+8/tDkd1M2WPbKQidL6JOHYV65+FJA2c7n2vsJmlDU2c7snAzpZ1egsEONNEXxHG+B0Xg1vTPY8SOmD0ZVmBGJFwBCX341lJOtDq2UT1XMN1F2Ypzyg4Hz8RcV/UGqJhe10D9hPDVWgHE +[+] Bogus access_msg: 1.1.1.1,udp/1,tcp/-11,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: /nVb22cBoBCYwosScPqqs8VXrQMIkHs14B1RO4nexyYfJaz6NbZkduVi4pCnNMGqapDHxsKzuhNXvljXWkbyFDHLaNpRynZpRpN5iBPt/hKiCecsJ1LCDYXeOimGblE7TkB/abIlRqs/tYMRcyGtqaaVRIbn26zixubXF3c7nrO2eksyp7sqfXtcHGIS+fFjNfP9Il/PWGMdZMVHQ8n3GhTEK9LTUNUJQ +[+] Bogus access_msg: 1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp/12345, SPA packet: +lTQw5tauJlz/Gat3bh4iBhVpdRaKYzVHzJevbXXK1kntTURKtppjf1ZhAXXqr21kmiNgV491975xKhWl8mF14E6YV1WoC4YkhgX8TtiPuKN8Ztjhe86zZd5cP/JUJZP/VdgBwe/IwXpbtnyKYKQrjF/K3+T73zUclp9hFc/Ey7zkWc/91sqIiD49Ih/VsVtAVRW/IUaOp/75OnLmkpfa8j1S3WaQjH5E +[+] Bogus access_msg: 1.1.1.1,udp/1,tcp/1,tcp/2,udp/3,tcp/4,tcp////12345, SPA packet: 95Je1PdLtY/V9HPlR7saVU8cs17drpYVvtSs9VFBvuLwQtNx/CL97T8n4TeDkEchOkuUVS8URnNrUa385vReXqkfrEH0hVg8ou/Jo/36S8MxX5sOI3fS1U0TWrueGgyN2/1KB6DHHzKNJIvj/rJTNdgnZvvpJpubki/YYEK/fLOO3eDvFrU8OnQEuwkqHUR88kaU3TxA742AvY/6xcWjjALCnoB5aZGuc +[+] Bogus access_msg: 1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: /BXzyjwM7uy642OadEp+l6Jzaw37U2/ozOp8U9eiTago0GFXan8/R5Yn1ikhH6P1FQxhmVoT8udbr8E8jmneaA0JazVJfUEfCGxXXOkhA/mWoE1hkbwbw2RVN/aRFm/qpSgaTBmEK0HoDGqo0tNhYRTUfgKjYQgPmPiMonLZobUyTRO4sfyMYbkyv4L0pVyXYkh95FuQCxHqZxXm3F6iDBHSH+7k6z/Jk +[+] Bogus access_msg: 1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: 9C+UsaLcMS/wDAVlMM8ZucOmcMaujjuqSHNr7vtgFwWHSGUcspAGqqVATtjLA7dcEcfKf4is01rC6iwVwaPwPpTDj5mCoQ9AYzARMNSVTwRiuYU301mJpDgzT7maR7HvskmlklGutViQ5ZaKSZJKvpBleypSRIra/86UVSUEyoUzfsxDN1vFgqJF6CgGroKL0FdppBP2BYsFF/IGjl96Yq1Brrj2xehaU +[+] Bogus access_msg: 1.1.1.1,udp/1,tcp/1,tcp/2udp/3*tcp/4,tcp////12345, SPA packet: 9szcEBTeWAjwS8/0ESgsCjhqoNoPRMd6T36eRT/ewVWd2KVseClzZo2TKBiq5TmSoSyAvv2UqOnlmjzqUfVl9MyYRJl4BGZOi90uR87DVCFbxi769l/6fpUq/rRlgZS30+qHXPbElh9AOUc9jppXVMineq+RS5KaVcgEDexRVe1i2ygYgtaBayLqU6c9CjIFSipv+Cz3MJCxgN8z12eVfc4eQ7yKFmqmE +[+] Bogus access_msg: 1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcb/4,tcp////12345, SPA packet: 8Q2ntIit962y3SqNWBwVsH5xHdiHYz77FYQwWVGKSFidQ+Mxj8f5RzpRfOx7iORg19Q+41Y8ZS8aY7zwpGqs+hAoS+8sv924t7wlYbAF7+Y+Uu8F0DJFSBfUM5ae013Fj6rxmbtqhXdhmChpz1ZIuCcKpWSPUI+fSoUEBBlGv9erwtZSICEXpx3os/FH4TaP4Dq+ONXN0HfjxyUMgRe+RKGPg6BtjdPX0 +[+] Bogus access_msg: 1.1.1.1,udp/1,tcp/1tcp/2udp/3,tcp/4,tcp////12345, SPA packet: 9HK8WTWtxqRb2ivMYnWmCZZ2/3XLPVltQ/1EAYe9/9Oz8lywl+rOBYPYlf46hb0WOnkEBMOLh790qgFYx6Oq5LT7n6rfh0JgZ43t/rIKFNqgzAiYJyy7MSDkLYECTmYRDc+KBq0sUYOvBg5qwxxujPW+grTR7uwg7s8FkCHW0CthsK7ei2SncR0L1fc4Y0oEQPnxHcQz8HheFZAqvjevgAxz1TTh7XIJk +[+] Bogus access_msg: 123.123.123.123udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345////////////, SPA packet: 9f42CcKIg22OwlDgt9wBX1eC11v6/6Og+YkEu9KlzjUK9Aks7PSHDT6JUJsrhxlLhooBNNE8b35zMktR7Uw49hEBT+ms/bsxGjZ2moQiKtHszJAaStuEfyp9KrdN6Wb38zw2jE/bh4i0IpbgXwF4NleZ6d732S6BvuTXLhauKjMzuVHoqQWiAf6bmEGphhhNjErMzH/J4+v5SVG2IHv12LmZu8PHOR//NORwE0C40aQuCHZhAsdQ/SCfw7uo9Iphf2wX3RSsfjQg +[+] Bogus access_msg: 1.2.3.4,22, SPA packet: 9a9c5TVxJkZfXpAmO4YAYqmbpoYXc2Byx4LwAHOuOR+/2b7xf+ULI065mRSIoLukTPy3DEQYEDjzG7nDzPjbHaGlvALdJ1dyecdPxnoRQK4oS0utGMhyxU+S6IUPJU4x3TS5kXHXKkqnO40VIHCpEEM6+b2qoZanA +[+] Bogus access_msg: 123.123.123.123,12345, SPA packet: /cAAhEudYJEtHTBM5ChaJ73lULkihZ7WwbvIYSg3vNmDP8Vt+AJKniX8iFMVQvVUAVF4oXTGpNTgI9c/ryvoYNEzibaVyMducftxG436a1bP6bBBuzAQwUph7pU2/TZnuFk1mZ0o4Y9/xccV6zXaJLZW8Tgq1ik1whOQvjEHh9kZyLj13eIupc +[+] Bogus access_msg: 1.1.1.2,12345, SPA packet: /DolZ9IornjP31qN9WmZmwzvLnFKJy9sH/iV4+QN+6uHcr9j2l54O3asM0JQ358umr3oEUrrGw9iPVEjZL83vUea35X12ZtEScNe833rUbdT/zP1R4iMhH4Jtz5u1aWkEjxMsJ4u4Zj8ixrc9mtebYf3vkhOuxT6s +[+] Bogus access_msg: 67, SPA packet: /pSaVTAQjTTPD0QVS4kNGkWoQhO2RPAW2E2ChoN46i/zbj1uMN8rFiz78+/0saFGKGkeuYANVPHx5+xdaY0rBuDDhszLVFyKG0ZO9hmTD9kZkTWDbYVDJjwdtOmxZcMtcUsd722dxB+w +[+] Bogus NAT_access_msg: 1.2.3.4, SPA packet: /GO8ZER+62h9vFrW07eyuvUdd6BsuvXkVd+HTDwlS1PhjqNaS8ITMrzyeskqbbiFrGy96UdfimAcUy0hRmZgvev/C3Xua3IX8u7k5NCsJ/F8J0OZo0tfxPyoO8jo2NRrzb22NW89W9/2pw6U46U0x9LELG2n7UnwFSkpMcJntGCIBIzRfjsMUy +[+] Bogus NAT_access_msg: 1.2.3.4., SPA packet: 8F3HaItlbogCchOmgr8doSxXvTdo1P4vYCmLbr43mvVXIzBchJdEWcRgkDLBGElzJYH9cAVYDEE0wNpRbXvVHvMnDAT5DILUsp46AE8oNu1en5ZSH4KItFFDOUGI24eHL6us91OxNEMAYyCfphJEmZEnIQVJJxaneE30T6kXTxsrEp8kgMrRB+ +[+] Bogus NAT_access_msg: 123.123.123.123, SPA packet: 8st8WHUep6Gu4dLnL/3BUrj5UGt2pxnVnUZ7ufge96k5naW2etC9w2s0qK1PRkIdsaRuDFZVefwUmLVP5olGV03BSqOoQa3mR7N0aCejFgmdQdLX5lli2O8iyB13KESnvtpHS8BhERahZsWBeVtYP50dRBOuIvLlkVgRwAJhHZjwdVCYAEEhEF +[+] Bogus NAT_access_msg: 923.123.123.123, SPA packet: 8BCX017dr1LzaSsPxi72LA2haPpOeyYVP3O5cu8XUO2ZvUPyWPAMwokZfYHWeV3TcBJLg8BJrdGKxdFimfiQV+XIHA6h+kHT2cWgffKg0o4IeWINqFd/pGySGB//w87Ymk8T+2sNE3uLvD0adWHHW1OJnlGqBfrx2OtdQOykXZTulvd4Asdc2P +[+] Bogus NAT_access_msg: 123.123.123.123., SPA packet: 95xmDFTesPz1XAKinuiwhmGc15ne+el/IIgCEWCPpwWwPdPyuNWf0hzi3MecojNCZYABc89X2i926ib3lioEbbsvnN1Dw+DooRMf8XWqIwmzZuMuf45s2G/9SOhAcoD7DZ7pHysaceSH7k6dbhUzi1ZgxxsS2EZJg0tu1mZ8+NM53NmCY247gzqmjR0pfxQ74hQMmjhexoZA +[+] Bogus NAT_access_msg: 999.999.999.999, SPA packet: 9DVo2+7RJ1NwKEJ97IEXiKOHWKK6WpU93YFmkovgEx2bbIolWefguIS1RDycYwb9UjC/d89mFFHHvTZT0LKg20vSwvK/3/QTlg3v0O2myx3/8rQ7oDCCtA9zMyoy9pIFe23miQtTjLwypVBO3ksbHFxD7PPlxWW6J8eNH+WHUYCYjmNzk1aoQ1 +[+] Bogus NAT_access_msg: 1.2.3.4,tcp/2a2, SPA packet: /tmzG4bHZxN7qWlHnsg5dsJV9/KsQiMTVOtz87H1DMXn0hQNI/bXlmW0wswjgnrIf6eClmk8Dyxf/iAennJFVE3o6MRLOPUJoApFSoqIfa7pZr3/UeyiLc2vUUlaLxF9PLiMAeDfSWXjjUlFeVclLZiw+sh99cHjzvOe6l2VBQStUbZGIQyUgq +[+] Bogus NAT_access_msg: 1.2.3.4,tcp/22,, SPA packet: +QytoLSozrL4LhFYCSkh9zRkhcMrgUlESr+IT1/vjZtnQFnfUjeqh1JEQO+mkncmuII0zgBrp9/+qlJh3sNHKg8nvw482yvZ/RskIf61YAHIZAMJhBtmN/ZoigIbGIUXW9RAdBu2yD+DN89LFwJkv9Uphj1XDkAPxEcRtDRWXCrzxe/tw5mnAq +[+] Bogus NAT_access_msg: 1.2.3.4,tcp/123456, SPA packet: /wk//MsAA3VZwbnOvKDRB14QxPgyWOSimJlSZsrqeAulhi1mRmpPjDfDHAGkHziwfTrc+KX7kXso7okPU/8zHh6DD92Dr43oRsDZZHwYdXqQ717/fVZyrkX2HmAYBL4MYCgHjplMkIIDbVkGVZe50uROwrJ9im+SfYvp805WAhl/w+Kvzn/MEXeMct8J+jSpnVzNiR5oKBqA +[+] Bogus NAT_access_msg: 1.2.3.4,tcp/1234569999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999, SPA packet: 9YXznEqQHXBaGSSKJsv4Y7UM57XRCDGMuFqVIzeXGfJu5ZsqRp0q2Y/9SHOyMJwCcUANq3AeDIR20MmSCj/Ac/O+7Tyrfi8cRDZJl0mtrDZpjhh8Q3XoxZ3+teyhET+T6heV8YnnhYWW7lOX0yK9JoWjFkfVuNlcU45oFxSG4He4LdHrrB9yulreNwRcdIIKKz7ErkpX58bqDVWpkDUUgrI4CLx8SYygoWgkcrbSyfGNJLUyt8ZPYPNZfPYVdiXCsxWKtcvr8Pfo9/jwa2a0i7e1FD1rkF5GjSdAtG6tSSaqIgkBdOXfDYktysRlrqiHt8y2YvTKOzUwcEDf3bMcOsp72NmfARvfVgotMcweI0wfe9/R8BLGge +[+] Bogus NAT_access_msg: 1.2.3.4,tcp//22, SPA packet: /AqUwKw3kGYowGwvpSojo5N4NeiF+WTWxNe3CgZ0b1aP88pUSKmiVZ7OwztQEVNtC+SzmJlKPsRoC+Zjr7yrq3YlLpxATz8fxOoswF71hSi15CZOUHfyjO++SUSe0XQhlE15j8+jsIs1yL4rLDbgxjjGYxbT0wT5rqbjUPO0taOHyPpCOT292n +[+] Bogus NAT_access_msg: 1.2.3.4,tcp/22/, SPA packet: 8nzToRp2/OGBm1SEj9STdv9xCrBXCimAvyGIf9JX0JrFAU1wKYGRD6mM7aMzia0haY6Veo5hrntZa0PNEaWX9XQjk4meUlLgd+kLic7onvXSmr6ldL6jvecqASC3Zovjhw4ocO5FiUnAgW+z5SmjvJ33CS1SiQafI5hkyqkESlaB60utl0CoxQ +[+] Bogus NAT_access_msg: a23.123.123.123,tcp/12345, SPA packet: +et4Qhsq+woyelEqCAm0QOX50AGlDSXZ+LF5T3l83NcrvzRNYsauae9haD1uCR5u5moqx9GL1CoUhtcssShHU/vqJ9AcGravG+m7QIXkmseYVjZJU19eiktEHL5nDOLhUIlAxqQqLefHbBpFD4Zi7X7Ooc0Tv1Dj+2gw8OzZFB8ajyLaSh05ygk/vAGzKPHtY2ELT2e7YiGA +[+] Bogus NAT_access_msg: 999.999.999.999,tcp/22, SPA packet: +dcdyP8/cdAedL35G2SY0iZl6zrhoMB+jU6U/8lxRV9Z/kB4B8BWWpWQ7crrTLvhhfGFNZlUBwl5UDNf5MVgThVORKAwz/RUMDNwGYKkklB6kFwbG6yO1v6LkCIwEPO3JcO+n/KnOXZSwrXqDJqwRlCm3oLvIdrSvc5y/xTgLvlvZLPcoH6h53ZqTVvpjMAHRsl5k/FrBwhQ +[+] Bogus NAT_access_msg: 999.1.1.1,tcp/22, SPA packet: 98A9wFDjkNoMHcSFbmcmeMAlhEDQLQW4nA+WB2OBaPXMX5zauyfDX37BAvPgaDyuuey4co3ujgilFdni8uVGtdsCqkBuJOHMcD8EKhOlr0lB8cqVbyKhLxxKT0ttwEK4nluus/XBnNK4NPqAr8KknShnx34oxNdVfy0IViOiNCpw54KT+myx6uaLKoeTHMDy7df1BFV0zYOA +[+] Bogus NAT_access_msg: -1, SPA packet: 9EOgUh9ZVF4DR61KylXn1j5IqH4XfgqBPaYxDvNzh7geqBPKn1POLGClaS01J51dYgiw5uWLSc/P7DN00wGlRal2OzdTsVBAvxyc49Rap9aJLreJn1kUH4DofJZgX54yGE4VSIgG0ZoYX/to9GtEZx39AEsQFDJNw +[+] Bogus NAT_access_msg: 1, SPA packet: +PpDbh/B8soaootuMCnz2gAdq5R6JyK422wa8DE2Nl2YJUH0fQ1t7SX7m+WBtOYA9+AkWxbpGvS2cKtBep58f55AS3NgCFp+b9Q2lqp6U4qp3Kyjv+J8OOY33CoqLPeiV2vDmf4SI2GyrQRVdpUP90GD6Rgw58T60 +[+] Bogus NAT_access_msg: A, SPA packet: 94VcibfTdy2bB1mCDF3FVTz4R8uwAanrERsrBKwrdg7qP8IH8IWQktXNnNi9S0QooTnsVX+bMQv5/z4qAaXmgEEZ9Delgiwr2SX8JPv8gPDZUJmpFkDfoRa0TwDJSMIzOGCe1J21UlIkW8YF/lmlOWjCocORHPDeY +[+] Bogus NAT_access_msg: 0, SPA packet: 8ZQ80aiGxShedwRb7leSo5deoRZtKtGIJFD3Fd8y7Y08inzhPOI8L/A8BgoS1o00d7AIxpdouG7enz7aXvt48eKdBSJXva98JGK71TDoSgB3b28/ewsU5V0q3VOZq6RnT9+MK99Y34rNwP5Pc9xSZ2Oa2RxOwbJag +[+] Bogus NAT_access_msg: AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: 8G/tzb5f91GIsJ9FoafFXJ+dy6FB/9t2pI9j6BFkm/BMLPOCZHxwl1JPwGDWqZ4vnsKMQlAY6+/XYXtm2G0lfoDhgKxpPWKRxYkqpYRpfpz7iocCCmyyPx3Gi5Nt3S+OEYcNud+XIFMX7kDsP1Z4MJQgLCGvqgkF8ypaJ3Szo4AeUGFkd9XbBTMlkjeVCBUuPMCJ7sYiudQKwNyuTDUc/092eH3Fkvn0WdafaPaeoSf1A35HIAChLxkMK27ASxeleN4/Q7ytzoZJFkHsSV6KyrNm5CnHSwSFUxu5ZHcDdLaLcPwYRMl76wTBZKJ/y892+ELCV4P3sk+I5DBda1pEYmeZkDiUJImtrc/h7Gp4FjVglL4CBMEWpDSxyU8FmJRQVcnmRv0Dc7frOOrGXnBq5mGVUrbycgTcj5IKITEPVxP0LBpfULZHWI6rcm/JYvWvMTrx4Pa+PoCSoRvHnBHpPQNjaq5M6L0FJefhHHi367l5YTwCJRaZw7ZbXLanocB6vYywHlJPPkQtx6tHOxGnx/Ph3vb0KlbfQVIU+HoRlX3ECOV5iuD8H2Jdj4fOgDPVFcPrWKH5SmFqjFKIbgCSYoXviBfF4uV3niSifE3+ouSKJbt/iVqpeCsCB9xXVvn/khSwopkUN+5ks2ZREpmhs24lInxI00OSdyTYGR24dND9+CyEvRtKaSNDh3yP91+R5QIWOznROGsC2a2R5BOaM1VcKbIhtNA6pHByFWyhBcSG9T8mcpfaMdfoBuq6rGlPtUJCitcYoOp+Zk9ujyL3bHmCfDW5quL3Fui3C3ijE+291EogTtfZGVEOuhI8TELImVjIjiPIt/lqPS/5P2+EIaE6fpeyIA6eMp2FFeiok29apnObAS9t1bqJB9wujEsZk6lESMMalIu/jKxhcc3YW+smci0FedlRmFaSJA70LXhvyjcWukmX5LQGvOrKCe5vTkmOpja8d+oVcOZkrPFpB7GJqFKc5pggAnnAqH5caIL6fMtk6eq9fl63K/+N+itgfjwVg3oSpZZ3m2kgSXfyCcPCM+RWWUaIUYkwEGvNgrhTfgP0jxQOijAzI0YftUkIgqv8qJIwUiOkO6uDBJMCNaTKXHwYDmMW8/8Vgr6e6Fxxib6zN+0N890a8E0Vye7ZidVQBvcdHZxqnK22DXb7BlRPjMvOsLFWHmzkq/oayGyKWGMbi3JoU2E2y2D12Mta2aIHqWqBFdt9wq/jsqiCqrk117F3frQqa4MmhI/60wz9qiMoLLPGT1kckJWzeWgygm9Ie79fDEpIjEewawkj4hdJWbs1AsY47aHfW6JRC6EjwdNpVNwj5ZzaaBwUEU+xf3882LEcRaF/dGtLxaGv+5q5nftIWStj3pun3yr5rJT8DpybnS86703GlPzW/uA8P9m+sGf0F7HFekHkrFIEf5be5h6Ct43Z4 +[+] Bogus NAT_access_msg: ////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: +LsFLaOUEUeS9nAjZ0jtsTI84MjN7PFu4G6rw9GXFhvCLdpRttz5wDiIkaacUz+ysZ/jgMn3Y0VNT5N2f35cI93V2IYlfVFyLQbHtnbogJJCjFDpSBhkR2rwdke/W7wEPTw6S5N1iTapR4GK0QcSHjTYaVUUPxXT9nGnEtKjXams58yQmtgf6ugZvcwWO0Xt3A4a5exB42ss5wQXr0DPBQNC2e779Yvx250ZppDWNsgfcq0YYdqXCxW51JRzcj57st6Lm/u6B2cly0Go+AszvVjwD6EqB9RR9fD9ncYmGexpnyhzTYgPCljcp6wz2yXk68sCCBvB8WERhqcyDARn2zuvfLD8HPCmUD8e1lDQpG1oDzmTJN8tsOH0JN2+SALsrHudIUq1zocZUbCd3OWraSPE7syfVLV2cD0c956vYGtRh9SR8yqTpoF6J2INihmPlFdA4n4t5TMCPvPchu5Sz/selw0Sgzdvzl+1VbUr0Nu2mpwcA1NYfNaPo0ZVwdaYijx429lfvKeqRiOQlrlq/XFih8ci2sODsxw9KNPx9yfDljBo8wgUBOQC0yDd0mLaxYb4D+71uUBiPfZKurS6zPwjM1JLoweq7280vwRFJvPFWvF1I2UlEq3mWX2l6csUBpSKbA8m/GpJtj9AKsn8/usPkYZYUf4lhxfOFEVm8VRrgIKz1ATpL7MLcNeRnsgn46OOmEXvMpOfrqVF5n2YVOFwfPtezIFbokGGUNv/kqW9O+b//vQBgTVp4bMu7XU7GMjp/FkttvmvT89wUeMJTyshnJ6doYdr9GTdq5S4tUC89NXuh82unh9DNBzeohx0DBZvmCKKIeTMXqurP/3iL8pgnw/fzVoZDE+4+Ag0JSpyY5Wv/AP89uLbRJqtDNo8/4URnUjvB9v/FAyqDh2FiGZwwW8izH+zev7KE5J6BiO7HAj5pmMuaIbsk1BMT8Ey470z4kgY4Kya947XqMgACIJfxaqjbB3c14bN+T1N45Z5kD6Nnk9wPVUjlgejANxNj/xVybicr05ZcyOKVfvb4YXzUdM5QEgoQcNIjCdfC5Wt4ITjmaIrUaergmSIhbGTGWulXrd8jmoGimngGEhK5hoFIGDpdJ9U3QV6mf/Ih5n/PvilzfRVgA/lSXXWGuMcAP6MNURq63UyJZcd08C7m+eO6Zlwnv5G7fybP0SghuG1CRgWEELTNN9UaE5fPWzPRHu0dDAFjtWtQcSJuwqsv1ViiVYoC6rPJilOCiUh6qcZtrdWI6o5O5xQSsekQLQd4F8NB7a/zLk9njuKx80bZPWsn/ZLBRsJQFT1C1VuOqOhApK804RjcNVVqt7mD4MAp86FMuy5E3xcDu12QoBhLZ+H5m+XTTH4S9TAcOGlAWsVepi3TvONmuP7zRvxoUx9yCnpvyaxHks3Ngju9EVPj9WLMOQ22+4Ms +[+] Bogus NAT_access_msg: %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%, SPA packet: +Os/irpYTG+8M/sag5QhkBPjWcQk32nuCkemqGa+Tt1hsTlmUhTyAMOZbmqvPuboNWZosWLF03zQcC07F3P3FI+qy/GIf5nnHa0/iKyLFed7dy3YFgP+TDmmFW+FTvylUighCVxf69RFDV73NtRmdxq4CHnOOJJtNGQiv12Ii3YDyLX4lVBaAxh+H8SbWqBhOLM35kknP3kgVm1F8OqWiesR0BxrfKWUamMOVJEwyx+IuvBev4gGlJyvZ+CwU2iI/SDcZqGYgEOY/OaYFJ6twhRv5CA3eqeUCJpeOwnEnfTj5J3b6cjB1+baIsle9FvTA/vtv1rzONISS5Lh+juiAhpxfi8LuVkX8qCkl5399d6jP/bmDuwS/BHNwa5/4XN71m6K3FmLsjHQdIYo52bz6p2remVBPpgC+LNcMeubFN3pSRC6fUmHHfHN2QpnVNTwVi+3r3+PphqsZeQtipzOsB/2Df/DPdu+NsRGAhuBvKeXBXKkcVfei7yUf7sYAEKlzJwKGKjPQ8Nes2EwXnWypr5QNgOKDRh0+9EqLIvChCCukqNun3OIdYpqJa48695QZpjqg9QY1bdjvrXFDBo0ui/CG5yuO1zgQsDFIfFpSiGYysBlW4BsZNCLIYaI9cPEZI3RCJcQI3dZp/8b4CSSm6phnOEMyUUPkd3we1cB6C4XWixktc5ojIM6coIZ93dh6Zcg3BLVYrZEDxZAFX48hx5w+7HDj/o6Udyvlj/D4PJjgJ9Eu1ADP6b/eAvqNrdExoU8xWSVepu8TzMP7FJwIJAYFUeSmg81c1tX7/7UiUN/Hu0imoPFuhsj3q5aWNwyMGVqooFjaDEhndqM7xPLnyLSTiivUn2TLblGzThgPiYywkWUGuDNZ3xIbF6FJ2wiUV4VeIT3HEu3clTDfw34qU3QoMa3j0t7DlXcdP4VWi1STpN21uW49L18fyTtejuoVTuA3YeyjjQ51ebigGg7Dpmq+LL1CUU5ITw6JPI+lP7/nmhfFO4aPK+sZ1iWs7aCRnuy+hgH5EiSqM7TZuYgPjZ8JjlRQW+4fm3VzXlsB1QagjFrUgx45dJHMTcVeRRUpNPYu0IDcqpGbzEK9D5Pcwfqvm7UFIZfTUPSwQaIsjjV81LlyFzYuHIfTuT5TmQ1ALQUxUGtwP+5qJgO1xibzXeV7786d1fD8U1nhT/LFuNZC6UlhUGhdtbwswoNWBFf1oBPXdFUNgq5MJSlXOBNJzbhb4KDCHkdvCcbzRbramS5kKXtBHz8OJu9WvgIHzEGf699MZSPJfkrXdKwN8rJrtbgp6iwQO1EzEouz155BjBWUcc7I7HQMcjlkNr/uQPTf0MAGa+ut05TSs+qAE/YoUhqXEY6XcMu4upAHyct0vOfVwnnnlGVYClg6oJieAsQIrOko1jY5BbHM8KGSShClHbrGV6oT1tOY +[+] Bogus NAT_access_msg: ::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::, SPA packet: +5/rk/nQ6amb/GdxBZX/R0C5TIsKGRcAv5fwm/ngVx4d+A0IXcIdrutC6WtMiW4DV1kei8JEifCtP5+7ql+rVEk7ocT1TLYFioZX/WIUgv/cPPV99wuhqsVDhI+hFPZGSkro0oVwRlyyKBBdVtdWTM7MoB3Gxglww1czghY0aCTviSH6NmQVGVN9k3+GrToWyFTvEivlzYTMAAEK33u5qE/4/dYqeyG6lIp8CBjruHTnt5R5ldmkmSEbKiWzxhjmxUElmLdlL9kws2MCQQ/v1rIe2Lx/eCBcajNNE3ECUQJw8/NkAFoPulT7BivG9EfB/W8YZChfDCtPLsWwRVUsgv9Om/CkBLzpgbURHsBeXhI8M/PwNM8Hhmv6To12OwDg6AnbZCVKu2LnZZAQFPYPLb8+CmD+sFSNjP2IR6n175agO28zHqkdPEJWMdTVPV0WIrQ1dtUBo80PR94Qm3VdfZqHztiRWCvywA/cPi6r8nwWdMBS2EnwzzjRV02ekRYduI5J4mVVHSBsKFRVM3xhO3saEbqp0Omxaf+l/8lZwgEjYk30hh50HtQVhQUcPvmGKjRohMbnJ6IkTHh3icrjCshs9orE1yI/vpyIxpXPxc2iAg0iGC5Z8pxHfZvahr2ciiT/DtmtUsNhkaHFbNnP5FWACuJZDlVee463bftqTWrEJj4gxsAVE1XAMSvK8M3TBxCziFaoDPuMy/0qVQ/AqpFogWMhEjOA5Do2W54MFoq1LEutHCv8va9mkvTwFh8EdF2Npcx2ZEkrp1LKbWHxYZU858Gc7F/MYpl8lLCrgbYyDh78hFT+ElorzWlmhszgtTCQq6+Z026LBFy3zV6kOgdlBqg2qYIEjw3eUT+4SC1rjfYDgVwXHW4JZ2Ck+31DDMd5g1c9sbuXDPa3fJ1xJs+bADHTA1iKLVwtbH9zRnXS3rf9U1GInTa0+Vy62NJ2ZT8K5guBrGd7ds5FhudUqj1KQXLrfVocGS6JXn2rFeoQJhRr/CAS3IqYTCDrrSp4zCIKaGxPWLTgRXKjQKLKplN80JjUJq1nAyCYyFwV3Ha+MdKsx4qsA898eE411H0dRrfNakDu/Jy1BcO9PIS3CAhIdonDVfZTuSltCwGN06/nPcnjzDTuMOV3lbfbfRo06zKeENbnJAkiIe/EWKTrLbSObQUgW4wcZQlftshwl2D+7ExUmOyVR2ufbYfBUD5bfXueaUdwZ1z9ZYLNI+YSnq01L/b/+BWJYkc3YKrKPbu3kAmMBjMz3UBTkyPW/3JLrPMsCmp8SmLY76e5vXx2FfefouR2nb6Dx3puOt6N1uO5LWQl6Zs0Ap+jvYuWMDE9xuPfE84uczvi752KYd03UnxMAtM453QACd162+pyBFDUE3D0qI9gc7ZpgCc1zL2EeicUUKP/MLzyfEmEKmnfj9H5YkSCdAjKs +[+] Bogus NAT_access_msg: (NA), SPA packet: (NULL) +[+] Bogus NAT_access_msg: 1.1.1.p/12345, SPA packet: 9RN8e5TRGRBZ5m6xTVT9D7aLlLf6hs56+2xhFPXsi9SBU6R/IFBDaE64Ghid7LDgWcTuU8oc6xy8K7DUIhPw0qi/B8H3bfFsd2kM1M89HKiezAFGoF3f+8UUddDgPgL0lUMvczvhkBkV/hWM0za61nWhRGGLA2s6MKvIvQNNKDiIGZz2DbmG6o +[+] Bogus NAT_access_msg: 1.1.1.2,,,,12345, SPA packet: /DXb0h0V+S0MoRYHXckaE5krVZoKDffg2p+Xq56KEfVMvinglYXm1LReUB8Mz8o1aD/nT3PB4VD0T0nrNAynhDr/7dA7s2smgJoCSsYZaTtm357TDqyeFKkw4yxAKXL4RMpcUs/Kwokm1wjWpgOAI1S8SpPTUjo5mFZF387t59MdtKR8lMpsipYRGdHmo2Fry0KumC/ipvkg +[+] Bogus NAT_access_msg: 1.1.1.2,icmp/123, SPA packet: /sciyFXrtCBU5AuLITClu5ZZyIDDuNnbQHOxKec0Hu15xGqKqoPC3vIoIzflC0gCTrs+LN0FuvExA4m14jiCNSZ9nXLvcOeXMD/OM1Xhin9d6B4s/us9r/Q29XfImM28lZb6GtoTrbacEuGJx0dnCri1T+VviF4i7T/MwqweOF2qKCob7O2RjuAGpiUyhRV9XucumRQSgEng +[+] Bogus NAT_access_msg: ,,,, SPA packet: /tBYc0qhj06G9AudqTyPg3u7z4n0dWzdpc6AA1CDqbhlZ6enmp0RW4EhjIhBKxvx7eXs0ZQQwBSpceJzvdcGmscF9/1dRP/E6oBrh5oCVA12B0R/GtUITDoVhYT9R4U62psfWuph2PY0zhKeHBmSGEUFc5C+HIu1k +[+] Bogus NAT_access_msg: ----, SPA packet: /dVk4IiBnlw+o1fmsd47g6fwNKSHwPU9vGTEElQzyEqChYrP3G0eHuZYhF5aeEC4E2yoV62gxNt/4IyEFf+UpbOeQndOq3M16BGbQ6s5fP7evODhCqd0Kuhg+G6aMqCXKnikgYwoKa9m9/GVDakyxhxV4iND6MLjlwJgF/RKcxibwuT9193hq4 +[+] Bogus NAT_access_msg: 1.3.4.5.5, SPA packet: +zgQVthMOTn8Bow7tYTumxFg1TnUbyxh1hrmMkbJght5ZN9hwMGezY/nC+qBbkme76rqmB9RLp6kO8JItdM+GHd3DqA8O4IQiM5xIkFJS2HJhRsPCfSc5Nj2Bk3Cy5aPXmnhIDzijnrqz4YqQUZVN7VuRj2GCRKelHJSn/54ERDDEgFuWQy8sY +[+] Bogus NAT_access_msg: 1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: +JzC/tT+1eAVYMOUt3RXbV4gJLhjHIxphuYymiCl1r7plRgUJY0wjna+qvjGYPHXZcRTaxcUiFox/sNnTobfR5PcwyX44oVPN7aDr8WhyVyruCjWwDn7UPuRtD1RsjbSChAEBEclG7Yb314JrChlM+xv0rNOYws3p4ITW4Wu+BlzD+ngPaTrq9zzwgaLRZsczss/Upq73IW+yaJCUnhZDs4AK1vF5kROtP7mqiS6RTVoZf6b2u7hw1n7uNxpMvgl/081cqD1Q+9cbF5Xu2Tvau6JClP8RYpkC01OYExs+LqbdLnZ5A/4FhF3nXEs1p/CeBcqPPXAuryvfUKyEbzsDGM7euW/mcyU0 +[+] Bogus NAT_access_msg: 1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////22, SPA packet: 9lTKaJiXgxWsqqdW9lSz/0hYKniaY/L2V296pgpTARkbCodP+sT5c5iqq+Ut9QVyIs32lCSGeuHJ3KW4Mi4A9yTLom3RUihCJuP445ewpjQ1Oss/IlnrC7HGdnYig4VLNMgMNaid2VBZixjIv/G2H4xxdFqAi8n9OtRS3uNc2/iuwNXF5n+O1VvoGjVnwuzQljwbSGC4v/QRkYOPKgXKhRWKhQgLRHb1MNVhP7CkY5iwLZZ6JZMo+YJEEo4vdmtt8U4RkntKud8zeJ8KOJmjBiAbK2FFE+1ORNaNRvfemW9Fa+uYXNwClSTG9oZPV5UD4GehA/tqy29zkTqn6v2MtMcHyDqngikmk +[+] Bogus NAT_access_msg: 1.2.3.4,rcp/22, SPA packet: 8PU/teWjA0F0LU35wspf7D92CCcpXVS/o9W59dJaD4Z4vejVzTs+jRhqxwuaqi7SBoYniUAwvwdQ0rdK0W1mIfeMkGQUznGvaWHph+MEYNsxSY5YhozTTyB7e99r0snHzuul+JOUV+Rj7Ntk6jI8z6SIaRZj6BqO7UlFbvTERsj7dz6xmhcETA +[+] Bogus NAT_access_msg: 1.2.3.4,udp/-1, SPA packet: /7qVQz2A/Joiv4IR3K1P6TrsPMgPL5yDGa1oiVZ5dsGUDh7Ukk6fL9buWK5hQUhDv1vtDv8eS4BpC+4cji4gm7QHqir3dYHApVbA2YKe6gh38JenL6HqTEIXxYu8ElsybTpWfyux0pnJXxgjCh/rgTUttwCZYb/bVZZ0rGGX4+g6jb9Ks7g4tA +[+] Bogus NAT_access_msg: 1.2.3.4,tcp/-1, SPA packet: /0mwNVSUZgjub9DPfgPCfYrs/alzN+zi0enDEFVGtPkqY/Jd9lVVthNnDlxJzHUNuTIRn1KssdWu2UZuPYpn8Xwicau0YLWegrIRiAIbBEvn/qos7VLO0rviOgFC2VpoSmspChz0YcQPVC9zkJDQhZQL/0Ofk0+Hb1kX3cPxvoDzDZPTDNSUaO +[+] Bogus NAT_access_msg: 1.2.3.4,icmp/-1, SPA packet: 8lLAHp0TIGhETBmrtTnp1jac3nK8SOcVG7S3LDnxQe9J/fDJMbFEMeTagnJla7ZUxHLNTGnL6WvooO1y6WG7sVqBL8WyjDLi/IlmAAEatXZ0VHqRxGWJnE6/lXDPvpKajfPsVAOuK2q1ul0plwes38VseoCgV5fQnzClP3vvNBV1qmL4pT17TN +[+] Bogus NAT_access_msg: 1.2.3(NA).4,tcp/22, SPA packet: 9AiRuMSwr3s7lGCnj6CAmgUbDVAmvLKttN8gxIq8OwGAn/NSVQCzGYsmhHGjtjFEcdIZG4DWwehTqyv1rISR28Jt08YnDg6PumaAGlAeVqp259ApnkrqaZ9gAfGvI3oITfKJ8oMfJB5SDaWqn8Vfq1Y7JdVFY/RiPlyuHNuzdASOdR98tsywOZ +[+] Bogus NAT_access_msg: 1.2.3.(NA)4,tcp/22, SPA packet: 8yTNBBzIsONhFM2L2M0yoZTPh2X9JYstwwKu5ZVTD64CU2lcuYyn2hN1eIVMq5pSPhKHrqmwYDy+SJbbJU2jxUwFysaw+KfRD0lwzdA0qNz/d9QpNy6iP2nqD0Uf3Ffr3M3f/e7HRtq2o5dFQM/ff/Uf+lYcmU2Og+0Z0rb3VUN1BlKNbkk8A3 +[+] Bogus NAT_access_msg: 1.2.3.4(NA),tcp/22, SPA packet: +Lkrll7Vyrsk4oovcSqouabDUGy7/Iz9p83uTTNgEcM6R/7FqhCoWubOniDaVct3DJUrDeU2oo0lSr2oafxB5aPu5ILMVDmir/LM+9qqRGc1OCkXjEH9PBOSa1MuFz3AVZEj+dGoOK39m8M55IzdrowmRiNi+tJtc1W/A7poDv5/1arff7tGeD +[+] Bogus NAT_access_msg: 1.2.3.4,(NA)tcp/22, SPA packet: /X1rb5SZl1CJ1KELhKQr24Uql4tN5hD480LFRRp5fc34XfK52nMfwSqh4cDyFwdfk7EXlTZajxd78m2To0iag6k0svNy2KH7yFxweEqdWaHKAjb99AbLRDitaXSt+ZsZyxH1RPT6ICX8IG9L8EfHKj1HLO0mgonoEvdnFJF3M618FoNR0YMWQF +[+] Bogus NAT_access_msg: 1.2.3.4,t(NA)cp/22, SPA packet: 9XLlCoDnffOdBoUOwT5ypNWym4PB82cj1TYKk8oDSMJ6L7Qgj1leuJNZXsVA3zYv22ynmI2PnnMo9FtJcoURbh6a3oFKduavcs8EpDztDjv+yuSPTCi0NUHajcdB8UFklR1DzYZSOEKEJQIeVPOLuUOQfc6Di7e8p7w2ejU69sVrPeuyWksy6W +[+] Bogus NAT_access_msg: 1.2.3.4,tc(NA)p/22, SPA packet: 9mod3kJWfVq0bzs+R7taSMsf+WSRgzESEg7OsEPoH/+8y3GFEUlUPeBNyQsM8slhxbZYSmuJJVCLmwUXXA9WUJnDQmfDLrA/ZgxqTSHR7BZiIxl//YpY3etrDkZXL2pdA58+gwNZzaSw3YEqJdvjGa1B1w04PEPFY8O/ycWYftJXwRgnC92y/5 +[+] Bogus NAT_access_msg: 1.2.3.4,tcp(NA)/22, SPA packet: +DIU6L5tShyF9M75c0peGfh247zvU1IF37wv9rSrAcOC9ZcBE+da2vIgCRqUADhxoakXJuiyDB+vPTchItkzLwPVYck1pI0a9Ojj7rlRkZ0b4RZ2sTf6T68rBl0vY793rF/ZbE8U77tt/GjD3VU2rRi9RON7So2rGDSQINgWtTA54Ie2XKzSkR +[+] Bogus NAT_access_msg: 1.2.3.4,tcp/(NA)22, SPA packet: /Zf1yg9sqFwmK1w/ibyITXPmBLQH00fayKFEbgNVz9WBhmS/TlQwco17ykSNFO8DKGztLCJ7xrEtDHceaKvcyqUeEGccloaRM+e3+hGYtJN1nzU7GsSEfcdZeMe2/AWjdFQiSgQFp0y1LhuTx2ePl67LfJ6fPjHTeUw2GnzjOyxv10volbW6sQ +[+] Bogus NAT_access_msg: 123.123.123(NA).123,tcp/22, SPA packet: /DuINMmdvlF3vkH8DQVq9Tov5NV10yqzySVwbrqL4lxUS5jx9f4eBKIlidJRAZx3OWYyewrQCQthfYBRQ5Jr//+4ObSeS5e0gPaJt2wZLKmQ2WXz8QgPjqzZobkzGWnAp1e5lA5uA0s9xBb9Acld23IT0hNt01Jxvlns/HZXO6GTNQCTiZZu3L +[+] Bogus NAT_access_msg: 123.123.123.(NA)123,tcp/22, SPA packet: /INqy9Jo2z1Ne5RytBI97Qhx33hUO/6G5quLKsRxCfhAR6DVxrpAOYWMF8C/9g4awAzxU2w5v2JiBTb39TaR10eW4XQPzLTM9iWxSPlLshCz5f/SOcMloRO8cDAl1HmC+5iAKYw8GhHm60FpqH+dWdJi2uUHMucVxL1xpN6HFnkT/AJ0NTJivM +[+] Bogus NAT_access_msg: 123.123.123.1(NA)23,tcp/22, SPA packet: +zQiJblbZ4FQCp94b3otZuaTzwqCaZ0/V897Udolt/7LRJuwsSW9tqaefF8FZJtATR2tuHB8u3KsIuamNM7qMiS6wsqrFhBMDLd/9OBoVCHTme/DP9n/ZGZdjbUScmPzhWQoH+PHF41Z0ix9i+BXT0wo83OYXh1DKnw4wF01+gUmmhuKh+qpD5 +[+] Bogus NAT_access_msg: 123.123.123.12(NA)3,tcp/22, SPA packet: /4Q2luBzDXblnGhSbJUth3J4Q4GMNBFOt0SaeUFVvFYl3gnNlyLGZrj69HMKQdWwtzfNTpK9tc3XVLkS9cODK1FPl+1bNC+1Q4S+AjeWxFwZ9JTo/UkE0rkW02hcl3u5TTWpga0qln8FwD86TPdZSE9iSIicjSnrZxGscZY3Fg8uQeCjRbZTRl +[+] Bogus NAT_access_msg: 123.123.123.123(NA),tcp/22, SPA packet: /uWQd2f/yaRWO1C0ZdZOG4xNB+3chEvp99GnZHgfaqWCLoopDoPuEMbXimH+GAgKJk8x70BWMTqhCsyGPbL4ldNkcUq68piEFF1kHojREquItHMRNOGb3bu2/KMAUzX2aHHSdAeW+Yx8qUuIdqr7WYuDj3mc5SmoUJBB9oounTErfbqoZ8k58G +[+] Bogus NAT_access_msg: 123.123.123.123,(NA)tcp/22, SPA packet: 9Sva3iLBb/gWq/YzminX5dvld/L+tVL0C1KdvReHj4PuHhds4p/+2m1s90yXJG59I83KS0Sl5+pI/XGmuM9VG4J7bL7i2PiYtW0LRJ6DwlVGXdnfdvRUMAA8E/um73EqTkoWi93Zx5yNl/rnGR7ulYHzjvTUlR3k4KCR2vcyhhd0QC5SbOWvnl+CuIqdG+a0wBGrrvo4Fsfg +[+] Bogus NAT_access_msg: 123.123.123.123,t(NA)cp/22, SPA packet: /ALJZCT7NoYI1iRUqOKSWs94cMnd+npjoHKsD/kfi0JmHqF8Zr9iSdelggbNNB21dOiIhoYYsRP44Wdp4zKqi34G6706aI1entZrY/wj1gwWr7vMUqH1jJcoEc3Chn3OZRsf24Mur/RBgP063M2t+1fsiVvi8g5oJ6Yqkv+bbDhAyrs1G90u+slrUI3/R/pS7SqADtzeEaew +[+] Bogus NAT_access_msg: 123.123.123.123,tc(NA)p/22, SPA packet: +shAixHCklSjJNWoXCO60ihyc+S606a/jombqcAxmEeZci4CXouHCy50QUeo1Nih4j7UW/dzeqMYbi5jFogYR8Wkole7vacwdmIIbhcego7FMDIK7fMVwwL2p8DI/y09hE1ZSMVPuK1RANV7STiPtdWeNgbuOl1sAgDVGJ494fbiDIvuMiGJyx7JuTIyNxmocghGt4yiDNDg +[+] Bogus NAT_access_msg: 123.123.123.123,tcp(NA)/22, SPA packet: /5qzr2F2MxZn68n29VlLmO6jpV+U9L6OaxAnVMf1/8EMV4t5TQQndT9qnHOojl3DqIMuqjvNd5mfwah/SJiDZ7gPAiSiqeA/hEs02r3UTsvw5eyxUSb6JC6cH9ip+SGMwJboSGh6LkuD8N3DYKhD1RSTZP45X72AhXun2swgmoQWomepuogYmbOJwCWimFSRxbr3ZFqhyoYw +[+] Bogus NAT_access_msg: 123.123.123.123,tcp/(NA)22, SPA packet: /MYYvY9TOIE+mDPi6Mcygg3mVQE6+3n93/v69UDXwv63UV6yQz2r5CQUR7ntxzHtKDhk3T4ay5gkCq/N4mHib9j1Lw80QvHbjks7hxXuBeWr/djJTKUC6jW628qf9YWAn3oFkX1BS7DLue8sYhOU4ZxIII4Lejhaqvekgt2qDDe+MM3loNRJhekLhkU0VIaDiBCgze7mX3Sg +[+] Bogus NAT_access_msg: 1.2.3.4,t(NA)cp/22, SPA packet: 9oHxBQSVe8WQYp9DwTzPkU41QT3ksDmMnapqM33hSb3Ca76k65wEPWnHHfRd/H3LePr/3uJ2uTOPESVE7ItgYx6YHomqXyUAhxtaZvwrp/4GjgD9O5MPcfYvZlecIbt/16GDdtuYV9nooUedO0dZsbYhPx4rJxMoaTM3L/fbRjPdJ2qf3q58fy +[+] Bogus NAT_access_msg: 1.1.1.1,udp/1,tap/1,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: /rBjRQE6uZyQfTPJvLzzjoz2idKSSadazCnt0nTKeqjpy9fjlPEVXIB6A5qTA8IvznIvzGzAIQ8IQmHQdiHmmovC6qOSjcvMUJNkfLciYYPswpENwpQBQ2tUSimjFMGEg4Eo27LltJ5D4KNQfbvmVMW8B2U6LDNPOSUe5tdlg7dfkBFpcOchNJEmGaad/cR7I1my1Yy5J16aLTgiSqvFH8otLLs6HuxOgQiJj/PWw9WzWnv80yEcpA +[+] Bogus NAT_access_msg: 1.1.1.1,udp/1,tcp/-11,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: +aLuJGMPXI5MJVegH3PrtoTBpY4haNNA6n4pgZGhJp2vOnSodI+1mudQdWtsgRfOgysF2YOv085Y2VIjIbLBbk74FZsgUw5sHzfc1FWJvyD7MmozAQCJJrLL6rX2XJ3woKDKSSyiXXuDOA1U9p4guZQNp9aH4Papkn80pl/7b8apd82PjkXqc/8vUE9oGMin2/uql491LrcnILAaa+H4a6FWYdDfVdO7usbxRDK09I9jU4LJuF9HGX +[+] Bogus NAT_access_msg: 1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp/12345, SPA packet: /x1lHjW0ADCXQInc39T87oEN0vI0PmZmCj6Faw4lpIzd88dQ8S5ZfravEdAek48LT1il6z6aDLxIQXpa1tKMOXTv3KIcCTGG+3vGVwkTIK/vjhcIIOliyRqr39zp1hmuW6hlDDw17eHNxfIOd2MGd9cbGmZuXD/UQClH6l1RvShsqEPPRpkf56xUaJgnd8W7MyyE8nar+mVlFUl7pvOWZP6bJQh/nKRU4+J9jgaJDlTMw7LMEWJW+e +[+] Bogus NAT_access_msg: 1.1.1.1,udp/1,tcp/1,tcp/2,udp/3,tcp/4,tcp////12345, SPA packet: 9FHiJXsn++HAZFE/d+0VhQjAb9MjYJuIbRKTAW0vi0G72AqKu3fmwZI7CdKhm4BkjXxX8ZDlfmr4yu7Td71BRICCT8L/zddc6u2Eg7FiLoswmxl2gZ85MJPcgVEms+w4vpV1wvrbVPZE7+XjWI273H82R831Den2wFgbMrvU00bT2Y3dO+8hrp4P4d3AECWvjtY6nG6BJWV2D2A8Fkss6+DBuS2QVkOHUm2+I0Z3fVbpkknJvAcaew +[+] Bogus NAT_access_msg: 1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: /eJO+BwxR2oJq/Jomw4UdCi+dyl0/LiDZUPSMCgNVQuFpglzqi53YDHZ7B3Ok8SIUXrMLylHy211DXJwPpmcxW44kLmZCtptDxCnjnXYuMzoVxOq2/MjfLSmPL0jqPJ6oLFqNJS69y0iTNOrDoOfSbhA+p4CD03l5HA3PzGeKGDSoZXwZ1vpet+Jg1v+Mn92NcQv/WVUuY7x1ia3+sQHp6BRRWmFR+++sLE9c7/fvibeg4TV5SBmGX +[+] Bogus NAT_access_msg: 1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: /KqUHlQqlKCOnbOnBCxjjuRrdXd8zhcSr0G+AR/kCM7Qc0nixV0dXwSw3oOeVVyS2MS5ihWATLp6ebn+TWAmInM27b8aZVpokVmbLEwtp5pDZP7CwG0PhhYxFCCRbvz+uFjdpbgAAITTHwaNPHx6ABatslHJCBVosUNVgUfOFlCPhP6IYlM4Tm8G2e0GvYZV8rgGsGMjYDfdxdvQhP/Pl6BUQTZ7M3rn65MfYVWo7bhr4+ClFbABts +[+] Bogus NAT_access_msg: 1.1.1.1,udp/1,tcp/1,tcp/2udp/3*tcp/4,tcp////12345, SPA packet: /r4aQ9thSs0nLegYfMEYHtD5EQGqffEVO5Swz3AiwQfRdqqnaYECXYie0EurwqZtmuioQbxZ/LcJbz1e1vKfztTX9GgeEkKy7Z4u+fxlm8+GywNkpmkrLUI8LkahzVTodmbepyM3TALQyZlzhHog29C2HGUEd2gxtap2lpQIVfJBZX9WIiqDppOn15g5P7KPjVxi0VeM95U2WrS99QryNvpUKpHlzwgrHyWWwrTawh2zQDdmpNePzB +[+] Bogus NAT_access_msg: 1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcb/4,tcp////12345, SPA packet: 8shWKtawt0mRC0kNzlcANeSzGfO+SM3e2LUpX2ET3IHaoBVouAaTDU+If3qcE/X226dCVLJ3ArJY4Y9fVefiFR6du296cZ324ZcyvyNf6snTJTLzDYPPdFh6yShJCbygw3V4HLkOBvcR9gM5r2n7tfA9g0YfhvbA89QC8CZc1DcMw8VgPUO7B5mUD2/ZXhbmoEUZtpxwclbDFQbilGYQ+kMAlpaeJrbxPm0LG8GxJyKyP4jdCAKAfL +[+] Bogus NAT_access_msg: 1.1.1.1,udp/1,tcp/1tcp/2udp/3,tcp/4,tcp////12345, SPA packet: 8btsSkskMPCMwNuirRgFFpiIjzHg6ORVRjqEzvlRLBAGWfBoDxxaWwwcFdG9KaKMQPQkjUKldDhw3gUotVWwXxF2D210AEqH1gOkliO5wUAnimy9ZEnfg+duneyqgFOd9y+0zM/TF3vaPcbbWc7I7g8ndko5UhUs6QFnzsEW1qsUFpDU2SHl0nrikSTLVtr0kfh/R9sV4BlPkRpad/VB4mX7iOSMql+G5/c3dTy1GtAAKWBkny/Bcr +[+] Bogus NAT_access_msg: 123.123.123.123udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345////////////, SPA packet: /0npgJgkS4kghgp7MyoEZzUog6ijep2Tc3zOOp84hz6GiAAad2T/thrjCbL4uPj6vPlB4/+IBH7LtkUhwMzjJsnr7iwcDIPpSwYDvyCsZi3C2EuQ7oI7IBdq5vy92SAUSRRsoRsPA+HTZZGbSdsYTFI/b+mAanheqKyjJlwLZF4vn/6kuRpYAjuFlu7SWzYDvXtEF2CODQbWJqeE0tnpvlVxLmQl+TP1xGGvjRL6DeOp3jtzd4iGu3wfWmVxIvfu9THyL7HFRC8eutfdKqi650B3Uv57Xi6bI +[+] Bogus cmd_msg: -1, SPA packet: /6LFL4QJv3oLeiLFTg5BJmCP9rQ7FRWqSx5myUpQirTKS9iQwL3vV3fpgOCZoDo5iC1zeC3GVpBgBMfKLY1L+L2vEvrarcCXS1gxpzs/XdP6/2ZCnH+wnab53hF/1oZ+JyvKhnZIMCsQ +[+] Bogus cmd_msg: 1, SPA packet: +s1t1gfnCnMiRdt3+JCIN+/+FOKkWx/hpmdas3WnnD6JnEfBslf0hbvA8FNvluIdMQ5bc9+tDdolRwbQSLYafwVRHXv1KWfBwCf58ZMjLuEl97jw09oi4Qc8e1vKzJovjrOawxxJFSVQ +[+] Bogus cmd_msg: A, SPA packet: /VC12L0IVG1T8jS7R/GB17TbbseizDzzsBuvOSAbomjwutbG5oEKhsugcJ7apeFIP8eJ7VHHWk6wcojE/eZ6pr8MR/zJyGsBligL8GgY6ZnrOPlU48HzZawZYRaheFwGLC2L1Xibb6eQ +[+] Bogus cmd_msg: 0, SPA packet: 8dDwV/pAbb9aIKUK7Lsu+O4NmQG/0ekto/cwKVJlVaTd+Ek6Ov+08VxFZgosetcVfJ9gKKqLVocyaUom5rNGmpBLhjI0NRKiclLqzFF/Ua0/Vtw2w9MBhtSNL3qY5YngIv9RnTI/W/TQ +[+] Bogus cmd_msg: AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: /lZtygV1yZG71nXULzY/JR5K2mEcjG98I/35O+ozKmNuY1jbw4ZVplE97jwxWys/AQ3LLM1yZtPDFwUzpsASMv1SfIr/sH61WXB+9Gz/+Z+05EU8srIU2ysV06+DYLC75JdaabCvdRIG0ku13Q+xWiSTMYxaKbZh42g1Dfzi8Cq9P4RjVhdW05NBV86DJK4BpCvzrgjIRmQiIO4FdCuGrBkwZ1JN2JMXmg3iWMIzrEw4UNnaAzKRvgleuPHVGbJFhAdx/3wBgvD29X/IeFKtgICN37aeyaa25GeZMbnPFnP2xWEr5gL+X/LIQm3Aklo3EMGat9urp7223lnAwZW/0dfUazm5fXJLfIkJe9FUEdiaEdIzDtjfhXsUuKEFNXXn+jg2BTMnXovA8eLdcYd2Iuy4BDH+XyZCIxztU9lnaurP7z7AX52O9RwJBiqKGXBCYPPqGC/6YQpbHhKEtCYvPWR389uNxJ6rJoDDLb5n0IkpJImBCpljCxM8mfOoiZ3+a1DgmteX8UESX5byXegPE8oe4ZXWNe8sUVaRSVB8ZLqSoMubx9cGyhkF2ZnccqDVDjW1jyhvFWzLFOruOHca9Bl0wtWe/SARd6CA7EdGyORtHlNG0L0+TM/n0LoiH+nKrFo28jvqwV6iJjYSeAZGJ8qoQQy2zuG2kRXKfrXoOkGdaL6gnyo+NmJxWC6zMVpby0rmfoJD0RvBzuCZ4+8IlEEyee9NTcsE9v6oUZsBr+huWMQUyUswdj8tcSZaKRLruB4wo5ANONFiyhgPpG6jfxlp7g5yrsRlAahdGj52EeZCxeXBpKyy2sao1imwPBp00GZkTyeTo1Ap9JmYdwT8Y9swd3tsrp4Ak7tYxR4lZpBODYeJgZn9oJcXt0z48jICxj8w5Gag0l4kB7/9Tinw8W5+koDP7yV0gu7M6U2BNurUGTFcAGAeCCGuvxb+Y2dbia/pVJUBFZej14oGrB6dC1vFHavspd9mQcmdRKm9Nx9VGtKQi+TgxaZj3ok5/IPnzG0bEO0RJPG5kptc0OfcfeajFkQiR8vS2rna8Obz+LWBPBaAA56rIIfo6UaVX2BBSUgpJb9WNfYDbTLX/VJk802m+qkBerDp4ZDvbLQDHdph1sEY8cgWQdXp2OZ4sVIu1Xa7YnbMqYEhnZuKxEakLO8RH61FbGuAy8907ljKMONvoYx4rGzZyc/grSn00vN8VOW7vfiMXsDPPibXvnpcGrJ0AP4T8uPMvimYG0wMsbmJ0H3l83xpWovM6z9D7yX/T91d9sGvHzECKSGtFUIbu7tpRO1Z4cDUT2Tt5AoPEr9bctnsgvpY1jl3B9lj9He/a0+HOSOeHD756W7W9Iy0z+JcZUAnpABXTmemXef/3OITE1B4nhC0DCCl+ECcoQp7oDs5fE5oneuyo4EY6owf1ylitMI3ogQTU +[+] Bogus cmd_msg: ////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: +RiPL7IKBliiwDcZx93yKzCPma97dOSCeDga2LKokPqhindzlzmUHoRSHVBMARA9ZFkMHpsHGL+4a9Zd2hRrkimbO1gGnT8mJzNlHroKJFTQNkcvLp0uKMAQhg+EScTy2Y+PhkIuVTnFiwH6lAtJuTAhIeRICixVyD2OJ/NLwEIHLhCK4uR6NXflS3aLJourrYEpCuuc12vMX92QaTUA+QCDyD3ghUEbyD4aJr18FE4GcYhLi4RaWrnmuTJMzjPT1fMVj/0FPUu7PliKQayRk7pWmTPrtRJ4ksBjh82OpMTsstEyajpC389D4MtGYj5g/CXONYAfCOfLc+8F3cHE7ic6d6c/ctE/tTzsSUNvGN4LgZIsgxI6//lIbxVSbljpEvyLGxGxcRGjqTr9PXIlOo+xfmAi+ftuLxf18pbtoksVWbXtfabq4ctML+P+MTSsV38ZiZgwC3/+4nTm99eVf/LnZoXDnOcQZ1dAtEQn9sow1ox7teS2vaDjVvYO9zkgXLk547auy8CRBaQXGySuo2yKNq495X2qr9JU/kqz5UE7FL4oWmKL4QFm+cn0OPnW7OCMFcTQ/QY7kvXghath0s2FERoVzu9QXk9l8OfKDswLsRJdugq9faEz/jCwGm4WSrOi+9sdyDwZuQ3hmMqQFerTG/9jQLMYTuw3RcSkNNpr1kxi1/vVxtYqr3eaNC+Aw5Zj8dFt6Lr5t37OXrzHDUKuams+AGsYiUDTNZU00BkCR0DR/txB5mGRAHWB+MaIn67yFB/iS/Jrve+0Rj40lQcv3MCmblRRoU4JDM5xLV+ij1dFjiXdlaRc2SQveIAcXSRfGqbMjRo9PntRlgFsIjcNqejOrzt3djtku4K77hzIke1fqZtzq96woosh3G2GEHp02AfcXMdGWRnyN0JesOz8ktDuQvURG3T4zQxytxZxAt7/xdy7CWkHheVzYuEWV0hnwhh7Xz0jSpw5ak+0vGRyLWr9bKoswj2amUpdzhuw/aLkOhlRRAuIwmEnMYvL5/2r/GL/+fdBpwFcLnFqeaNUGo3O+P3tHmUb2O0WY8vzXcwPg4QouZEBDVzl/H61u4CIYsUjTzumG0/JdvNZ+tyUD0Z8n+/fMXws7uTCYfeJP5HTaqhsQWF/QdiSYaVldGot3vHP1E7qt7TrCYPzUpbUr22j6XFXuXtvvtA7KwKs+gQdvtmhSTDDU3JwfTx0Bwp1w3YwHBts7yGebWxW+ZQP/RCWonGR4KPc3/x2IIHAxMNZvb0byn6N0Dlo2me+dAfiBX3+gJrsMyABf+ScKBdoXmRK3EPn8Aj11Xs0Z2Dmkuo9U7BWegSCetGb6idFRttWd5bVRmpXlrdDaoD890mXjksGvgrNLeYug+9QqBZG5W6mC0fKphB8x0w0Q3Nc6dAiAyCeuqq2G5AHU17LOAAJgY9TgYi+U +[+] Bogus cmd_msg: %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%, SPA packet: /Vw3Wl5SxeVThZZs4NIvyqIQaXSVcZWBWgVM5TZOqmS3a7nqh1xqvfIX34zetrt1Hc64N6FTSOq4+IMlEOZWV6DSEQsQ9DUxzHrpzLP9zPFAbaeCjPrfmfOf7YxxPvJjntvHhVkimKbFhSHAZns/WddWTKzxFNKzdlpfn6YAYjJ0ekBFPSpwAF1LBt/DeS7/4MsVpIsQfiuCW8tIT/jeGkM3TSQzzB/V5/gWmw5pcv8QiQ0RntLQMfMI4kcXly6Pb4fpvRqPEb1gcSPSNqyJ0Qw23H16Hyi1Un9VkHlyGCMoL/IlOxfRlZ9SeDpYBEacW4zaHUF/8lUP71X9NMfrJsxdH5VwusyAVTvitxzrqPupsvih2smmfn4eR1onopF/F8np6ZZL+/CBPm2kE7VYu59D3g2mH6B3Feuf3EvKzbsyO1x4lcK1lWaKIa0vtFyY9htlUyfKzLX1XJdjyCnDUEss+UfJzaIpum3gvMQosrrCHopaUMmGuMttGah4uoU/7flALMKUvWze8BSGqhd+bp7TyXYtZosHONRwfc/vsLNMrdk3GWIjLiJqsTkAIydXmAYpcgALbs3XZhm1PJ4GDFh2K2ztp2PV+Si62Uc0cELQpN2n5OGV/WAerkbp664KwTbmNPIHHxY8HZyxEsw89Qc1oQu4bLmAsHJocYVXvjxj3gYzQSrrX+5md7pfaDdAL0ht9ZGTc9m7nT91+RB8W8cavkioLkRsGg+OvGqmT3rEfZyAqQSV98Bvw4U8WMJfcw9aFe2NvtNwE1CKTaez5vWo23C6mdj3t8qSFbWT5hd17xmvNC5N9UARNXH6HwQKKDaje3uPIQLoFvUDnvMejk+/Guyffb+5S9/EDLEHyqDOrlXMchm70qaa0JpUdTmBILuAxtUi4YOYJhNudMqEZ4HJM4+oKgYo4xFeoe6sGDNAUzfgjuf9chWItmii1H3Eiy0m5RhGCT0jdVTPDV+7WaNNOcuJ31JgxGsJB6OysbrW68ITQ/eFer/EGEPd6Q3dfmbxNn5ItV/yVDCcckrJzzioYPJpmqN7alMYIIcWGRpemD8e95nhaBkkvM1fhOobb3r+D0h99scvkR7cW7fIoQbKL0MNIZKtbOnw9xnLMOpEnFP03WTylkKMbvo6kbiUxG0tAYqXcAK3UXgMQ3AU7QUcHZzsNlv1rCwhDxtpn1uEK/fA59NdYQXr/RLFxCT6arv+K41LVuEvVeBjurBkQGqFdMKPYHSH0AFbHCr73nQds/M1hCq4SJ+v+p7mcZxLvSjAu8HNk79odTIPmSnFx86U7zy9UtzTzWCxGYCYXDFA1LrEDf6nWGcz4cQ4F32eC6o2b4S3xLYzr5ZUC5tFxoGcc23/49l7gVZdYc47nSs+x8oQwdx92gNni0ykDFx6aQZBLU/HQ3LhXzJ3JXcqupDZH3XQ1aEjY +[+] Bogus cmd_msg: ::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::, SPA packet: /0vY/jOm9Q3RIGmsmwSWjtdurZqk7CU5bZTXhyUXGGA0mIFyFgFvADiREfP29xZCTTwyzH6PZ5VF/qDqu1/ONWdfGBbvnRgRVzlGP5hEBUecOKOY/Jk0qUZ2iUBtNF/sy1yEkAke17azmiWZE0c2VLq85MRXu4d5NSmFTlA/V0RR3VbS3h8yrxZNVtBT+yTxJJuYY8qzBJIcur1XvO4hGPVeMtgyf5bRArr0mfzQNi7sQ6FuKVsRfJC1u2oMb4MRckgsFcBsD5qvStd14FrmNZHFN89Jc2JFsILRoDYfE1skmv8ijEMc9ZwRLMhhrizEA5QcsJ7q+dj+/MUlkS2SyzcR6eb6lLf0mO9MGWVoLgcCuRHXHZnKfRU+ZIQZ17DA0Kc8U4YMmtXzr+5SK3qmuFA0dDqH96O/5adwSSYjy6JHkLisfOX6Cmc7fSwrficvt4bzXx92dnTTZM8Gkt5qqZqZcault512oLlfZKol2RGMN8uWfhuwsnkP8e6PQynQoSzLN1kyElH9KhjrmHhV11fZ7w1Hs5fcBC9ObvYGwkOpG1DOXqugak/tMFuzPc5CYfiov0Wna/gEl4LnCTpdFqcheVoWbJjKmh6RbPbPRcyQ9sxJT36ImbQbafYkLy7ynOE/PCg6gs1wMcPHUxZnPFoiuu4Ol8pIooLlvYEW8u/Grt2k/sH3rgMOx5gO8w726GWxLBHTwZIGTVbVQpE6CShtvwg7HOEd7Y5eC/E0IYRprUfVcGt5PfeYUi7CZZm9mp/C0FiQAobJ58N03YmSe8qQ44/kjhOm/xUR4b+3ERFRgBqKckyH5ldkf7en/MGg3Ie2gHJCSIzjXDcyKQw9iOMXlwOZYWyBTB60/GaVXvL36OrU0/78q4+7Mua+4kbytZd8/PZMXkYJXkH17XpUaEaTBRFYz70lMGdkWuo6u7smx2P6P47QXfitNxYLf/0CxCFa8pBVssKYDVViqmoE5m/Cw+eFuBn3Nt370TOX/3YmHluVRcmAyfDJ7zAkTy/p3uBpB+uw+bR2XGCAic56luz76gN2c8RI8lz2SSOJH8ZL/OduE/QKI7v3kejbeoszj7WpMJLUo52pMNfaoLGZLqFnMBDXEGnZRilCMkqlzqUBZZK7zMctJOa/6pKdCiSEDBca4QEc5uf3VWCeePovcO89BYyb73jOXWbWTZyx6SzW+FLZCTaCpRTaKLv5HN6OmBAOz5ChNnMh9+r+gu777XdQhJNZ+I8jsdQCvL/NE4wtCviPwJxxDT37k771o9OJk5LHLMe/YIb2wYcSHw+e9aN01HTmn3FiPuEV0np43FLo0WqbpK9oNBmqG+wCKeTlNcIHQFmIJyDbjGXJ5p4bAXrqK/i6jIA23XJ9QwBwciHw3BHrnBcnlk2py3SCzo9ko5dzheLo2/KB+PBJqBUJUOXU00kz6Wwoc +[+] Bogus cmd_msg: (NA), SPA packet: (NULL) +[+] Bogus cmd_msg: ,,,, SPA packet: /UwwQZb/NtWTyeci94Ki/wUvdxA0Onezd80oHR8QNE5grWTUqU5cXZWcHsCU3p7fe2N5FyWXPnFddXsKSFUUZHCTStNp/lnboOmjrMTn4g6UrE3Uukr0iFGV812/KkLaXiTQqXiw6+1A +[+] Bogus cmd_msg: ----, SPA packet: +96bwM2K6gGesJmA4rScAA01YVVlua2JB+51Sn0YciUhmLsIwrRn6lwDgwyNUBqhxufavg1TG1rQKTzq/NS1bjW0Bql3gQGKWaWw9svBl1YnW46uCePRkd6WVeFOgPXWmtf5maFRXQ1Q +[+] Bogus cmd_msg: 1.3.4.5.5, SPA packet: 8neTkMcUlpuNEbfkOyp068NmJSW3929G/OeKHQvfFBxQlalAiXrWKKrZsztg3U3UFSpXEF9ct74k0omGXv+FJLOAZvEIKey6W5yTDQynQgpO/4b4K0AXjI4lleOIeaQPrd1/+vBnY2TatI6xDqzzfcZzRXUPkrZ+Y +[+] Bogus cmd_msg: 999.3.4.5, SPA packet: 9eipoxyG2FXbIoP3nZJoy+Hnl7JJVktf+xBA2AGN56rOcItmdN9QHjWGGU9MsQa99Qzv2vjAiWjk1Ro3eFkVCjmXoYaX1YyCjtlkbFEUCOZkA6vr1dFVY/63LgE1dZrF8Sltct82fXE3bcqJRb0M8GOp+OggNfU5g +[+] Bogus cmd_msg: 1.,, SPA packet: 82FleX2MMNKhKKLs5MZiFG06ogcG1+/jLtAohPOMmFzDy51XKZufD4AA8n8iAo+3HOKUh8rvKaOvRG7QriHuRA37VyQmOijwa0QkKw6BRafDc4Lm6gXOfX4ydOQozpBe1cXGDcZ/z/cQ +[+] Bogus cmd_msg: 1.2.,, SPA packet: +Y4FDkSh97jcPoPik8V8fGKZZlqisj8F3WxQ3+RjgpliagkDYL+YKVA2Z0W5siDf39yQNGi7F8WEcAA42ilQ13Euf6mOIqT7prdONnZDM7DKAmX5Gtc/MOE3YKs9mP/yPLoHnKaBVUnQ +[+] Bogus cmd_msg: 1.2.3.,, SPA packet: 91RguW+TadCz7g0hNdUxt/QDXgNpAVa/Vi1DxMQFvZqfa5YyP6+Spz3qr5C1wZurq9Z28ySw6Zu3TxQUdOK9UWRMlw6yr+XLMoThq6xa8EVjQ5WstQw5S7hgP6dnG6ikcsaZJcJkxNr2VXZBwC3bDd+C/MEBQiKfk +[+] Bogus cmd_msg: 1.2.3.4, SPA packet: /lBRvpI2VeTRCcexgz99PC0xxTb3I9uDyRz9G2kD2Ifn+nrtSU0jqqAu4LH992crlSb5LEB0CbfvOGYOOQS5cVUajUGbf1vsdjVcL8KtOqSfzx3uhSgEfaPxSp00VicuaTdMhbsCB8oDtVrtGH1aAP9NmEABDNAWA +[+] Bogus cmd_msg: 123.123.123.123, SPA packet: +YfFfw5raSd0Xg2sF1IuKY1wjXlGOTirFjVm2evFrxT4nrY5eXxtvdTkQzR6zcQIBOcSGHw0vmXoPtHspcX3m6ttO/0Kmg2BaTRGc4DCk5fDWyUox/OJCpc1BQ9UOBi2AZhLkSqcvMsryUdwtd6Qpcmvy45graSbY +[+] Bogus cmd_msg: 1.2.3.4,, SPA packet: /YW2i6Rmg5k4CX3frLwsJlIWR40SI+/+GosuwjqqzLopYfVfOa/htk686FOcFK18Uj9PEI62F83BqMzJtrbERDwojuUtz1OoTijH70s+LpqNgE6677AVWWQamhljJ+eouGm+fuIE9ZIADdNolzSDJyoHACOospJFQ +[+] Bogus cmd_msg: 1.2.3.4., SPA packet: +9vydIOTw1g6iPBs+4d8de+AMcRPkHqSPDfmBBHZj9PRWAjv1e0Tf8iDp+TtP7PhZ77C3Kr6FsgWi9v31Q8VaC5wGT0eeipJ/HiHbjHdnyPfJ/Z84dOeQ222tR9ZQsZjsilARBgtPGKc+KBy75qZj5m1u85NAJtXU +[+] Bogus cmd_msg: 123.123.123.123,AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: /YjDGMqKkU1II6hK+ZcccNsZdL2cMyvGOhVO+lc0rWJYK6/Y1772jn1Y2g1MthzBarQWY1VnxhzPMHWzTBfss+cAmCjeKutFxnxzWHRst3Du1XCTEUdAexj7gZYd8lCvn525Yku+pHvgarUwIpm6RQ/MEMjyKIMHWh/M149bapz57qY7mGidQ1wpg6u2GZ8dHvfBBE/OxDTD8pRM1DVjtyIRS8jdWMTq86L+xaKl8fi/TxH8pE61jYmjMAusMFwQKRvlAKzJmMGe+5mUzYba710QipXXMLPH7p/XBdQbtVerexbaLVD5ihETdGKUHsFitbj+8EpO8hzCcbGaZEpvvsoeGMUkN9sh4unJwhUH571CEpRGtBgevfi9UvKYAJJjwH3H/vXpJr+3wsU68AywIh5GRDvF2X9Ngsk2kLBtkWWdgfvitnN1LKjZzr4abDRWj5CsXbyVPCSOi4tpFQL0bkmBJKVFLXqQGjtc/U1MkcGr+8Mx4UA9eqwBSlQhLUQTcJpOuixg2h7Kne9fRQyQmfXvRW3gNBldaAafIEOOAkhniAMVNtjO0FnyeKS7HMcKfRsQe0+XjowOwwFmBZdkLqtdLgo+kKSdo+3Y3TY1nM2bwfFWrt8HBwCvw5W+zsOMDW3h8fTqMAYAUOhx/aFRxp3ZxFU0i6MvuMnO35WWwGDKnhstW+zAXsFWR9uXGBDyht6b/LW/64WtUblJh5XgK3mgSflVDLJG9/rGwbYJiIzvuCH5O85f0WSjm0+Z/ZtVblXFpvpY0CSY2dNcrU68sKeWbczzTV7sOlDVEXH1lowYF+3WQy+ztNT9ARs+3/6HO5a3G+5mFHqKiRMQXdPtxP3q3gd5MonKcbiIv7V3of45K/3L5xB74EStlf4l1t58UbfvdjGrLEaQmlkdVo6SCDHuat1g6+I3Scct8c8Km0wluDhJ2RMaIrUiKYZvdxmjwkahqYWxUpERFQW0txzy/ePxaZEsNlQQkhWfCxHKkTEprLwxH/tcrvIwHksTRTrYVUy9mo7wo6r2f5WNmEGKgTzw1jvr/nFOpV745pWAclY89roqtxjztY6pWYgrmeVGtWZw8Zl/50f9cZRhS1d+isSk5sfkhFoOlqan4BO9WBqjEUapSQ+LN02u3PSM4uK0XzA+qH9+Dz59boBh1SBXi2lidzM2InY1dgbrlefzAiEwagaKn+w0Kz12BJJ/w6NH2zvq1Q4q0alPHxk8mHHLyzL8j2JL4ofe/nENiTuYzEjvI3qrRSSDgImhJSfo3c1YRUdNBXv8hGH9cZKk0hqTBkQD0lkOPXCpel1t5n1Nt/SpdQF3BNHDbwV8JWPe4Y1ggoCunNzWSDApHvLzOKni5mcEYU6363MF0e9+QjnDL0BCRfwLzW17TYcq3apmGYjifjs9nifwIk8vbpmfq6RGBn1eJJIgS3dA4 +[+] Bogus msg_type: -1, SPA packet: /sonXe8cxRLjCRSGiRpoY27td2CJL1ib9bVVa/m1fPLIcICXXQ3ELvkGqDsI70FPqY/wOof2eyoKPgvmE5SA9n5i+MBMgZqE55rNxAN4uiNKNuoBV0qlzIDlIlBliTf11JB0e/YDhK+e31fniDuOtFN28LcoHntXs +[+] Bogus msg_type: -2, SPA packet: /bMBuLd5na2LAnLBVVKqIEZtVaEB9U6jRRSXU2eetcL2WDfjeaYBCkjanH++r1VbTwpIQS1dFF8UiJaw2X5SRoN7cfPSdZhw4nF10q49d900SWqfsjhqg5OPVEMzU167LMnTpy/sArHkB26aCRRwFahKxqVC3B0K0 +[+] Bogus msg_type: 255, SPA packet: 9FWs/hwh+ch1hiFd46pKQNpDBWW8alCSIc71rSxNFoTuGm77dR5gJC2F0bh0ZU7aJX2evCwonzdV4iE38owsaRtVlFYXOqkFCvEz7r8EGO+X2aJP3sHmO36ruLcBATlOkq7Rc2E+EcjHFQQvtDPBW1Q44mRZP5G44 +[+] Bogus user: no_colon_1_AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: 8PXGO/IVqkJuA5+lANo81SvWaSfm2Xun8BtPVUBoim3xyt4fXO0g4/KkI3Ng8ztDsaFuP8P5sSO5WVeTyHxEuEqN/BdUhHeNqbyyFy/giiExeSPCDX9Wg0bkaeecPWE9BmQ8jiZX07uUA43PJRYzR+TVRTme0X0SlutwV9EyX/JhfGgVQHzY9w39QO6OhbHcYDVfqNSNB0rbaFcyAv51YauYgn6vnk/qqdDyN+9OOWMjTkfgkUGWM11WZb8eXEo7RDKvQnY06L3g +[+] Bogus user: no_colon_1_-1, SPA packet: +XnelxBw4bx0OOoUU99Zclq1U3w3/ygHzRwS3/sAIcgUnYi5oto40yQ/0Gd1Nz6YkZba1NTaTwt2MAmiGzMhN8N0VHQhs8/IY/Fhd0DnKGlq6DBmaeMM6SY3Hw6Vnhx86+7vgvhCqzYeXiyg32vCBUUiU5XCaHatI +[+] Bogus user: no_colon_1_-1, SPA packet: 9Jv7TrsfSBxcipQX9G4MhoMLRmehgSByBughwxyI13czb2itkXsjqTaFRSc+NeHpaArsWLau41O/1LHJMojYp0dVaJ2+14bOAj6PWr5JlulDp132+GwpfVoScpCaGgsQjAalTJjMQ1D78I3vQO+5UYnTHJAHNlj5M +[+] Bogus user: no_colon_1_123%123, SPA packet: /atnMJ4o4KjtNrCUDERcrx4dfZRf3H8++IByH8crUBPtKHmGqqdssabKyb6YSp+laO25+mi2HHh9yXtoIbvETPcrNBZ9SkSSHmSFxcpmGcL41YHLklgqWrE1MT6DhD+jx1cuZVJmuIynMwKBzg6g/2cPCtVV+zkNc +[+] Bogus user: no_colon_1_123.123, SPA packet: 9j3UNu76SUacNu6Sot+7Dd8w695YTMe5W/8ir3t3ccms1ICpr0wwatH0qcMxgMkC8TNzZUD/e6K4duYOgPTprCkp3KXxSwS/HPhXzkrgpa2LLKzCksIGuChJWiabKSVOa09sm9vA10SVFoqI4naGh0bVYP6YOBwa8 +[+] Bogus user: no_colon_1_123$123, SPA packet: +qtoT3r2V3JSsPpPOLuCtd72LHELL3v1OLHpp4p6fvUSjYKdx7mI3IbxUNiaHdr4zC0WExUOq2iq9M5o15ENXZtgYcK3pA5tXX0dnGMlPBlO/5jiShDg+kHrbNSyzFlbdqQ4D+KQJx9vo4QV7nxjdf3Bz5nhuu+6Y +[+] Bogus user: no_colon_1_-user, SPA packet: +RUQ03Tgnu1N7seuCsPP3behNeUlB33SCiFGoUJTB7o4cEY5D4nCk3Ry7nbY6VcDrNvk61bdJK5N+4uA6SZt/M8AmesfLI/fd34iIaagmubS10L/pGgquc1nMGAXqwAmeX//dfu0BHff4HlsF4liyjW4xsLVM8o/Q +[+] Bogus user: no_colon_1_-User, SPA packet: /imy7hb2Qc/OIW58xhUWgeInhhT40ty5OFtgBO6Z3c20pWYr4M4moUAK/xYOwKG3ODN5DOQEFNaxIx4v3gno+MqbqP3tC8X7QsHmWc4opUxoHDhMJkKS0Wr4M1V80yQsBgrZjd4RGqwvoOyR7u4F9SRd7UpF1psEs +[+] Bogus user: no_colon_1_,User, SPA packet: +0zichE4f6THvi7GzF2oYj7NkA+0Lq10tgvmRNd7grm4ZOA3WJA56m9xWIIdlMMSqDqvy7Jq2FDGPu60gTU4tYZEAR3hspfGdjM9E3nM8wfIbBNXq7tZtxTq0oUD9bkpBwm7cvm7Ib/zaPKFQxpVA7VWstlWCsyfo +[+] Bogus user: no_colon_1_part1 part2, SPA packet: +eAoIv/5yyPtQzdTczpn2vlTgIvoC0rwkne28Sk2hrLOgHuAH1VcgZL9EIK9kNm6W5t5+ySnI4lBaTYl11A5z66V4ixFtYEll7F8+zNnrQwg2z9bFMUsTehhdagSel8OVNvfqTJEuZONrkaAn0T273CpGrg5xKoUZvgX52kW/n/PtPrzSBFBx5 +[+] Bogus user: no_colon_1_a:b, SPA packet: +csHxIvqAMpUZaF1FTLv54COyOUqToT00CKQ0e3fZcK4wNcL5fj4FY1+YOnL5soAXP/yWyr27LfXibSevbFCh9SP/XWO/odSiB1lXTXW4LQQ112VaWE3DUILN/xr2GZyENMAmYOzbzqmoyCJNpnIt0aVwB8J13Mes +[+] Bogus access_msg: no_colon_1_1.2.3.4, SPA packet: /YvLb+ik9Qh9jcPVS6ZyQqzsd5vLUoPtLxtZvcApsj4WE1qfsJPZdKyaL2F0IjFGhKUrNgnpdHFOqTxgUgbCB9a9Y6x/vuxgTWnkBHvVpjHyUi+wM9D55SdLpDwuAJUjEXOpMhyiOgBw +[+] Bogus access_msg: no_colon_1_1.2.3.4., SPA packet: +B62cXeFZqOSDkU7sCptL+hk/Y1N46i9QMRfOz/Jq36aAZ/YiEwHqYxzkZ5EA+9eu8mkuyBSuixdblDMhOCGyCKWfGxkwFWb/8+/BCks8TIwPoaAuaMJLyRqH09t9wa+oXSQm8wLfVU45GRDbcuaAgMjHwNR1tC5k +[+] Bogus access_msg: no_colon_1_123.123.123.123, SPA packet: +Z8iM+2n1SdgAtbNmhJnkrRPjGpitUOy2QFiKCZMwBtF5MqY/SlKpC693kEAW3uaZo00QLWkNJZlwLH9KvpabZJN0Xplh+yuqQ14i7hgI5+G2zslasdunrKu5e229zjHqinvTsSuEu8XZhLdT2YPdQIzeMu5msatA +[+] Bogus access_msg: no_colon_1_923.123.123.123, SPA packet: 8Wm/FxS4oH4qoAJatzNw2zaD4FK+hx+4F2J1AkWx8Kx5UxFIhJJ+E7wUIXP7ljT4NTldKkbX4S9w2bJJw+TRjJLBiHdm9c1y53dkwnOxs86DhNESw6xz6XeFQnZ0aj9tAyQlryBt7VYmNCsYiJpTw/GB18Kt1g9eY +[+] Bogus access_msg: no_colon_1_123.123.123.123., SPA packet: +KzR/Zfz7B0NeQ/l8R3//wvYr1vnSCHJ8GtUZoaSYd+jTftlpwZRsyQcBDfMiSrxD49eCAfqU8T9bSu4HkCFQV47+xJQebNkfnmWFdw5jFTis58bLR+6qGSAkqC5jSSlMQJN3rSjKdkMO+ZGAp7Zx8h/24PiwA9hY +[+] Bogus access_msg: no_colon_1_999.999.999.999, SPA packet: 9TY91QbXx6a20fzgBlFY2YLri3r8Mz1u3eOiUTEqkMJeeNCx9S9ExBiVkeV7AA2P6r8+6H9awpaPr5TkgyI2EH+Uf0hSDzsbSLZt5iH7D4h3KUPbJU0C+u+G9ZKTB/G3cMkDOF4U+dUDOQfdi8jQGJEsmXBhiNUr8 +[+] Bogus access_msg: no_colon_1_1.2.3.4,tcp/2a2, SPA packet: 8MzVTx2QSEnm6go0AsSapaT2A58AUKN45FB7hIjbrr/BnPey4JfR1DWMKSfHizShflJmReubsuutD58PJmmZxv/k2k0PyU9ddaqFhkpwGMbxHpEdN3vspeOB8H7C3Kw07k1+bdPbGsuOQ01CADVooN5zyeAgwgALk +[+] Bogus access_msg: no_colon_1_1.2.3.4,tcp/22,, SPA packet: 9tiTTQTTQ39PhPBENpmXHs4wWvHeKvMCsq8N2qJLRy+WZGxoHNxTcIr/z3fnsBgmLLKLQkLT7FswvVrbN4NA+lUWLvkIAzTDToYGdzA1Q5R0IMAFY+kVCoLVp7Jk02SqBaA4M2mGeLlXVgK8AnsEfUltXodd237ns +[+] Bogus access_msg: no_colon_1_1.2.3.4,tcp/123456, SPA packet: 9rbhLSR/LDYSfLlyBcsVl/vpIaxCK5sdyPaMFJdBu5emyB3rj5ihdNT+b8iEe/27mv5UNdpkJdrIuz2dm57CS7LZrkxPgwIe7IQUCw4ToC98fqiF73KjmB00QStigkSqnvUVUkXXNkIVZ4EJMCCzkX81E7J8wMHrw +[+] Bogus access_msg: no_colon_1_1.2.3.4,tcp/1234569999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999, SPA packet: /ES0gnzPQoMYDhr+04DbFHDwXGZdyRB4PWIg4McQoXbcO6kjqECW95HD+3v0gb3wIzIlyz8s4qsjXaMut6ag/3z/ZoHa9wT6lp9iifNQy+ZmNZYxK3dt1KuEtv2dHQkKBAq///zXKNsMc/esk+j1z4Y6GZVqU2SbDJxHGpBQy9wq3uASYdRnNcyk7o4ImEJIieOVwlHV/AY38pMtZYhQxtDgOisKwYaxf0msAhC8+NER2jA8n8hls5l9N8aU7hm6zISwZELGInINuiUhDCS4MW/N+/3XlbvBVbgVKfdrGaY8eeGFFHKwiMnmT2qxew6436B2dDddHt4poGSzUwf8WQsPo1Ml8FtiM +[+] Bogus access_msg: no_colon_1_1.2.3.4,tcp//22, SPA packet: /pp1SJAdUxelturugMtZh0K7YgMDDBB9rVFr1EBWGgHwqNXKAnCGeP2Z/2X+INJ6eod20Q0FbW2jvLn0kOz+UPB9nBehYafQNDBa4T2rOtIGbl/YRhD85+rZbtPtMNfwmy1D+5cGjM5Gpx245lJSo712ZGb/6wyac +[+] Bogus access_msg: no_colon_1_1.2.3.4,tcp/22/, SPA packet: 878/OR1n3+Fpc1tj+bOrt5Nkh4T/ETf1Oy3fDhD0tWtQ8INFZqIupSbzgKKHmyoDSF9q0gfFOhFhxy9RaWdsiFpkYIPUTLoCF57JA0jfTPHtWEmZ27Fnx+UjnTI6laA3tIg2EAwVQedLpJr3HelrWGr6+rY7rp9ac +[+] Bogus access_msg: no_colon_1_a23.123.123.123,tcp/12345, SPA packet: 8hSa3sT1QiJw9YaefmQfe8x6wlmJzOO/1z7yJobq+JVdP+tIQdILM+HcrhSqPr9vhpzyanIx2G6V+yp7BndgT2ngadd2/aVK6sb3Tyf1P+dDGbpBBXigO5/n0xj2gb8TdaXhYBZf9kC7QJ+rWN6os41U0SMr8oZgj2QeVCBrtfy8b6J9CiOJSM +[+] Bogus access_msg: no_colon_1_999.999.999.999,tcp/22, SPA packet: 9duIAipHTZ62htyTq5mzr99YbdEa1wXnIEsS4lkkehEgDEZJwlLjRZo3GWApANkcutyYqmkw8saVtdaxXpp7UbZ08WD3ueS35jy6AisXvGRCzUGaJwxhecZOHF/EierxkXxY/p8boyp5Pe3hxxGlh1mFOFFi/yUd/UfOSmP5MWuZHiQaVwjnNd +[+] Bogus access_msg: no_colon_1_999.1.1.1,tcp/22, SPA packet: 9BMgkUYUbEEMKgTz0ivnXC/bE9YvRNDZH4aVjGIznF9AtTCqPXWge7woX7fXRUO6LNwR/VVkMKFpIjF8gxMoXT5RpxkWaT8Zh9qTFPZtXoONIA7wch81usAk+yjftnCoLJPSdmdzp+musUIdcUZLN9Faqjjz0ZZRc +[+] Bogus access_msg: no_colon_1_-1, SPA packet: 96LoE6tpONv6s5eKh+3DM6C2VHQFYUMfcAL7fuwlLy7EYILcYe+pwkX2N5drPeE0h+S4frt8nbaaJMp0Ab1AUNW9wwjei/hbH6BBrsrntgeb3C/R2yJF7quNoFXFb34uwYc9vz+qSHjA +[+] Bogus access_msg: no_colon_1_1, SPA packet: 8YdNpjCVv+PC1oAVNwvcF4yhRY4GA3WGNDQFevQp38xPHp/9b+oS8OPGIDklZVkw1ZhBzNfnAD6t3Jh3i5f6BMaX3cTsLekPhtto3Mq5VE7yvG0p7SYOr5noI/dehjVrAgk1+zKhYdDw +[+] Bogus access_msg: no_colon_1_A, SPA packet: 9xArgjX6nU+uScBDVMpd5SIHFA+LxX8+QsvdRgu3nusEAESremzIkf4UZfbakr3DdAgM7mwyZ/qjvFcfB3g6SkM6xtP2w0O/ihZcmiLW4qOKH2Y3e2eol1DhX3VEY4upoPvoATODhcTw +[+] Bogus access_msg: no_colon_1_0, SPA packet: /5x7ffa8Dr5gCft9u4zeHM1ff8xmGF8swWdvndd94bCR1cq4MT2vkXkvrzShYnamKsA1h2U3hjjQUVIYD1xQfkApKhFhShku6uiZ0PzbX6xnxW/JFeSVV+KkNK6J4fk823cocrKFMRVA +[+] Bogus access_msg: no_colon_1_AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: 8Nxg98yQk3UtmWlQ5NNxLYSpkm6i1UiFnWrBtjYJyinXj98XkLKm6B1/yM1z4HjucLfhcvMDQTy/ZI+S6NRiFIqw/IJMt3GAQBdNJQ8j/LLgaG8NMmJEODsPU7DHVWfMu5mLzo1wKxJXu9hx0+BgRuL5yExH6AmbUqb97Vjn/TdnmXXiV+5UYxy9A26sH1pXJQaI0/0HENTQxGerph18c1cYgN7Bn+gTjBhtYR1tVlhOhtMW20NpQjczdKJWmRYCRhl4g5LpbNlkkXO9Z0y32+Iy/veXFlW1MKbZ7mD4zOVNi3tZJ/1qDhe+nccmC7iMmZXsCaQmhYmDuyHSaYnyFA97UhNnRLDoScNAysEk97pkVkCYsKVgZsg+QuvC23KIapdIgFeqwB3mZsvcJX17RRq0yyyP3tmxWitvy3BPkjIVRogKaadQ4SKcfpD2aTp8pJL8NAImqQ+gb2mYyx532i5Veq7v1n5NG8yaOQA2MrG4Z/Da6800f6AklLDpPaNTrP4/9JzBZYEsf3MeUOlli90XLHmaZYZ2kN8agNVMtjJbXhWOpPsEGLLFz1DfHtFDDOa/WUjTXUTqk9AZhPPV4kgU5ol0WBkXNDiYfgZ/wgc1H4aEScpo5FaSpf/X1FuE9bQCVYIIScTMFurqQCEpUsdFAcOJkd2z6VX6SZFERAhIukcTZU/lH3XcuhaGEK1BRUOaqKW38XNTgLzW7KUZfirZVe+njKz5tXoyQN+cW/grxxavwEfq2gexr10gl3NutygSMNaYwDgENS8e3vKMI7h2xQtfpXJxne0TIW99ft/i1gX9csRXKjfs25OE4ZYv8g233r4J7GqdcGCZZdrfFoLg8GAweq/b/oR+xRbkf/RdpY2O7kuuU/UCdsZDXwFPeFC4ubABaWLUp3GLA+cUXEpDQQmn+pw52dd9zAuSAx0UEMwhM5O4S1hMLfsTaYrCrE4Evp6oCY6WLG7xYpRfTMbsCZ3LyY7OK602IMYDQxD/DiJHWfu4JHNDe+9OckElIfEoHQXwqmt1aGdbgKpTB/JQ+gTIH68d0nYOJOJJp/N52CeSwwLpFMNIpfdMIIAeRgGQi3/n4FW5aJAZI6LGEicLqAUQfDIJhtjY4Isl4yO834guCL6hZ95omKIxJLBX8n0gFRTAaU3t6gOkI+oOlf+txbYIZfwQjsHRBJJ/K7wK1Ufy8B/8s7q96reEpd4MsLTMIGBxzjDGt7IHC9WVNg3RoDd90dUX23YdTnQMzRstFU6SCEXqIOw3ph2wfkuRG86FR8+DpyxnyenP5xe/+h3nzHUPVrkSgzpEJ88kHh2yMfnAzuqBo3r32A3mciXg7lJ2Z3Y7Tf5dfPd4LLU7I0nYXBT/kaT7FhCLYmCro7RCKy32F+x3Egv7OM7mzzeRK7QRXhZuiKNgt8NZAyrrhekiB3N7zrQs4 +[+] Bogus access_msg: no_colon_1_////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: 9oUBw2VNZkTNXOlyZG18yffgXYi1LuhVsUkI07vUM+YajJr2OJ/NpUd7C0c6iX3rCDBW6PAouz1CFJ88I6kSDjwZuKXVtq7Rj25WtC14x28FE876VdnoNOozbXkzaCTMxrqO2EhhViB7JTkIbsQUYgeZ1y1wmloIQZyyeEcaADfr2f459CBD/qPWUyKG1K+EJ66DpWtvZQy+nQVe8haIwZlugdZso6sFZn7D/Su/v2+LecnG0B51F7d5tg6oUB25nOA2mJBkHrvsRjKxgyaXBh3Zqh4dw7h7Ac1OtjK+Gz7RN7Re5hs3+YsDmDLove1ad168DtUSEGka4reB/GVejYA2e2lOEREvgWrsiJY2kPBEgyVi92Eti6TOUG7okVKXtWtS1Xh1QcXuIwPHYbmhfxG4XTJG6H3LtSqcDe+mM4RWYf9kPkqshPtPgMJ9GF1lCeNDPnHmYFSg9cB4NdY+MSvRODw9tJCC+lQVopMgpb/1ndf6naq7SzAsGl5J2uoQeHJlmd+lgWfsjPiNAfgO24QDXUbOIr/d529N4FOhaw2g2s+CUK5CoWEzu7MLlJN2iL5LjbRqmckfVBtVsOSR/jzTVXGQvhLezNz5fclYGembOrZBB7mGvXwbKGUtZzJKffo+o/wulAxlR5I/FZE2TC9QV6HiG2YcoierMJ8euZRmAjkwsQGaoAMhTl+Ef2w01xD1cUEOSmZGxRVIH3i3wQS6GQRprDWOuOpMQvhp5zSQNk71/OJSjxkw/aQkXPGGb+7oVux+j1BrkdIBrHf+41YQ/KCM9jMqafchFMkPu1kOoI1B5jY4ToYtTTP6evajcamM9LRsQkOeX/wyCHvRIqO3xHt95KrVe8SybnXknNSR7fS/kj7tZJ8FizrWhIknPZqIVOZjkJbFMcmtGlZuMKtJoSNMCgDBXC+ERgb3kmvgheZWXEPuvvUWzKH/OnnZio6rH/A2/h/iGQBrHIU3JEb6ggcvDd6FExHGTGNwuF5AB/Trtasa41BGKPVeURiozSrr5o9bYb4BnyT/0Y1OdlDub75GyGOOQX466uGuTY36is8ybebBMOmuxElqXw3Zep2voSPExGeX8riBGmcjJgc6yf+Wf/j8Fo9Rs5U1SeRE9hgpl1ShNEkS2mxPO+yO80YMPcYMm58cSK+2CS9LFI56wlXYorWtbxbrnuQ1SIH2IpYmrBklPfyefZYFHIYPtRdDUxA4FAAUAEa8cu0vDBU58NckpnG/4+y7IiwsiawwUUn23deBG/KjfY6+6TJy/tiwBGodhDMJ8psa3B2lM5D44Bp7stEdOx4M1jokaYeDL+EfEXHJ5DjiJQPKIqWbu4gZjJ0i8a+8TeAgULm/M7DX7+uyqtaM2Levx3bcWfKfSYzDWFXDjRL0bYwgZOb0TvnoUpesRVmx9wDM7odD3+uoSvT8vd2+4 +[+] Bogus access_msg: no_colon_1_%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%, SPA packet: 9l8E5hg3XLLQWJ4f1jHsfL3IEITPseK42ZL4TMnJQDXVoeUTtqGu/vUVzGIiSlabiSktmE6ZY8KRFEfAp+7zOxWjtwRbePMPpsR6iHzknKgo1PPnsK2dLNTOzwKMEj4AoJoQ7CWB0OxKgAFjwUXjgIEnqtnIj93Ol3FNoYoG67pM7Ez9cG/qvMUMbZgQFJUQWGwRVjQtQn3z7q4gbOe3u9VfZDAzkp2qnj3gtQQJVmmFTxx27n7fGtwQ1E3vRYzMW3OwbtguFMMjlaSjja6WgwR0AtLFFt8t/b/kRp92PVrPNMKyXzb8w1+I46h4Zd2nAJwnnZ8UxuqJL3xmzGXObl3+twTci7vay6osv+ru13nKh6pXjo13HeXibcBXRwRRbJMfwq+EB+cXN6O9gzaTvwf7eYhQiPnZoGenWhvOP5BWfArQSRZe474HT9J3VzIUhvoy/aoogZt2InCta6XFDQ80tPa1Yz7S2oxSrCGHCbWEly0/LuOBhgFwk+5NED6ewMrjCfPQctPJPxGtyZkrCBXaXEX+iTyObug/J/gJwIOUMmMT+WStTZXkOi1ceo8YjR2YkPjsly2bYbVaDUFwDVbRM9yFYsu1ocD6Hn0SfM0hLLtolDURekdVsZDUoA5SCDTnG+QxBUHuDxl/nOq66zKDc0pTxGYAYHSpeRo2bZz6KoLg5WLl4l5cFgmW1BcFWip1g4ue82+vfAdghacW6zKDNdcn6ShEXworXGyuL6qC9/OdBxklplVZ7mWbtRfGOFJY3MgoOc7ELpID4lilW5+lDrBGdYSpFjmtkGPXl5lVqc+l97F/5LcxpJanJR9Jjj7w8mjQKnDCvFOKqTm85yRC+g5QdQBxScV6/IfeSKKZ34RcJsVLL+43b1dNv3gWCHd++/d2zUWBCNu7mXdIvPAJsIiA/ZgPT9ZUeXf197FLlqr/EJtAO8y6LK71JeDZjeUh4gpuxxN6g9TVVyBv7t6FB5lQed9t7JUsxaEl9uUlihIuz0VvaZ5+ecUGB6FbA3piviF81N5PaA1KxoUX7NVrLpo6V2VtTezH2v3R0fqy/an0mXV+kyXG5yZV4yhm13MBeHJWAYa07lcCb4eCOdlr6UJmcDjnlwBihETLuYUVDnUbVtKHlTXSPKs4I7WKYt4jY1NIA3dAEXyj9WToAeEWIPN/zZLfdnR8wRHS45tzvvfY5eBcV/nTO6T5N6lqUR7wt0xaFDFyEctvJSbCOJfxFd/vGcjSl4beCDK84Lo2JtJV+8fIkPzRV6SNA/XQsH1i2MUrzIV8Cds3mmrjsdh2B00gXcaSPhown+WYbJ2szp6QFs10L71St3tc/sdCJz3WSyKMUDGVfUamVsATJZ5zFAkt+nTJg2dvA9Bt7seVTPveWzdDflGEy11zwwlxIhUFgcqA1tG+7kcKl4eerZr+rUcr0CeJ4 +[+] Bogus access_msg: no_colon_1_::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::, SPA packet: +Ot6pBzjK6FqkpnVhuDVKmQjRrW3BH9b4I3nViCUBU1/FLB8S1jtibCiVkcMgBEYlxmiPgNPrwzTFBKSYyMEyhGs/ZsZax3fPM+I+8xnDbqqPNhfAHQpnFIsRW6f1SW/ZU+NkpqkbBBpy349HseuVa7zD37oJvwltCwuyMOr1Hy4VT03pRsJxL4/zgR/X3S8mgv1bsXKXH2jl7AYKWROaHSsRbRMwQIQlf7UrTE0oAAK4ITPvEyba/bBuZDx36mANr5b4yzUTGkDK9oMOmB9i7j+ZAEErKdg2Jgv9M1hXQfaUHTq1vp2dOZFZjAd949Nf+Rxe0CUgNHqGJZHAUI2vtnd1vKtW0secjTGcbgfd2j1tJRd02O9owG5uXT2xY7/It77MokKCx7vUle1oo4hrqgqCZdK2NHAhh0YHmhzv7mJ6XUtDxfmVLQJf4Fnwy4Itsj8OFVWobHRq7t3qs+6JIDKsZ2QEoIZeKvY3Aca9AJ7sCKnX1vd2fDx8CSqx+oFokEs+RN/l+h0DZ5nVKWaIwGGv9mV69Yo4D/KnqXAMyVMm5L1yvDsAtPWRvxiDsXaOJ9GVDgQfMjCK8Vs/hS8pkUcTNp87nVxMvOwweochdvDtU2GhaGUY2/V4OlTYHoWxYtM9NXBGrW/3rH4fg3hieZlpr6xSYSdyUxcnpLjnTm7CvDrXZwgyHUm0mEoHB3RNgrt0BZxbuGG7vDFqTuAuQvKbI/xqCTTsIf2t9nOH2arc1SaEt115mzuPg53MmgyMLoAozMARKT1seKxSZM2jnIbSa4FCoJBiARXa5SZta/o4zf9HZvJYaCk7TD2UHcbSxDLT+3/clZ/5nVsifXVILOP9U+A7nzJd7N1qWaDLxw6kX90OUnc5/34v5KsSlXikpinR5jPaA8Kv9WUdA+tkr/rnkJLQpao0kE/hdYTPqRXZUJpWe0edaAxg3/xXNJtHQa4Jur4iKDY+xH3Yh8D8NsyLLFo92cWPc9yNiHwtrt3VbUv+Z3Fba4HN+PA//cVO2ORJq2Qnayp2wTe0aMskhy4hr4anE1vAjIxiThoVsC3O0hF6kViBX/VWF2lRe+l4K0pu0aQzNCiR60lyTwVUPeRdOSos7ZetSIamKRsPNtJ9u38LifJGK/O8T2ELLxYcHglp6LK6wDwYEC9jN3ad8mWhq76KB4aVgAcWRCLZSiwDaBdLmSKw0xcwz5Fqc51Gf+FRn/J+toi2je0sXQ0AsSSnaRwv5NyfrsmFzW5agKaLEmE28hv5NZaBUMYJDSvQ+JEBAOoju2BGFsCU3F+f5yj+fSwBXdmlC2nuhKcDdSj6PJOlgltHTmSdWtJF/FqE+q8Jnvy8CEb9a7brOxNekOpET5/xaireTKdSN4YDSs0WazkTjGLiiYDVIP/DHwGqkB/RmCJa/HoPjYMK8bIeQH56DpmijjzU +[+] Bogus access_msg: no_colon_1_(NA), SPA packet: (NULL) +[+] Bogus access_msg: no_colon_1_1.1.1.p/12345, SPA packet: 8QIlSZ1xLDWgTBSGScg2EzSvcrUu9SDzIHDq0UqH+ie5+65apHaSOh6t7gXbvqg6IrtbWwyrfBnlcW8mZ1wU9uQkxLnCj35rtweB7QToQXHfGrs+42gKDru/8jAGhMMzwziDxe048Gcgg1bohiyJEIkfNucp8IV24 +[+] Bogus access_msg: no_colon_1_1.1.1.2,,,,12345, SPA packet: 8MKmisYrBSAmTJPtC7zm6Q9zaunu056RT/sPqpiVMociW95dUv07Dw5L/Z9PxHBB//8EFHIc17sAcXwRrYMDDKiuWEu+hJVzONMm1k7/dm1JSVfnSxy6L2t31iLG0MJ5/xJBNG97xauncZp9ziuXB7hfQyg3tPCT0 +[+] Bogus access_msg: no_colon_1_1.1.1.2,icmp/123, SPA packet: 8hKjzehhWhOPAc6MFMHKqODb221DEETBcGKL1jOUppnAS1HHeJVC5nMq5GjeDleW4Qmpk9FCTS1GU6TsUZ6XG8wUEu3bHVmL9IFnqOCIdbiRgkUcM1Pk+HRHGJZbulClexRncfyLLeh1UdX7IkGUtPsghJI/lgG2g +[+] Bogus access_msg: no_colon_1_,,,, SPA packet: 9MJKhyCyq4AKM2KsH/nfvk0L4TYnEurWFCePgjMFoD1oe3tDLJvOYBZikNEWkSS5vGlNHolzN9kcJnTjuJ/nXcY1flPCB4/soeN3cHQOqJ6ubcmWFEY0OXy1Ia62rcyafsVKKqIYB1cQ +[+] Bogus access_msg: no_colon_1_----, SPA packet: +RMTzIEIZUkW0rLByJUc0jsLGEzOYtNeNnfRSOgLh9UWiST9lhL5/bySTUmPV+rvGr/hVBYbQSpqYvEldqwGNIwRTGzfNNEPthnh/moX+Pk5d9qJE2CarCEj/Nxq9s5WldvIY3XAx06g +[+] Bogus access_msg: no_colon_1_1.3.4.5.5, SPA packet: /QsSCtkZ3GJ1LzxB/gJJQGkI+/6rAeLWeCQZc75oOP5j8xT1pb5uF+DxXiqH1OeFQ6srPULCwkNGR+OVG4yjsbRhykt+51SorN+S+KCIQ23D4NrJW28M+dFssQVrBmE7f4LVmssEqfq5LC0nAsVCw4iL5RBKhmaHY +[+] Bogus access_msg: no_colon_1_1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: /DhGcVZTiJORuTBa1lB8RN7wkvMnLYXCemB4NLoT1yMTVbDmtABEJYEfGuNfSxRQqesr8wU9KmvMXpyyviUXsZpVb0PnYRNdglLIH6+c5SuIUME3EoT4SwzRskuqift/L/zOe6mzd7k+ZSUDtaQrri1eeWTHe9+92k5DIh+hlrc2chauyVhDM5pADEcX2WnT02oCnXmXb6HOLsYTHLQqeOarGbPLos7mzn+SSWZL+OlGpngqaIdfB6kDQZyka2B50l1EnhyuJ2edMvD0jhWMi3cr1XUbvQ8ebTa2yxj3hVJbExtHhlE45ROqtWNA93EPRZt2LH5nC1aQ +[+] Bogus access_msg: no_colon_1_1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////22, SPA packet: 8AtmmqnmqxPYmkncCgd0d2AmkU9R7xwKfjdKSAdSXDrQGORgnRSMXl+9+XXPglmFtYs/eMPFwmyOYgffw5hLWSlgtiophl1eUajztpi1pmyY7hJ64RaW0xH+D5FW0pg3IAt0MyRsPI0N6Y/OZq5T4aPdEufc71mz1pu4bTLZui6/WImBgovr/fwUSyyd7ebYfx8r2wYOBn0mwlRyCGyzfAM4BW5pOBQ6klwcg5lK2eAe7Tuw00Z6Dm1xP/a332wC5VXoTpFejxdGINGG2M13WJeP4QuOqZi5+7f1eB3KLDJj+BRI9uW73dxC5SPrFJ3IA+CS97UIBf7g +[+] Bogus access_msg: no_colon_1_1.2.3.4,rcp/22, SPA packet: +h2tXzOSJGT4rjkuuV5gtChy3oRGJRmxH8M1eh+dxsMu3YxPXov7ajoV7S0qIT4oQ/MtQYlYeglVaXRLqerRN+yalSPZy9xVUxdE6gJz8Rt1RK1mvF7/J/9KkoCNDAhk3ackwSJW8qQFHRAO4Iwmo53Sub8OEoxb8 +[+] Bogus access_msg: no_colon_1_1.2.3.4,udp/-1, SPA packet: 9WnubHaVYX67/FIHxW3lLJQpQETy98p2H8XgJkkMG0Jhy6/pOcVyxdO4WBTYFFl+Qi0JCOWtI29dowvxtt6Uspmnl2//Eh9hgznr+sTdGPm0Wk+n9ESEbx6Swx0xmI6GTxkHyLFttntidMEtbepWz8ZZ++kvpFWnI +[+] Bogus access_msg: no_colon_1_1.2.3.4,tcp/-1, SPA packet: 9D+UrYnCl9fy5OwUtv3O7do0cl/AAPcixRdYnF8zScUlMPGUNpyDuiYUZKPl/Ty86kFKe+bt721QZKaakj0Vjns8NDjHwd2dFJpJjup92yNsBGVGtX19S73SaJCu49bVn4bMLfCXj3N/xvskPgLshDNzHrLaUCdYs +[+] Bogus access_msg: no_colon_1_1.2.3.4,icmp/-1, SPA packet: /qk0sDZt0sU33bMLs5wFNSSCUSZ/c+6SzzTYxr8VcuRHJKyHNlHs4+gKW0KEfu3IdIRcIgtiMgk4Bd3CWEft/GEKBrDe5McvOhdnAPvkIGIlcyIgmpnhIQUbpgOKvNF+J1L7E8WC1pdqgvIaDBS8qg3FGqocGTwvg +[+] Bogus access_msg: no_colon_1_1.2.3(NA).4,tcp/22, SPA packet: 9SUxioaEFKRc8DrP315tZsbP1TaBcR5U3+GRiyNFasEFBHYKRTsV/GxOBVnakn9k86kKy2RN8lVYO43sRXmyjIZkcT9MFp3GetV03fIiZko4gowiHcL6CPL7IPdCQMSGfP4XHCEL0z3g +[+] Bogus access_msg: no_colon_1_1.2.3.(NA)4,tcp/22, SPA packet: /Y4jxWM4MC8f917eDmni4zkGf6IqDWHVwT3/N6F7wZMwf9uQ0CJT0+rSghBknOGPLiqbBGC14mb6QtO8l4MenWkwHNLfxkpbDtMfMuciTVS5Siw/bNSHTjnWEKJa5v/b9mlCH63cl7Ug +[+] Bogus access_msg: no_colon_1_1.2.3.4(NA),tcp/22, SPA packet: 8hlg3Y5kTI8utoKmPg8zXvjtiFm4as4n3ZB2otsvpjItQ7An7DtagdWayCeyrUlMGLkwZAGM8mGAY6HyX/KnG+Ve1Xc+OFf+OO4aRHLEcRrJrVUjE70jL8ALXGfczBTrD19K8KaByDrg +[+] Bogus access_msg: no_colon_1_1.2.3.4,(NA)tcp/22, SPA packet: /F1god8YH2Oa0xoT+pTUtlaWzy7sTS5zgY/pcS/uOh+pBsnz7nqa+dX6EfnH3pKTIEeE/lBPPCHmteYY77oEvBentPJhDaAGXsh5DRUfo9EBz/9guGXdNg7e9el0t/GA/Qk05sdGvIDCd2Mx6Pzr2nhQTNiUqN69g +[+] Bogus access_msg: no_colon_1_1.2.3.4,t(NA)cp/22, SPA packet: 9m4KC9igNjmGJiO2PsE//XdVtNjbEOlUwd2iyb6OwUtJp2Te7bUuQFwQ0tl0D2u4PXM0nDSMtCqoUKLZ6azRq7jzpuAVf3xl4NBUE7HXMhBQCkQQKx2EasRXTK8WbL6BM6D9uQURFKDzNHDyvRs780QROXRwBRbMI +[+] Bogus access_msg: no_colon_1_1.2.3.4,tc(NA)p/22, SPA packet: 9aepfcp27xAhrDIIVOJe7WAXUETR6muEC7JQWY11Gf1uY/bygSTZYjNNjaWC6F4qNVAYKLpTes85OcdIP7I3cbsDt6K7cn8oMzpeeHzyCclbBftIAATODVZ2JD40su0Vw9EDj3Zuob77CRC1oK2HrygGyW6b1Zmp8 +[+] Bogus access_msg: no_colon_1_1.2.3.4,tcp(NA)/22, SPA packet: +PKLX2ZAOyeqn/ldFwCOHp636Ra5sNRbkbFetyY9V8xMry4G17pz8qHKHxI80ykKXfSMkPxKj4ct7JHdmOcOlbNaopEy4zIJ14sk5MuM6Nh21m7AdkzhWi2hwNKxIKVcNVDqUGQJilLjVr5qLmnndBgJn9IW/GVRo +[+] Bogus access_msg: no_colon_1_1.2.3.4,tcp/(NA)22, SPA packet: 9XIKea5zeIUL+2VfUYw4UzAusNVtV28pm2SMY/+ftKTBtvRVzGusap6h+pgcoVPeoYbmxVhjIamV1ghAV50gzMAzCytyuW2A0w1DtSXHZUMBtpxXZSNiHwusabWtxVVMFTSvTwNClYwuxsNAM7UV6wLRFW8VHpUro +[+] Bogus access_msg: no_colon_1_123.123.123(NA).123,tcp/22, SPA packet: ++bRuBZdKYmW8yjasYweoyEhqWGrkNyfNF9gGiPtSKTRhQvhLd90KCjg5ieL4BilekCNm5kia1v9FngiaX+BQug6mPL1vKyW26S2/GkAnFoX3608238pLU3iYheGvFTtG7GmJJjBm0/BiMbE9/xXLQKXfCXOGMYTU +[+] Bogus access_msg: no_colon_1_123.123.123.(NA)123,tcp/22, SPA packet: 8Kg0vlCZKjNx8xtBUdAyDsU80Jl3tXSDwOSJCfLPr4GxlOunyKBdVPkJ1dTgCo19CAUeV/0uR2/lAtRhwVdVhv3lPJf4ToVdYep6otZoPSZTiJIsOL/OuYCaJAkdT5nf2EJe6K7jrRdchbxI2ho7MKFBNTieZBJU8 +[+] Bogus access_msg: no_colon_1_123.123.123.1(NA)23,tcp/22, SPA packet: +okHELPKBDb42Z1ys0hJ85ZibTjB6ayjngpq3DEnhcGAON5lDqHOc6dd/yTKPrR4v6WehpdEXQ8CioIYbin+prH60x1owfEved+fA4qiVYfVBU6PVzSPPwPtkp2tc/r/OpHnevx6rIu/Cv9ucGtWtbhSyeWUQsifA +[+] Bogus access_msg: no_colon_1_123.123.123.12(NA)3,tcp/22, SPA packet: 94Ra1/su2JuBCSYdBAETbutGmhpuePpiWVSfk3pCRenkLoXTawZODZ2ekNWdvTtR6953m877pOo9STeFJHCegSxvawbDvXhPCRyDqeS7PGRBtPenf750qK8q6Fsvlk/a8M07O3tLyqRV+sFQu8HVmtpRmyj2LVsM4 +[+] Bogus access_msg: no_colon_1_123.123.123.123(NA),tcp/22, SPA packet: +Qbply/A6L+tEMGpz9G0SIeDYiu933yYfyi4z/Xa7Qa6JaR2iukShqHxOwdWPXxd7dMir8XO8PQ+QUnPkeycHORhcLt+xik1FSdZyFOVGg1jXPQx5oJ2S9oNvy/W/TfVFDaGVWGPWXV7TuCCphMBuBWTasFNSFC3I +[+] Bogus access_msg: no_colon_1_123.123.123.123,(NA)tcp/22, SPA packet: 9vT+VACBlN+6eaEvYJTaoi5nuy3KbqZMNfMEzBtNZzFiLmuEa2l6fTnPenOmJIGXBqiRzcNj+23ujXt/4ow2MTPLi1DSux2Q+lt8zrqYIzZGEerbskuSxXHuaSWtFcLrBGW1rTZJ7iN3v2JVIo8n2fcYRNuKES34g +[+] Bogus access_msg: no_colon_1_123.123.123.123,t(NA)cp/22, SPA packet: 8TwbcUlDC1Ea1l7e3++j+h6eQRAza+hwsYT8hgRLd07iwKxxdBl4tIpuiDD9aw/Dd5gRhAGArrRtDiNOB2awEb8Ecrs9ennVjtMbj1PsPPakN47uUVCxWmyCTjYn4RCG8gFbGcN9+aam2U6X1G8TSJbugoqPMyyPY +[+] Bogus access_msg: no_colon_1_123.123.123.123,tc(NA)p/22, SPA packet: +DEVw5sJJUnUe8pXrveg0ini1BB8nltWrMUew3Tvq+82gtT8mBN9fgJrHp/xDL7HS9mvU+9zkXZnGJcIyEVm9mkbTb8ANySC0G4iNIBeVtMgbAwDzbW8aPjHmMFwtlSAlh8u5/DygS7BaGH4l282IAyuU3Pa7wrGU +[+] Bogus access_msg: no_colon_1_123.123.123.123,tcp(NA)/22, SPA packet: 9Tk/mAUiuvO0ZxILflVQF2zaLjEljfbqbplDOv3ywz6fDu75+RbRzVqkAP3C7nXQ3NMNGbCAF/KGZqeWOqkG+9zVP/s5b00BtLUcOcrqU8mHbIpP8NDkwiWcJRhd9Px+cYfbU/z672Cext78ZYzg8U/FrmaDyycz4 +[+] Bogus access_msg: no_colon_1_123.123.123.123,tcp/(NA)22, SPA packet: +Wj22q87m7LhdhOtmex3Nq+jkvZuTAmzdxznhqLbnLpW7GAgYmg4NQiVfDJjQQlME2tMmr6FnNVhhskQpSc5xV4p+Qr4vWFw5IXkXdoaVtUtQUh1Kx88bOggg9i4DD1S2PnOGr6P4p3lqUS/WZrIn86ytfUNsbzlNX985xJ87yZZevX8sUqCK9 +[+] Bogus access_msg: no_colon_1_1.2.3.4,t(NA)cp/22, SPA packet: +4Jc7s3nVHgCrS/v7WE/wV+tkd/stI17r2tbpJmcvKOi/ZAg1dFUHErly+v8ZggT1GfgOPdH6UgzoglwPFf3h0ytavaZ3nQvzyi7SVkXsSHjHimKseXYnkEt2+mD96DmWBnC2PIEtl+G7K4qO7PY8k6YVwXOJTX4g +[+] Bogus access_msg: no_colon_1_1.1.1.1,udp/1,tap/1,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: 91xWGu+033taTvU2tuK9S3ZP2tzp+smGkSo31GNfsd4slysb+/VClKme4NjJ/yTglct5RaI+mgsQASr4W/gBQxayrZYHlNm+d1LJ/8WCZXqlii99QOm1n5KhulQ15ykvZIoFQkcFZezZJtSi6XA2kcrPE08bOL7MsUcNwgFpQeWdfIfqHBiQewYRzqFRpsClXLUOLGE7cdmVWHIobzuSpcYJ/xWi4ApjQ +[+] Bogus access_msg: no_colon_1_1.1.1.1,udp/1,tcp/-11,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: 9UDMhm2yKy5So1juV6y0W/8r3sjCO/iYZ/Lyv0Ed4laox9JAjezieLQgH5m3GATqxai5UT0lLaqkXyETO6GsfiYAztb4tZSpVbJFVlHX69R/a8S+SozzdluLUiM7B5ROnLZVtMjhy8xy1NcKTyCjjIPmia1B09HiuJr/FBl062p9y1ck01KAn8KP4NgSNQMj6bJ7bGjMf97SLehvR+LE+uRGZQbOv/GlY +[+] Bogus access_msg: no_colon_1_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp/12345, SPA packet: 8Ud3JEIeo30RSaThnyvoD3/SdIvFf4SW2CGtBygdWHV8vI/1XxI+gsjTneZJu7xIDPUcdkgPmcF6W/Wxd6l5PeR1tUT5dWStus2LZlvpPwTLtZPaQHCDrYfvvzv0KoOXPPHrVD36Ug63B1/1mnCBK/PTORTaZowfxwT/MndmNSpuf8RcJrE/OIrZqwlYFCRmQTGi7w8y+q6CFzIhjX8eK3KWD5tFBM/TY +[+] Bogus access_msg: no_colon_1_1.1.1.1,udp/1,tcp/1,tcp/2,udp/3,tcp/4,tcp////12345, SPA packet: 9G/2Ben6aqCnoaw6OBJRKoIL4SpfV4re0Z4Ta1iQnzqW6LWOM1N+I+/A56MAAkxd2B2ZtPbt1Womv8j8B2POdJZOiSbAMnk2WETO5HyEfalFMAnspvzTWirVDYnum09LY8woYpB7Kn1u4dGjSbkkxvdYv/xhoYPPCZOVIkK1EIeLs2syTKXfoxsNvDvgZjfFmfrJgyEPYx/FgXmk6AZDtsbp6tUQwezaI +[+] Bogus access_msg: no_colon_1_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: 8sYYOZzLvORwDvOU7YExUmj6cYm49EmIg6HC5/5Q33koWEUmIlXnOXp0GjBDaWayJ2jBMAuD8OUjb9AOqSP/4RYQ4l7Z10fmcEQb6tg5cORaRpZTnPrN6fFTKJiUVL1cc4y+TebXAT7FxQD3Fvc1SqHBiceK12r60mMLsOVy74i4u8dbyV0WJdoDZq5Y17qvonO2nceOKslU7CsDsA16gyL64KIxluDuY +[+] Bogus access_msg: no_colon_1_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: /zy0C1aznwXNWuvaammCIWN6CRlyw7kBBFfYT3V/S0dPGewF97w8nDxsk1mtDnQyaQQhVt/yTPPdFo1Tp2QtYdSUgsbjO12pdtmAgCPhZTuBAgmYl3l57YdtoWuo3d9BUzdTHr1+TlOnTw/76oJ37vPzipV8cE5RFVNiSA+MDolwO4p1RiEx3K1sKSHvipGw2xpmn2VejP9RGqutmFDseD61veLfFFLJg +[+] Bogus access_msg: no_colon_1_1.1.1.1,udp/1,tcp/1,tcp/2udp/3*tcp/4,tcp////12345, SPA packet: 9TiLBXeQq0PX4ZfbSBorRKOteo5vWM3OfrYbKV+GmADkEvPBYevCRYZczQ3HZR+f4lxzPg23+3tlMQFnsCcLXVGvYAq4ddS2ZshsABq6zApnofzdXoQlrnDqP1P9oXvtzsAIlB9TsCVsAUD/9jOD4kX6Aa7lWvuWzsFAasYyKIRn7n4TLbiyKBr8Lcl0gFyv1nm2Skxud/i6krF/bAKjGSl633v+6He0Q +[+] Bogus access_msg: no_colon_1_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcb/4,tcp////12345, SPA packet: 9g1VZBhspiUFAGLL/h53/c0bcqZeNH5+GBljwS55W69GNU2ImcktmCIqWXcTZmIldzrsu8KfmfvhYfapDVErKnfslmdLNXBCR0J2lWQat5F8VwmGxgsuzUBFDqdjRX3AL+5nGC0A+T2j1Md2x/wmcybBpUkNSUnbi6G5BkMKQ678/2sKwzCxMWthucC4MgdZrR0xuTQKW69wSmF4884ZcZqrfQUcSOTf8 +[+] Bogus access_msg: no_colon_1_1.1.1.1,udp/1,tcp/1tcp/2udp/3,tcp/4,tcp////12345, SPA packet: 8VgFKX9OsvGnUWquzl4nPnAnRY2i5OYHHb4k13ZIoGNkdBtLJ/IXFXQyab+a7Z3jfC/CSScq7FXRe6XVfdjNbmybMr8ND6eevVKw9PNbR2EJnQAP7Ynho2DpmEf5hga34rXJAW9LGzugO5fwYL3xHImGt9f7G3LBW/6uluaKOh6Vi2Y873maOBu6gVU7+SyYFWTVH8PHduqPlZzhMYyLJyDgPbebnP4LU +[+] Bogus access_msg: no_colon_1_123.123.123.123udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345////////////, SPA packet: +fUCiDlBvjXeMUkdBNTEir1s8EjIJvl0J/jV5Ird3V73tgf7S0WuoOogQHCzfc0JRO71uVJ36pDFs75r7/9Ih9hxt4toEKmDedOijZ5qtVpvKYCTUfqnl6yyBr4s3fWIfrOKMRkGz1xzD1AQ8Fjw7N4glOPg4A37rSU8t88qVA7/HWfSsM18ZtwluosuGW5kt/ySEfKxEea7eXFS5xlOJb65BGCcppZTEEVhuvXBOF0caO7uXZmbtRjCNPTfJK3T2qntdybqAbQg +[+] Bogus access_msg: no_colon_1_1.2.3.4,22, SPA packet: /1i6qy3KUZMUlwBH63uQEa9nb4KzCYE2Bmg67lC3fTrZfidsQvybxQsBRebydFIyRMWnSA4DQYNYHHpLdfLCmIbarTXKx0dmhZ5210OA+2lBRmfUiy4lG3RcX4bpMGoRrVScvn/+09YhEP/89mYRxY8KB9YNstI0M +[+] Bogus access_msg: no_colon_1_123.123.123.123,12345, SPA packet: +WvVeBqIvAF6LkTZdlJB9QYdxpUi7OwgyLW/hwmndvP+KJSLEkViTMSfuDDyOydIO9L406mho0uuG41XSm7A9pzrW46bfeCWzrd54VO+sw+LejSotTXHFfTv9yhad6uhQwO1dnAPb5u/IoKEIOYMMIjo3bW8Tlh3YV5s7x3o2uR6O8WH4BG0QJ +[+] Bogus access_msg: no_colon_1_1.1.1.2,12345, SPA packet: 9yNQFVjNusm2Ldd5dGbXg92X55V7mQyn6MzVMrjDSe+auB3kZ+Umd1mwmQHWY+jpa09zOmZ/aboMOvzjvObRzatr7kuFIxScNGFBf41nZ2hp87Wp2PSp7zOoHxoi/cSPL+Pb0fX2eBzEZ5ERZLqV2t3YwSWwlbwnQ +[+] Bogus access_msg: no_colon_1_67, SPA packet: /CXdfCnptRFwNrYkpeA8/MtS0cOSrC0Ejf3epQ4Be5bObF5iLUdzL7vm1cQNJ/ws8FIRPHbrxZiIgTuiD67m2UOJFLt/3rpBf4lay3387iQAzDK8nf9ElpgFSna8rgRqNS7VpGiEy0og +[+] Bogus NAT_access_msg: no_colon_1_1.2.3.4, SPA packet: 825EwdQZd8gWdgQOk9QAUz45FNtxli0G+Ci5bDu9SdDH+xueBj++K3gDCneWTSZDSNA59oPeBIufix+p7vL2R7N+CG044Q4QLyYQKARxshyV4lDNPugNclQMmWbRwf1EenDDxLp4VilKChNeE0knOq0JGSPTqYGDq45AuiiBpvRmWGMDMlJbrL +[+] Bogus NAT_access_msg: no_colon_1_1.2.3.4., SPA packet: 95WfDGPAWO7UFxToILzFQQrW/XuhbIekkk9MuCHbABW6xwQpzyNzAoVfIJeOyR5qzNr3geizDe6PVOtgOGBgNZbbky7uMxrK7L3KxXOe7MsS5dxSmrKO13Vk67+dSt8QJGVV/ThmAEV7fKHCaOMNT26DjDrELK53jQeQnW3Bim36CC2wOcIUB9 +[+] Bogus NAT_access_msg: no_colon_1_123.123.123.123, SPA packet: +83R7gOxn5aFUqu3Er4cKryVp8Bwn/ej1xdezeH6+oie9e54xvWtm032YBgjvGuhkJ96PL1CK+/+dZJMu0icBHs713Dly0qNaf/7GBhAvHeFNekFrwPIo/v5D2hzmCe7QhywbTPLTUM43cwJaZQmnsNMTZjc3Yr5zYgA1/iE5Owj6dt60eXS3r +[+] Bogus NAT_access_msg: no_colon_1_923.123.123.123, SPA packet: +AHn6IJLEPaD4LnaxR+eR7NkYdr1e/yBs5Uy4jIZZyXFSMBp7NpI4s8h+/5N6R4Nfx5mKPUbeAlE+M4wv9b+MU9KAiZ+3x7Ktz4ec8j2pk9Dz8hKbX93SmwZ0bRdGOxiVstxbFqVrPDvx3gwIhgEdH/qPKQU5IdXYnFpJIoHAIddfrH/IIWndQ +[+] Bogus NAT_access_msg: no_colon_1_123.123.123.123., SPA packet: /vXTMHhQcyIhlH0H//WF/ygjiYyEiI7twiffXfkmirXAWypA5+L/nXTje6IZ5XGyoEEgRHJkkpnAhbnruzN1LYYnuy4qN0KDr7e3s/pLhSuJEjFc+IxY6yOge6dQhVMGpTSXFPG3PpYaYnadF4WldgL0d8We1YIjwrIZdZYVQ3I7cAplJE7tR7 +[+] Bogus NAT_access_msg: no_colon_1_999.999.999.999, SPA packet: /YK5SkfX52lYufrnIlKKBlBJw53c+lp/nTij1NCpz4dtyJ3kM3lUqB/8XZyF7o0gx8y8/Zt1MW9azQoSxoTX9KdkSAhflAURrgcLAiK4dVOb3re1JaeMogB9fu0vl83vIvbXj0FWOpim3352iDD4yZVQrrMDklonOTCFZi3GmIgU2iGgnNtMAL +[+] Bogus NAT_access_msg: no_colon_1_1.2.3.4,tcp/2a2, SPA packet: 9y9ehwST4bxYL0iLe8/NewWBuihE61WgLgCb4jo8g8YUepxnkRCxvofVrKCvMws/hTDZKMsLwIpFBYRGXV7A1RUdxYGNcIi3mUvFppL44P2VbvJA/k8Wf9H2BE6mqm8elNf5idAlMybU9EwhaDjXJqo5MNg8IsRdwmGNDh3zMEZzSX5LvAql3R +[+] Bogus NAT_access_msg: no_colon_1_1.2.3.4,tcp/22,, SPA packet: +sOJ+4XcIQaFw/Yz5eVhcaz8oJLtBxI27AtRMX/EbKuYYI740dQWoMqai7bCmqMWUy4M1mEOm36FDAinfpNj9VQBD2WH6JcxFBJhIr+YlPfM4kylDuT9oQWnx5iYA42axF03uUsLm5xoyRHyu+q5lAIKVjQVLpi4cnhaX5q2t5OKZGhsM/G/eP +[+] Bogus NAT_access_msg: no_colon_1_1.2.3.4,tcp/123456, SPA packet: 8gdnUk74wRkAq3oVgD4F6QBhf5Rjrq8hdmHPmeZXA6zG2b83N6XV8xYvBwvbGM7Eu0fMN9jjZJaNuF0urQ2bS+tOyY50l17aZuCQhGEyotnxfLKA8lsTTBzLjkqdsSMGptIa7GkN0sWtXzSTq42iP+D7L3SUEe6G+xi/C+BtmTn/MIcil+0ppsI+m6B+A0xha1qPcI1yEEEw +[+] Bogus NAT_access_msg: no_colon_1_1.2.3.4,tcp/1234569999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999, SPA packet: +w/UtyDkMDRLCkdEtYV9q589b2YAjJ1zdVMwWUynilT8PBszkAUEotVCoPxcIdQ3wmBKX38+UC9ZODCqIez4sNz0kyyansGfTjO+icmaPnerOzGUV0+upGTV4iaiV8UL/Za/qrRazTzLOP1tI+7PscYoTCoDC3o961gW6qi7GR5WDUIAhaMuc9uzvkcbB/60z70HWUJwjftulzba27nNNxlg/v2JkpDFJdcDg8OY7GrlXUQPdxNm0ZeXdvr2uPo7g9Siuph+6xZgXX72C/8bYfdhHGLJ7E8CdpvYWgEWtcvU1IGs/K9OH7R0cYFbl+REM5eRti+ZMeefdgs0aHW9oSNGcUGqJh1TS2/43O/JyHNSB4OrwngGeT +[+] Bogus NAT_access_msg: no_colon_1_1.2.3.4,tcp//22, SPA packet: +7+h72b92VHmXEYQATZ3kZ6sWWSZ0JZcyNsC63LOU2eyB9CZilgZiXcJpid6j1TQucMurVnQ6NWwUgZzdWfQ8Vj0zoXIE4mrQkzP36/TSfy0mxWTYsqfPRKzbfHvNNaYjERa84NJmoRIkuGUB3mH0OYk95ki6IT69T+pSebRmqCW1t4oFWHW16 +[+] Bogus NAT_access_msg: no_colon_1_1.2.3.4,tcp/22/, SPA packet: /YfGP0SCVbXscIOkOAbWfsATjNAZvHLnyU92k6JFwvvbNyErQb3inemRE1Bos/l9+L9c0klsk8boCr2KzApTwBgULZWrawDOn3kj4Lyn9Dpumk8dthb6i3qvyJi2en98bt6mBp0LzL+Lw3bPUri8GSlbOcSluoRlRvfBPHIwqpz5tRQwXyDLmy +[+] Bogus NAT_access_msg: no_colon_1_a23.123.123.123,tcp/12345, SPA packet: /bVl1qAGyyOytBbwTG8WqgI3ZtkJ53ZNxfyIHmK961Ew7n6rUzCnQSxnVeXMk38aTSA4LmMwYps4XvCrQ+8U2LZfzqjbQeWVMUA+5i0JXycItOxdlRgu45CtEcHZkKV56mKN8A11pbhB0H2snU0EE7C8lguof8qv4jcacEEBG8JFLOuzOwtPFoyAAEDKaWjpAugwKmYPKmkw +[+] Bogus NAT_access_msg: no_colon_1_999.999.999.999,tcp/22, SPA packet: 8ILig/TPD/AW0CkEyShw95DkmetYLCA1NPIdVG8pDI378jUDo6KT0CLOcJXqXQoO0OLVTPskbV/9F236OzX25x3zsHikqMRHzFWxdSJfRdJOOAIxbh08D/yncILh9HQYocLYfJRhyAWR3nYG7k2SCo4giwyJuYNabSMHkTbh3JWsz3UryH15ilL7cNeRJ5cUoXKT2hqEh4kQ +[+] Bogus NAT_access_msg: no_colon_1_999.1.1.1,tcp/22, SPA packet: +Gp69ST473oovrBHX1CKJU9ieIGbB0Z39ZkqAFNWJG0+Pq/QkFwi3aatL04yYnQCxBoQVdjY/8ZiutXj1o/Jpo48J5JTJA8JEzOECIqv1v1suHZs/lhiWw4t6v/eW+xDju4OyFvqx310pucyXLj1hfllb71jEShvf31ynqIdWdfnYvS+mvZsvj +[+] Bogus NAT_access_msg: no_colon_1_-1, SPA packet: /NCJU3MNeYAlccFFKLJtuACwZAfuVAW1vlw7PfVVAyZ4J44F+OBAhWDltltq0mrPTnWE+snpTOKRSmpRH7M+DU9uhbMsJ4au+tSAnDJ3szdmGSDAlZjabiGXYPfhXC5kDXnCLjqC716yatmIh0Id7CD7u+JWnMNIY +[+] Bogus NAT_access_msg: no_colon_1_1, SPA packet: 9+Jz8781vzbBUhXFVpi+R1u2UJHECzwHURNblYjlpuFG0ubb8e2KbO+2/qyqJ343ZYhHsZvU90On0989aDYUkHCOndqq4JiM9+qHrRXj7QVT1cMWGkWN1kK4WttiOTAzesTJOYpPxcO80TxYGQrWh6FwQGL9cA1cI +[+] Bogus NAT_access_msg: no_colon_1_A, SPA packet: 9kp48xUwgub+qKJIkh6YiQdzkqx/3JNq3/ncN7Ymyhq3QWg/9FPgnFie9OPWxsN8KYK2VAlizichg2w9KJH+1wwXEn5RlHvFSMUg3WRYjEXynFG8ttY2KxTJLcSDiSTndVZKLc5PQIO5X3MnkybaRiz567YytpCFE +[+] Bogus NAT_access_msg: no_colon_1_0, SPA packet: /0DvOBD6gZmcB62HtzX88lQF89PsSceGzj5kkY6LNJdOMIGsjlDP/rSjMYwHPhHlVYXujPMBxkP/MbNH6VR3jU76/W1kVc8uLnrzvPdu6ytP3rXREnrmzYpip5gqkhJ1DCovUkWyKLtkf2K+Fj9t1qKVrCx9ILC1E +[+] Bogus NAT_access_msg: no_colon_1_AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: +7C8X+bD5YMapZXBNW8jsMhzcvIbguuOt4swGlS1lUZzzWQ7UDDA3W+E/LFSm0DNhRn1/w2/G+HODMqkpbeNZyJeKh4YJSD8gicHyYBR4Gj/OOFi9zwQKw7ZF1qkuK+/RJXbewrbRLQt6v/EfUh6ytBl9evdyw1qkmb1ArRPIga1Kv9lew/kwamdXI3bPiLQqYcAxQAFCHsuH4GVGYfeFBwp1+5O7PH+D125N0rmhddXdUjIo9Lg+8du0tr7TF5GeZzPEL0nEvIHwizOffU1kz898oNez6CcX39OT/U4EwM0QWy9yEkfrHILA9qP2PXFa6jihPMUscj31lnn1Oh9mPQBgjxNLY+qWAU649mxWO9UrQmbQVjQRkRhvUu7hxgWmvPt934MU2YDhBQ2Ji6f8GeIolAAI+0g1Ch5BRNjm4yjieoBq6fThpzbc8opBDQh8q354ysq9VrAXfuoqQFdtolVV8m3LeKpBoa69nvhNtnExv+b6A4A8FIGC0Uv5eeXCTkFu53OKa8hFD8k4jDwpmUnO8fg0mylelNMKwuZouqTp9BQzSlHNZPDGktLrXQV2Tt4z0YFYsovYriDdmHbmQscNEFgoCZjLLvUeeSiJrpj+UWtM2YFo1JbY6Ago1zhKW/J7LxAIJxRQYlIbfWXs/VulA7zqOXV1d8XGyxABfsvHnKf40TtnZSViciiHs16GiqZpcXs51DHuI+JmLn4O92CCm4lReJc+j/IHNBJ4bp5X8sLW10n4v+yFhCYA96Rr8njaVyixSLb8YeJ1QvGHz3TerKylDgB7wUNUIcOWCQzgor+hTUpEd84NVZeyZA67I8SGnUstnQzwD018ONfq1zc+lXDon9+28Ozurh6lZaa85touefinXWsClK7KE7P4BvsHqX6xFRoV8tjWpBGF64imSho0OqFLJI+bGOpCCO90zZNyq9MPw3EYFmlrtuyKX7k8EOCt0yhjb7GVfnI9QenwSAqcSj4GvZknuvfsSFRjg1rxKVx+Ysa/RtlJu7+xbPVtTTvFynB1uqxZc2YUo55HGFhvMpIJKhV4env/S36vjopZ8rZOk6yeyLgt0UXssbalVeCRBn5cgOyMiUZdomuGEqkTBiEbw0ymJ6i/ppAQ8Vm8Vsk6wgDT1DoDF2kZjh+jzWSRAGcesT2jBVXFGQ0+LoG8kRspIW9ZIAdny1qYWfThB6tGxJyDC0MVuSxVP3/C3kZaNNUU6sdzBWCFWf4NvoTU4LpCaWO5VCplhgbkZmWPXphWJHwYKR74oaffGHARPID9lz9JplcXzbwkhaRE/+1MRpFkHk5NTKYInPBFqqXtLyDhS3gwyYXzm38xFqLYJS8CxUZhmF5T611h3oboTrcD6Dhr3GHL1wUKNecDVfRLtJ+OYaxGpLutnG6OL29doSTT1xU8UC21+bEs3t563OdtTkLw +[+] Bogus NAT_access_msg: no_colon_1_////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: 8Ey6k7FF8mMZom+0QrTFQvoQIe8qO3DV15sIphU/LF9ZFg1ynmVS2FMz8qJ5HexkH4PDUmaIsbO2CvawgZY9Ti0ob5hT/i8Pu7mLSUAnl2Hl6qLimqtKR2mcWabEpmOmBKapGOfSIiIqnWCwfWyOeSfmjt9w7S2RH4SN5T5xUAw8nhvHshaoAXQQ7SfXVyNTdirGmXTDnQN8TXs81/ppkCIXw0EBQDyDNxErYH/9M738DnimqwSAUQBQadjUsYbcXGQHtR8wamg9ZHupf785JbD1uH5qx7pRC+VQGbIQ/atG2P/+PQ8pRmb8bBwo/NOTFxhUPSb+LnfbQ2z2oP+tnEcKGOyRtAHNfVmw8y9s12fj+8M0jRotC6D3MLXDk35821KHHrymYn/QiUMg1wFIFreGeabWPWgYWcJh1BMnDtD+vQ9sRlMZcxV6+egDTWEEQJGRBX4fqGZ/nKS1rL/B2BpyYHGTZtvSxNIVHeh6ompH4MsW0Mh01dA1nbPcezknrG0Ne4YV6DK9CzQh77iQpiH4DoAfVdEgMXiftpJt+6siUEAwfcnCmYMQuZVFPxoxlJBdR/2eTt0cWZdcJxr/E1FUnH5mQQiU6nYdei1ZoynyGxQr5aiyI/N5Ld5NuKdSsq7rpKwUkFMGpoy+JH9S835fb1LlsMXdlc0F2BWZ/t2o71L6YORVwJl/mytXqUAwQPLi7ONWOqjpA91o77gJaBlqRxf0m9RdTheST2Bp1ciNbnPjt+oARWMXyKbcQkUyC4m1Fm6kEn8d73xn7t5ssSR+uIrQVoqbG/bkqRGRd9U+fDS0x07KLB5SQblpuCzv/gJ1VXQb5ZIhRCvSHHf/jm1KBNPCHgB2C7vSjiJwON1DO51yxZkWvbGHyoKw9EdjKhcbX0UIiWPy9SymtsJBFW+9Fl/0b86w4EvidGHUv1LqrUuaPJzo3ki3uiqYMPTmx36P7agVd9HN3hbb+y0QoD5VE6l4+fdtpeHzxMPLFCQu0wIb/2Hmz867wIcPZud/8/9C3m8LOZnAy8MGiJMSF93ojlvnrhst1DYZiEQG8mvKqfphCKDNM1FuLpNoYchVqnTvabmi+cEQUqDfHR1vjEODJb9SVRmKc2Pt10lWYSep+ymSkNVCwNICwzAn8JbfCocvxEr31Lqs44ZfVUZR4p4SOltIfnv9E8F8q1BYHYUzLKf0cet7Va4FHHCMt8QX39KrxGEK8Ec0oKom/uYnlz4PK8e8cAOadeukJxpecArNQkg4PKU/eIKEusrPHnFymzbro/eD1RXf93AIBmGRgJI+lPS2BhKC7UoRPVetqRIK3MVpxB+X9Ya6hljNkDa6crS3NYWfcLjYNomopLE8TFy4kOotI59/+Vb014+0BQmZP90ogLsNUzCqYg6Cl/c92B3nqaVY9vpxA+roa82SbXHAdEPYBGscw +[+] Bogus NAT_access_msg: no_colon_1_%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%, SPA packet: 9+LdGa+P+zjnDjHypF4R7H7jZQ6ekaqNvsbDJyGOjXy4Wy/AaumrN4OgqYE3JsGDEJ9z369woOiIb3ahVZEaLhPGlJVn5eYJxU9uMcocDZWwi36mlQwhIFewIIo3Ob4KvwMfp1ar4BX06lm/Gt1LLkfsps+sT+Fq/CyhQFskZXUsBGLMjjaAF4vVeJQQpBV/3dCedegSzmUmUa1OT6x/tw49aCZTqd1g1IRmIXfuvPfSnjF3zaboN1ODVetqqIwkoyzN3GHeRnRJet8T7TbEwkBmZqDhvJb+mRllu2Ifa3D7X8UDa45XSh82kfXBVw6/ZUC6EnZ/yA9aRnuGchpaZPS8UqLiyblQw75SLteABpPhWgBezCUeuu1OrTcYfMsGzlV/6e4hMU9QeRoSc/02anF69zTi2di0sTO3RC4R5n1mO1T/vt69Q/Ex2ZPonq4hwqcbqR1dUwi/xEOslcqfoD2wP96ZfZTzmtD2nyBbRQH/TgI3/w11ybsJJq2oW+aSe3ir7O7Q7QbkFdvo3gE98OAu4F06jPEnJwFbTos7VRVfb4o6PhbzJErEft+tk/CzDJSHFMBot9Z8SvIghekf1oeiEU91sfJkWFWhpXc9IWc30U7zpyPoBqAmQKNOl1ffB0V4pqlrSa1cVHg3loLtkjGil8unUlpN47xFsMhMnyARK4IH47E2kQIi0jJTCEJb0+LfezzLWhpAk7I+MMSu0xVebnBPXa7LdnXlobVl3xltWRuYpLdCYzI1IwFOR3VZhOSuiAAs0RY0SQMuSA+Xm1mgqhPRisyLoDyWUFXlqQWzjFsGS9yIr7BkCt9m81xVth1QRbhf1UIuTQd69Kn/dDDotWIScWhYitsLNSSlyU3uCcex2XalfX/5JLj3mIY9KMEYD4DM8x46B/E3/uYrkwn0jhGfpYeao/g/9J4Ge5DoXOuo7+ZYYrjakWYh9ecyX0amcnX5OChOgZ6bPevMbgGYnNcVHRJKgazt/fd6nbS/K6D9OTd542HOWngITECbfXk9sGC1xIDaZrms1XbfXoSbilQ51JoSjNP+43sl5hMZMG8sl65/M8r54eTHDU6ngP9Vnpz61fzlKq1T0UA/CXc22a+3OCdYDxV1a1IaYtj1tvEDPEX1eb5u6kFMsJyhjM0rAAQwp03Vp1wLNWcyDn/YzCtqIgQTwzHiet+CWXIlqyGuxN25Emuq4veDEEP84Hs9nrl7wmCT4ON4un4P/JF/1mlwILrmeB9glAi+Tesv32pnchdM7goQnUQTnLrrNdf3CywumiqjO77P/ivUQ2mDvKLrzUoOnZxSBPiUFyhkKgmh/lkg5AhU2ZqMTJ8iRJBYfnhGL8hipxBMQJFVwb9D9kEZIHef6V8IwerjxbvTZ+yHFsh0oZm/mUeWw8LHmYz/lziLu1D2DmKudCq7ZxBhXsLGVdV/U +[+] Bogus NAT_access_msg: no_colon_1_::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::, SPA packet: 9GjgtJ+i/Hnv3ZIq0V0o8628VWPzc04ZnNGPNWtgh+7AR3dZFSejK9UiS7iEv+Rjn3hqhIP1Isvkz+UUfrTM2Kr/5duvA8UuRjEiIbKDu4/fx0TmMCv9xupa9KEc32IgCMpj7v/wYBie59L/lp5RSkRH0i3aiNtUs9kGPRB9TQK08xDfaeJnqka3dMDG8ymN/bMqssZjiXNqZKYNcAjh4FlXCQfw9ajrErOq5o4KWRoMFSM3SGmW4zpjmgKERvGi6RDXp4NcF3dMRaCdTfP4XkEgXMv6D1g9EoOrKtUUv8LjbRVEuD/Ese8WlDjVfwRTf1j9BvHps+p42Uuag50GCYYmPXkxYC4KPnfRxippm2lEnvflhUIQvPzy1ExeQLtkpXBzaHE5bvhNjqSCtakHA1KJl4Xl3F4zjiKQAqGRUlQjaCccFZ3aMOoTP1sO4KKS5DpfQAsCji53ruBg0LI+8Pxr5Fl4nnWbWFntR1lFUAo6DnNsAcBPmRak4GYy6yiQsqNLy6SnZpaky+deFlBLNAFaRKQxHQm8I/bOqEsBQ6WqFwSBNKX0x+vqUyMgzhODMdMZVAndtw7AKy0isQej9EUyvEhG6k6TKHA+5LGK72nE3orJNKz6TzCOzxJQ3ymp+ZWC6xoAbQ97C0qceIEdA6tbEnf8+6FPNVKUJlRhuCrRh5bzTwAfL2BhrefViUl1hWVZHEqabXjRn3MLcUWnImrvd1rJZbbK/t9Q/e4VKGMkRDyAO/ZP6Sg1Cm+mUxUjYhLaGerQH3SIql5tUVXVxVnUnbq5RZDGZ6jVotp1ahOKtU93flKbea6YS0yRCDoC1GtLFewjey3Jrf85SpOctcMnQpj5ZrAkensy38ct3xJ+Hg7KBBfJFGGLuXG4o0H6dx1BfTagDbvV2R1ycQg9QlCbh9n+hvX1eyRpvXoo3NtmihsoDM9g2JUV0HggGWmrKGV5qHg6+XemuYGRvvhxvE1z2NZxpbRg4dVqPVQbc7SsVqKIe8M25bHVdx8THssLVuxde2p+3468GPvCG8z5himwBqQKfT5EeQrUd8IiwZKLMVgjY0AEJjqA8Qjm+NeV028/grTK5wH/DeDJTOeEti2v7lmnSAIH0VIaxeYsk7FJiUiOJxFpI3SYvJCcLOyqxbFJjgdtJyAaLont7AToUoo8Y7iYa02TY/7ctfazSPkDwPpVCTiTk6/gyPNuQfJC8m6iwhHc/CbmzqpO4U8X2GJVsyLyQjkOb8RY1df+IO7AyCLQ3Rc1Mt53PQxnsLzg9EHr68ltYYmpsM/Qu6zBx6gpwFWy2s0ossZ71/H6IecCpQToexhDhZLa/4ebkbuvSR0p47+AmCSOax1gE0QbkybV080na/7jb2LM7imjA4PDwzR1ADnCkIIbNJGturA+auTJp+5C7uOaEudKfKGtFc9kv0OCntH18 +[+] Bogus NAT_access_msg: no_colon_1_(NA), SPA packet: (NULL) +[+] Bogus NAT_access_msg: no_colon_1_1.1.1.p/12345, SPA packet: /RQ+4DegwHOYP5Q2yw8qp1HSnJyzDHRxsRMi5qcwiUP3g3MiODeAyT8lWEg2fzmUyiPxxgMqd5eiqJ2oPo+q6q1QheiSfU/igf57aNYGjJ+5yiiGL1F/3O1ro10EJPrlqwpq1OGm1d77jQJmtbrr8kNcDNyJLNiRY/pv7IOJAUm9BF9HzFeolr +[+] Bogus NAT_access_msg: no_colon_1_1.1.1.2,,,,12345, SPA packet: //mZflA8aGa6ZF+FPvSrcstNQkKtf2AOTk1ggj//gXx7ZElu8t1vLjdjDOtEz4vd7TTseFK038mhniVdrpRkkEy46yonQZpQixUL6OZMkLz9BeyFSC5Xo4kdn253HmsYIWjlPbwM7/okFFJXnS03JODwiUWah2wyB6tj1TwxtFV0LKuUBzYHSc +[+] Bogus NAT_access_msg: no_colon_1_1.1.1.2,icmp/123, SPA packet: +H5elGMHUPm3BYvkOoXLAWkFfe6rBhfR6BessHxypqg49stWfmqW9AuOtK4BiCYJQ3uqVeIhgauD2fu8hPDsuLNsN6fsoEbJjYT2QllhCotpqMYMOVKms4LcjvSYd4uYjuKTZj5tWflq8NdO71meD38LPkHi1aNHExEhHvAPlXS9eUXLbjkOJO +[+] Bogus NAT_access_msg: no_colon_1_,,,, SPA packet: ++IxqH93wVWJMtDvp5/AKDRxifvSVlqp4/V6oIsGTbza0CDlwFEWQazwUd7ra522Ze8SGF2MGF2XUTW6pC+LToAdpAEINYFhaQ3RgyLW2trS5fu5CvCH7RzHyJhPWJzpK7FxNAf9Z6aOKvtbq6lRdxACqLdMgY95I +[+] Bogus NAT_access_msg: no_colon_1_----, SPA packet: 8cuxjSFtcaf7jr0E0ZZlV6gatfjzERZXnPVRd+cdiv2fh0t7eLxC6UraAVoAtI77JliX3Z96pzAQssapK3r2Z0D6Jb1H7Hji0PSCm+xzT9x15VrFu9pWLybx2iGWxRg/uoBtTXMvV6rOS5qND8WF3BbUI+hmvPGPA +[+] Bogus NAT_access_msg: no_colon_1_1.3.4.5.5, SPA packet: 8CumTtaUog/WkpONUFuFcKCk9dcs9fKcmMCe4yhi/Nkjjp9VMiLpfFIMQtl7CmzYLV7MTesf3dO8pCHv185+Rk4svaqkihSrX8EFLN/KCK4GihJK5P8aEv24HxbqlPj8v9OBkSbOdWXWXXF4qOgVMsDsZi0qHvJZrWjIs27dIjBipEXPOWaz7G +[+] Bogus NAT_access_msg: no_colon_1_1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: 8GYaIeg+wZbL1LtMQWFZP5bB932gxLGNYgJxgXQQS15MSkwF9eDFRoOBT2H+0AcLV663DYU1/Z/0M4zXUSx2mjojfDQl/Pn3FVTpHzAZJrak5XDyTDu8rmUHpvt9zVgmizJQhIeKCOYYiS/rQdyVRAwnxDkaUCf28w4ciwcC6C1T2bRktHKPVwxQoQcvj80tpkxxzoW+0jouK11p7bpUmUMH/hiCUpFd6a7v3CJ2MijtYSdpxaoHpn/wzYt6yleh5kOFga7HQDaTAUb3yw75Z5Yhh7EzUFb01Zpbr24Msbo81aXF7BW8adHeWHxRIkNg3Po2HXSaAAS/5BR293s9SWu8l6RDQbvC0 +[+] Bogus NAT_access_msg: no_colon_1_1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////22, SPA packet: 8a00pIAMnsGYEODqPjrwgzNBvU5FRDUax4P+jFTbDC1tXUWjz0+0m+GQBb+gcmAk1A48HeRIChdtucsytnhaInt5fSQSshAisUv/Q1I3WPNqjKwbIlMoC3VatHCgzz0irGolRg1mHS5fGMyMnMFOWitd96k197CMhPKm2fbbSr9B5++oGcq9KfGEJqcNke2mJtzBfL4MWq74j/EClD6n5IOtSf9bYI6PAL9lqN3YOzDA5gTmn2eStoT+rJjgA4bw1qDY5uEvEtqoIpQmsB7kdLncNhC5+Wj3vnFhe4LxsBUf8biiAxF4ks0e8+rY5YmWLt40bNCluKTuOSNQQ+HmwfZVBJZMuNihk +[+] Bogus NAT_access_msg: no_colon_1_1.2.3.4,rcp/22, SPA packet: /fjGwVRoT8w68A7qiAW6QqFYvkDrbkkz082nhtu2osrSrZRq4dm4fDRWWz948j6z+Tj81oi85jlOuof2COQgOjpKoGdT+rxX8MjIP1NnSR3kP1VVjMtavHg9L1r2uskGGaiXnVoHyF4G6LLiUopRVcI0wyGHeacrVg39qhSMUPZhg/k+jhkLEX +[+] Bogus NAT_access_msg: no_colon_1_1.2.3.4,udp/-1, SPA packet: 9UwYvUXRsZn9wt8A+CcxvMAyjvuMNtOEmr60hXxKhV6ZfvUkaQaLj9D1nKvdpZmnDMh4uYnZnYGSh7GS4phZU8RS1rGmx+J/JwC68WpdQMIAbOrgkyDtsNogo5M2QauO/QHL1N1j75DRp1YDTPyhaBkNKXktRpclyOnA7p17rIt125dui468gY +[+] Bogus NAT_access_msg: no_colon_1_1.2.3.4,tcp/-1, SPA packet: 9l6SOdo71oMx4qatUISQ7mgESD8sdABWR/ADHy3iOmF01VWfONyZKrxsu5I6dlvYCAksuLNo3GqYzL8F/p9UnuU2XrslDq76U5q8zANYQhZcj02i/zrEwiq0+Gy8oDkhtPcWzx/WKD51C769cEcudh25hNVblI7Q5jLMtUsTxgvre9bLbGzaEg +[+] Bogus NAT_access_msg: no_colon_1_1.2.3.4,icmp/-1, SPA packet: 9uDs8guEGVhapR6/dTFvltMqCIbqoWfB+Rtb8NWRo6QuIgpMfMnTf7g8ev+P8NqnEgi5RLoHiuenC6YuKlQn9IZobp29+Fq+jhUVmLzfff2h2xhW5s+bV8FnTeS+buR5KFAsIVkQX5jRMZ0nFT1IOPu656ZrNlmYd0NOWkAGJDyS02r1YfPAUe +[+] Bogus NAT_access_msg: no_colon_1_1.2.3(NA).4,tcp/22, SPA packet: /3LZSHebEo7Dfwwsf7TE9mr/kCZMRr4UoUNH77MEgt+G/YR7k0Gijq9SZef39suY8PW84TaIFVRu0TRaDxWO5I3MVORrTQh3nSv2sRVjI2XZ2xOlGMLXyE4hvkzr9S3uPeR3o8udMvDR4bWTm6+g1RayJZLAEvk4nIdmjl8gOVWpb6m35Q1UTc +[+] Bogus NAT_access_msg: no_colon_1_1.2.3.(NA)4,tcp/22, SPA packet: 90lpTwDK9CoRqnbHQm9Z1N7y9siC/CoY+gTUlnXoyth0l6lXX8uLc5HK0v2grf5U1E2TP6XiJK1yDEg+8Ki/hfwjzifwnPrxn/AmGm5/5z7dvC1jmMZL05trvYTlEzeJFJjfzrKJotYNOLzBY1cRNrvelJpGepprnREe7QYQq1GbYtdalL23he +[+] Bogus NAT_access_msg: no_colon_1_1.2.3.4(NA),tcp/22, SPA packet: 8L611cayB1ycKBkLaCsSHTfrYGYuae3I29f7W8Bq1ZIKxjPgjfb8avOR9j0J9+UJcVLtxSwG2LyRFtJYKroVFh0J9/cVSVBwzB9jjTxAhJyVdWdLueOeOZT7JZRZJ74hRcyQydE90DnHrLUeCjeyQyPjJtpUGjry34l4FEnOEHREHtTzl/jSPZ +[+] Bogus NAT_access_msg: no_colon_1_1.2.3.4,(NA)tcp/22, SPA packet: 8vtQ9rGKcMZmCx5TZoQUNXl/CfgTA9nfeVjU9V15E8zJNLZfqZ7Ozjg6FZAauVAYDeACvMR3ten9e0vhgA3aEwKErQxjI0k1xHXXrn7shsCeuC2wPitskQViKaL/mKEVLj7biRpIshh84+fGA6170O5WsBPKpG9ctzlPWwdAxeJAcyvw3DLZVH +[+] Bogus NAT_access_msg: no_colon_1_1.2.3.4,t(NA)cp/22, SPA packet: 8Zo0FObnyI/z289svInUoGOj0kpxYEmx2DaZxa3alnQTyr1GQfeH4I9izYrFR58KsV6asEHVehUOiBGfsVQApemEz2GuLLgYH5RCTmnK3/YQeU6zlBCVKqlqOmKlgEj11bxFl5GX2MNooDqwtApGODb/INM8Fl+zqKKX96r8YqTiW/i2etTVhM +[+] Bogus NAT_access_msg: no_colon_1_1.2.3.4,tc(NA)p/22, SPA packet: 9JxbjSH1tdnlwnFs3yEp4ORqEAO+g+6tfXUnpc9vz+0ZNCSxYaC2+bkghbNmLIvaK3fAn2TK0AIzrtm+1bogSwkRA0TXsNC+QzpOGHSMdXLpk/NXY4kouIIS2nCpJ3oZ3zJCBfTssV4H/mBVTqK1q3cO1/NY5tg/GFZiuejPSKQO8of67AyOb2 +[+] Bogus NAT_access_msg: no_colon_1_1.2.3.4,tcp(NA)/22, SPA packet: 8eZl4NXzkSshCwS3A5iuCnjxIaNAHnPLxCTTinBV2SkJoVsn/TDVSVNA93W33xa1tHQnx2jlXe57E+Bil25M6EHwoAhbLlnBQtDMw+HSbU1ZRXO6lNtqEGsMR7/pkQF9mW3G2A7OFXj+j8UcFoqr8Ik9+XbD6ovTag1/wd7xwt308Oeu4bmMCH +[+] Bogus NAT_access_msg: no_colon_1_1.2.3.4,tcp/(NA)22, SPA packet: /q5pfg4Q9XuXIAcJCSX9guNy7gmW3skLkb+aFyMxiGoasDWlz81W1dnaMOSVmqYiv37Tp9AcjoywLhq2xjluBUrA8Gqu/SWml2RkNxqUra0HznOUuz/DaWC17lMBjFkTSN27djzC5SJ5724niYtwH9V4HWEzDrHP/FRROxWcCBt0aJ0ydlth31 +[+] Bogus NAT_access_msg: no_colon_1_123.123.123(NA).123,tcp/22, SPA packet: 9xf338AYq1WjX5f3KY98t5IgizGm0xjbHnFnNiWnRqEufqMksJy5fh6K+BLhRm92HA8bSFCHPUqpucFqA/szfXZFUIXMFhjDuVTtIOZlHvLylMnow0AW6mCGCkMpoSAW3WH8LRRYhSSDqmu/upCRYayaf64hWx1pVjmYXmlcbrSdOnOomEQDBG +[+] Bogus NAT_access_msg: no_colon_1_123.123.123.(NA)123,tcp/22, SPA packet: 8o2kGNpMdzOIkt+0IlRtfFjMl1TnPBUrFeOQ+KE2jRwN2vcEmcFoyPMtRhpzJdp6p/Z7GepGs3EWpg9XJhU7UOx8qL5GY9trntNkFcucNrpry2weKOX85rsX66Uar2ESxQq99fOD5KZyvubuvgaZSnUD8AcYHdWc07yDi984Ve7AdBuMb+LdCk +[+] Bogus NAT_access_msg: no_colon_1_123.123.123.1(NA)23,tcp/22, SPA packet: /xSkVRG1SOQNI3Uct/LaXX1A6/eXIRRv2DQmt7a0nx8GloDo5HXlbbI80Eu/VBjUes80IKCBiDIK6ag4WcrEfKRnvDzFapF02/QRQyIGTxr36NeEX4bqPMAtGufT6PWjrhh+NQI//M/aomZ6G3lvk71LoLQoVmPfCcMvz/teBuAuWnTMSEvDGc +[+] Bogus NAT_access_msg: no_colon_1_123.123.123.12(NA)3,tcp/22, SPA packet: 9m+pCNLCKHh8tDgkYpUQQMqSHdxsvo2R8fyhZ9d8BcSdlIVRkWJ2a6ZjkfOF0nAU/BbxMgYq+aNQxUltba6MAQJwO8lLQmQFRfbnLTFBzUlU+bsDgm6SrbXsc76FOJDfL6iPyLETBZgp3L6FtM+OCQgqWW1GEgKSY2JzIQ2qmgVby6rG3Hy/bx +[+] Bogus NAT_access_msg: no_colon_1_123.123.123.123(NA),tcp/22, SPA packet: 992EudmAw2BIytFRzYekcw/qT6m8AQZWoovQJ3rk7QcFNSSipO4JBLcClx8Ch6O5hUYbs7N8qlWMBal53a/+dEh4adCuBTimlUxvJJYrTRr6UzDflhOeAoU8+KxkmPfvtWl4v0uJmQ9qNGRCouflG7odYRaQVUCtcFPkEOTOn0S4hIuv9jgGWM +[+] Bogus NAT_access_msg: no_colon_1_123.123.123.123,(NA)tcp/22, SPA packet: +12WNjKqMJCKcvHK4r7qaqlPhX6hOxJKelPqx2NA4QVAw+vWEZaW79tGZKQl6bSGYH2pmOBWFwGhPIBHj+sy94P7rwB6GUCN+EfPmrESYEeCUtn+eBexfAe8y8yvN8j6Ey5U9qwIrsQnZHPeqI9GPubk89SQK12cEIacNdxZ3G403w2sSRaImy +[+] Bogus NAT_access_msg: no_colon_1_123.123.123.123,t(NA)cp/22, SPA packet: /B+NwGeRSWU5nyuPXOsB/CJkIWyZy+tNyEigCIOiSx78sQT5ehD5/kcIAZ9an13tQVK2qvdQKoy/7wzbDWkwa3QKv/ewUcYyC3H+lNBpc+OVljqD/fEx+H7UXjcYIh8Uom2gEhRIMngv9SOJ9b3bdxO2oDsvM8vt6oVJWzjub7suf72ZuJNhfJ82JTyCoEsaMU2IgKEFej5g +[+] Bogus NAT_access_msg: no_colon_1_123.123.123.123,tc(NA)p/22, SPA packet: 80CkqTaXY4bzd9oItpR/M2+tQ90VvTC1s/vIaw2IymHuOzqKOA2ivuTvASwgc7DkCpgFkP4VANOjpme3StHFaG1S96SMyJyM6ADakwkEW/D7fFUgLxJBA5bfSmF5dBUUKya9/6vmTlCDz19QxQAF0JBvgeAsk3iiP8Ixcu/8Ci+nZyUs7mklBsq59tijjf71biL09hdWL5Ng +[+] Bogus NAT_access_msg: no_colon_1_123.123.123.123,tcp(NA)/22, SPA packet: 9iklkTQtvnl8ofIcZxochwWp9npjCAiePtF4pjds0nkDcGOPf7uqUYiIhg93XENX6pHfv5TH6FIC3h0O7FyuBhcqSRdB5mJfJFlWFRXVjkIAoVHn7N0flC0mTrAkgyZdibo5m+ZgLyqzColCF26zhYgWRourWiEPGiqPQs46VFklrdUck/OjNn3zLtFNLUh8OWkPEp13N6RQ +[+] Bogus NAT_access_msg: no_colon_1_123.123.123.123,tcp/(NA)22, SPA packet: +ARw/LAPWlYybqUdY2JIScR8s2xUjia8eE8dnJV0sJpduQdMQXs08/XiD/HMYKujBmiIVQZY+5DmQBB0PzPiKIlLIVpNIxBi2QqERUJEVuoofR6lyxzpEcFgPKDDR2o9IlFqYwKEnguYYCbagPrKxAeXsViRFRpEdgVNEDI3taZpskXYPRa+LXQ35sMgK78oqjjzyfIieYfw +[+] Bogus NAT_access_msg: no_colon_1_1.2.3.4,t(NA)cp/22, SPA packet: 8ivQR70Zk+bQDfEKVIs6DW4kFQ1gqWsvfPch7+/qZhEJMGldWHuBd9OYc/hNcQbcoN1wVSWtDRDPB3wOZ6GIKy1nMksfricKZQldhGEGsUKhm9vCAQX2URek4HXgB4fur7hSf7DfGFCYT0ZQeq2sne8M+og1tbltkabCmNOgAdkK3OOsNV31IL +[+] Bogus NAT_access_msg: no_colon_1_1.1.1.1,udp/1,tap/1,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: 8oQ8x7OJRktA04Toh7CLlAVKGCvfGrFcxwqjBWEi37WlYpJ1c2+lF9suN/IKfMY+ud6vLMGluc+SDcjpCosJyd5H3PQtDvMIwNXxNV9IzmmqAzSBmHA7m/Llm5KdYA+ZnWikcqvxFgasig9pCUSOO9RJ4DfL1bdt9PPYJ3eDCcDVjzkqi0NyMMMJtst8Erz8eq5bs1dj3lO9FmLsPQA82NZ6HsBsnwuo6aFZjqpxAs83W2N4KeCNnB +[+] Bogus NAT_access_msg: no_colon_1_1.1.1.1,udp/1,tcp/-11,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: 8AVdMf+/Beti0U3Nck3djYZBIxb7MFTovgWJ6QofKWmQMuuk9I+7Izf42RKg25tCl7SjbKmEitfYTxJDTTWEc2Mf7sE0mx/5pGU2GfvLi5hqzftUOuy+7l9MqDIKDQaW2yyTDWopfPU4qodZBsFcAZIQYvzan3AyxUq/R1LvSDCpPyowAA3D/RgPj1bVTnVaUhuyO+Str/b1ujePbnUP9KqrH+xFzCiK1LlQCWTXu77YGY3DYIXnKT +[+] Bogus NAT_access_msg: no_colon_1_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp/12345, SPA packet: +FKJqZutufPr9YJ/EbRFTWtxIcklBWITEJrePZH+wYC1GhnPHeN/a4du7mSxNMwCyXBK7lGSEZxe42hZEsUW3saeuFgFmWTTYW0FKqfNMTKOmGjSPAW2S9sLyJ06zpB8hqqQ0UVkjYaQ7OfVLkexobIbHN9iiroknHIs1lhIqSS+sKnnd49LB51maB6+1ijdN6wO4byQ7TVXS5AoII84NuEzhyXRYJe6/V6EeTX26MHbVzHirhUSdM +[+] Bogus NAT_access_msg: no_colon_1_1.1.1.1,udp/1,tcp/1,tcp/2,udp/3,tcp/4,tcp////12345, SPA packet: 8eGQbb1bl0vR1Cg2UIZyW3auIHdapAYgZZIXv8vm2LgP9xDmGBrPbz3+FKV0tavBEbOtVl1w1Ag9m4rbS0y5TMyR5lDel1lXqw1zly8zBvhBcyyTGxGt2X9f/8ZhJ3D+TnFqCIa+z33i5DHHRAGj4Mf9Vxp6DcYqwILgAfm+RKEGpzsOwRu0pwcchy5q3qozbui9/7SLEB2HvFMvT/Wr2iL2qC2H/ekUQ0dZ+EEJvP8PBYzzOvQkEW +[+] Bogus NAT_access_msg: no_colon_1_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: 8i2QmWUs62mxTm1NTJpnGmfuYp9z/CFVpgNuOqv2cFE8WirJ4z/Bw3AYBxrA6t8O4EYMgEx58zt4NTJQjqQzm8pJWxJNXWvZRq/HeT4KAHlNqqe4CKSYapzxnTKQKIYMM/3CUvuIrzbzPSflf4wvI5YrrBv5LNf8xN889fe6gX+2/W6i98RkQ65kPX0Lw/LNehcWo7bpJIL0WTR/cHOZlcPgUsnVa52e7teea7zeMjoP+mnhCOP198 +[+] Bogus NAT_access_msg: no_colon_1_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: 9JKdMW7Gg4AmcafBGnkb85D4HtHcYlfRxkxOEXYPR2Dv5fHP94iTzfgsqaP6X1jQ3BB7bq3Q+jWgf11t7UwkwEUhbUHLhTzn91mENqoyUWYJxQLAFwhcs+gdIpseb+0NfnpKlIyJivtYWF3oLts6Zj/6KpuCL4Q1vBGm/KRfS/52P56AfbRU1cG/2vHDlTDDosuvdEkQ8gA6Uz4Ux8rL4ujDZTBTLfbQkmR3mU0Nh7OH4dF2Fc1AKA +[+] Bogus NAT_access_msg: no_colon_1_1.1.1.1,udp/1,tcp/1,tcp/2udp/3*tcp/4,tcp////12345, SPA packet: 8AEE1XUmlzmT2zmw79mdmS66Nor8OCBguN23Qzjl0FGC+nOg+EwounCNSsCPK3np+vzr+Tq8q7+158YueP91WnMCUKfo7mYXtPtkh21lYmULC37f/oUz5RwFKmsL8hf48qEDM4IUx0idcv6iKcgqKM2LFhn+OTw6ooGVv5y/gZtzz0a6TN6L/VjAAu7SfMGkqY5Qisv9knSdSCDxpARyzkM25knUg+AYBzb8YgeDhsZcX8tiTu3xDS +[+] Bogus NAT_access_msg: no_colon_1_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcb/4,tcp////12345, SPA packet: 9UpiueruSU0Q0RRnzHCllRGfjQllJ7GDFnPcj5SL9bDvPBzNRsZS3pfOOiIx3DTlSVbugT79RlmW+dTFxMB46VzxWrfrQjw59AFeYh3+evjHBT2Sy5rxDPqWsl3nbyrgne0lbF/EANQo0opsDV66DncGg8arKUgwUbTD/EGv5nxc4zDJCXmsTYMlru51SKcnYXbE9Slb7VVNaMJdDQ+qKteEoTpNyTi5iWw3ep5hW4cIj1ri+/sL0I +[+] Bogus NAT_access_msg: no_colon_1_1.1.1.1,udp/1,tcp/1tcp/2udp/3,tcp/4,tcp////12345, SPA packet: +W/627rNWtcW/EHjxJ+Zv/9TdlHQKuMe3vXx9jP4kJpWXwLIcbVltC0HkyKCF6YTW7Nf6KMjPMqaPWks5kJykJNplUunuB5spCIosG2WZPhGxJXlS3ESg3/7PCRKX8ZiqKW3BNdVeTGQJp9tOl7WOLT8j/MWTnD8fSJ8EV7awATeFIHywMEnukvUhpSpwRQp9XmV40DGWi7VNAoENlDNc84i/XNXmMUHrIqeMPrC5RX4LN95AcX/6c +[+] Bogus NAT_access_msg: no_colon_1_123.123.123.123udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345////////////, SPA packet: +c9Qmz6OGE4i9IWWy2HTCMIJz6KQ45V9EN80/nPikmNsuWjrFS5zVEzdGPbTlTwKLp5PmjSxfZGWutpoGnDNDA+8jLL4ed7y4vvBF6RgLhBHxkSQo9vFyL5dn/bLC3b1D8mpaOEK4PHhIEzSSykvnv/vz2Q0RpfdG7vnaLVYe9rZJRrqDe7VdINhpYuD6syznu2Vcs9AZu+Xp2MiK/jSyvIC77t8laTd9Q4FX7FIY978OXWIq7/ykmnVtO7m+DrfZr9UjhGzPBqsdQS7VQtmUgQsb62xxD7/o +[+] Bogus cmd_msg: no_colon_1_-1, SPA packet: 94PuJERp1wWR1oX2ol9kvWJ7e/9IjA0aow1MxK/Wted2+OuEpXzpUvyruUMwacKDe3uEnwuigfC2BgNGekkZhbppdgGU7Q0qcy1n/qLxBrK2/x9Jg+dQk2PFyQCUqq6Xiu6QKTXmQIuQ +[+] Bogus cmd_msg: no_colon_1_1, SPA packet: /NIDxS5q/b+thfndrNmsSp4E90fnryXdPPbNXG1WRDzO2H0QV75FTYyY7sDYRAsQZLLcX3q8bkBjMk3SgYDAmQ0XXankmGE7ceuDykyrNZmNdKvGQE6J4wSILBnW/ukfRHiPffInbWnA +[+] Bogus cmd_msg: no_colon_1_A, SPA packet: /WANZm1Hr/sbOvUBwFEZY0stMibovS6UZLRK12fLh856ci32TbYD5B7YpF0ERaU1miaPbQ6hNz8TFdKNhxUSXoLKd7pRYfWpyHtvlE6cl56h3QY13y2k8ljF+tivZj7mGBqt/92Sio0w +[+] Bogus cmd_msg: no_colon_1_0, SPA packet: /Rf9uay5H6GQCpL6NqyMolrN+h6wlYqFjOVNSWwgH4SjWIwINytz2fAFmb4gQnJkTuKgdREX17eID0THrKFsFKWFb3jPOBTHzINs7ckrn5ylq75sYPLMbYKIJ4mOXj9WQhzCEBRtehsw +[+] Bogus cmd_msg: no_colon_1_AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: 8fwyQs6zCtZ3Iy2l5T+BLggRewOyUqMy9Airh1LMp3TjALEtUCkoHjQblY6QE8jeH3mP0cjGJ5lgyyAPXn0JQhIyjnq2R5xkONhvZk1MM+yt0Ey1b/NTpbCcVZbwzerWKDXcoqs+HHuRNCdkyq7jE6jpQnyNO5yZQB+2wrR5LAAOOKcsadSSEgHHrdif67/s2Dz1e5FGMpP78RxyK8KlPNwLTvdYmwqMEg9qYFf8/kpHlvfIXWCD5+Ru7DyKhNhQy3rRnNw2D+n8oT3ojt9MGiThKEvZF/+eGjNPB+U4JINc40/a/eaR26j5ruv1w5e13z7LmdTmkxh0OGAA4WeLBLAM7o0qLEUMtG6G/U9yEup7k016y6uTIYKFHhQ7nk2CBAWwoZgm/A0ig21eXePcLORaTbTlPoVj4z2ohNoHV8BMi5MAeKheMt9mvEmBQVGj/Zvoe/5kLd0oL+rg9Si1UPLoeS1ij5yTMUBydQzh8Jkpp2EewWSBQSrSljgVe8xMQbqtMgB/+NtvT6GzFi9VJAH/PpNB5J+OlQqGiZZI1U5LZuTQOdhcgsiRHQsQlVxHGqPDDsQuOvqfKD7Rm33xOXhqeczHx277bqCDusTJDgmiELTibfC/v/BH6VXanyjESd8zlPChqtDwVFJU/67Lk16qw/BUMWaMRuyqERnDpQR8OmksrY/z0JZBXK7NfzjLArWncNhGTRlc6qu+3K0VsuPZhjJLgLbCFM1EowDL1bHqYUx22nwAERFSsY+8pYh7Uifon0ZP22wHOT8OEUGjCt5ikz64uXf7NZU1QfRgw3BhamuVn7zxhDXrx8IHgJNV2SeZBwTjhtIaKyYLP9rnL0LRcoZthKMypM2epdJtmEJSwWKVR2dhTEoZhcxP6D8Q429jzQbLpWcZA5van/ml8IH+ViWQ/VUh8X1TWHaPNuM3iujw7kxK0hH+Y5s/OSDxicVR/qGjWiE1dfB2EUW/CYdgyivpONft7FMN4wQbm4xOjWX3hVd+qBmWHJkgu8cu2cJ+jRBQFt7bbJSXHX/5+FqOgDzxsO7THxWQj98hi5OO9sHRATC/nylOYJkz4mntG8h2BH8Q9Q7sfpaEpEiEhf8pZbnQ4/+QT6luPBCKCaZBBmkZQRmCWF6jE4XFdPKM18tXpckVx0P8RWpAa9bcjIWG5qnUlHtsGuibLuEGSk97Gp0f/btYZeAkfSexj8x3tWreuOXiIlzOrSNSCdF5IBTy7EsPORjJ5uigAdnKJxgNNF7fSrNCtMM6f/mXHMuM4+1iOGHUwJR3QxxdZXp2HPg2tfXqNb5j2QPuHJpTSPgTr68G6AT8iWDp/z0F5/HC8oQxK9N4dJNc8w7to6/hbQmrJXhGCAaCqMnD1t8CX51YTQtKZDsJsBk330+sB1/5XxKkUm6/2MvxblnftwhBu3Ct3++e4piT8 +[+] Bogus cmd_msg: no_colon_1_////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: /l20QuDm4WAFT5K40bZMlfYOVBf82eZhe64unr3M5+m5ekC6X7H3e5sECCE0EHJdWFsUP/sD65ofY6qgKnGWW7pEuMA0bPQdQH4U7GNmAnR3mLkg2bntvuRETBScm2Uy2u+/Rj/TSXGHXDgcn4Az4VfqyNptBsBsAwy+65jfsbbBSH7KtCPA106RDdUycJjP6iEKcyY5fcX2GVcc8SDMhpcgT4d3KSl7CTENqjWJGRGwfl4wOVzU5A9cLsLAQubZWduVMhZYmcVUoH0Vdi5ZgPtjZbjArMRHGLjApkpLKk27MTOZc680kaw6Tfwe40vvnNTIYFUtiuQCQ1GdGFLB6DLJKhVfgOlrDn3Dg1ydzlfOsggBhoPyIxC7ju4muC3RUwFuhj/ezHLm0TewdKyx+IyTOQQqy/Miuf32ooeJ514iypeZYEQGaVs5xF4IukGCQfUkpRzDSvsDusZUegdwU+en0H4Yu0qBlALlwHxu3ugY1AVlguVYV0Io3ufo0HBVI3vU1P+DvHxhxOjCXHd7rzgkdyzICo5yiocR6dPY77MyaoDMxJmp8ie64nYLT3v7aowqNZuahKMBWqY5hfCziz1TKjQvycrxXSY+Dv3468NyC3KCI17lnzoqqyPPA/XeyqfpyPXfhU6b4JMrQYfrLQrsFhaf6R815P7SLqwEq9+rgPpY/inkM03U+hpAb0g1eqzhdMA0ElySUNG8Uo4udtGlsWF04DpBxJ50flJDsnqS8k0/pGweowayxilzkT9MHEKz7R2S2y90cAwvmkpd2JGWtmFfTmMt9+S5TxYbROHoybCPBJMjNDyjprbwS84yiFoW1njSmmDSkVEpDRYbGeWYyvclaHQS4cHTCSDQ5QiXKTvmT890JpSjlc7hCfCVEf4pgfDfbK/izoVtNMAD/qzOBDA96fym3n5e509xGK4PvphwKhmoHCVUdLeyUOY5TcrWasmmL7lz16JuIOdTZ6qpJuLbOkBLZRka7tAkfeJryahdWrC/xW2BaIwHVT8SN6dstbTrEIgN1oH+dSHkksbnP0YjTYK7OBGPgtzpcvItxQoUkKPa4PkO3zH7qTLfUz5qGmiqJ5FiQBrE1p98IfJjbVVeas6AVjt2L+s+zNHflLTN+LCP1ok6BlARWVM4GzIFxM7aIsLSwsUNt7WnrGx2/+73BNpei+aN08BpCpITUZIuGe3cwpJmb8VESYKa91dNjUFPHKsatHY9JZ7VVqapm00bk/sCTO+6OzOaVgwc8SyDEZ/vabeZdCrONsqrBdkaFctRfDt9EgeZwMbIrKy6OvUxkANniaaNIh0rxQGVd3EU/ECXN0tITgQbIzSWnOElJrJfUF4/9+Eg+S+I0Mmgdx9F3vTkGx1llK7u20aZCJ5+PoWrD2UKRG0ChB86Lk44LaiYRD5pFwqsXVMlFhvDdo92QbdoE +[+] Bogus cmd_msg: no_colon_1_%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%, SPA packet: 8jrCuFmlk5Yfh0Ybvek+ktN0L0zqfEYs7GySNVtGYNC9D5Df8ZvmZK2+b3M70TtiXuf3DVOYQN3GNlduqv/7JrnBvaQVo9Gs3Kcfg3SRMM79Il4TA6yDTkS5ol798uAFEwYDqOfw2brX04KnNyOTpuLc+BYCpGkmq/PXgmUiW2KRYawT+xwioszbquvyAlgZFwA6ZBMnwDLDxeKKSRXyLjFSLVqJXcfxjGVmIf/EisbEjijw9w44OP0KJ59lIz7vazIUtgyBBbDbrBrA2SRgnmrjqxHlfvfoDuzAIuuWTpUjw2szPm60N4+2P6R9HW7SZ9J/guD7gqbkJr7DhJIKehlgVrKyQ8Ju64/rpWwqy3kRqEG4fiA7Q6hgvlxgVK7CcuRs7PKncOpjCi1jNsFqllGQ548ORRhBk6/wo4fgxVrqGZ4aH32FJrNfne5vQ73NRwKMFxJ9E6+WW/9QP1UQQE29OpbaPLjON/cfV6tR664C7iOVeStNV4nJgvZG32sPRkwSa47N4MGM4EtK2BHvDeI0sG3tTM8T60Ycois62yshZmQdpGyLKusva5IfMhRen6U4YkcxglCwXBkTu+xhXI74bicud2SjCg+oyf31Q4YjUAMq5dgTmrNsyQnJ1JX/izUifhYHRhx3IoU5m5cbQooyk28olWr8uSKn7LAb5tluFotMuTsxCeEFuvl6O6ZMUklZJU25B/oqJ7nOi2feJf8og6HADcoLnbM1ATCeEnmW7/ItozANFWvSAZZvAjt6MMjt9CiTo2MSmmvBotFj3HBeVYfuPU3DNkXb5mprbG9Z3pf2Tp5fPtBGuOqi6IcymaE1mJoG2WVO92hxMZ+XybGn3gJM0RzV6dri4f2KiZyg9Cqf128XOf11kPksvCBQdQBy7wYTYYDgg7YpPU5uiGC2D765RyGzsL5ywVa38PydTMyBc80Gkbq2dn6t2+c4UYPQihX++Q3uZXuWJGh/qGX0l2qlU6bgL5oJ9lJ340oLGeC+Cc/wZE6Uz7AaUcJkaKQizvW2aQiHx3d36eUUWWPDiw+Msy5aw6Dw04NoMz6rv/wkan9W7GuPuIwZvLItTtT9ni1qin+cQSg+wsQE5aZFgDn/Tku4cINxpcHddpYerB5yJ9g+yfZrM4nPL5yjYRtJNH8VFGQOvtiL4pBrhSegYYJSB3HKGC2JA3BSQAyeCMhJeSuFRxZPQrPZcddvAF/FDmqb5hcwA/f0XgEmH8B51q1fn1oldF0BgU4BfZduCD+CGOipQl1qVkdJEPshAbadfeAJ3XLzmcEwScFmtYYfNU1eSbaGPTcwOVtHPhiCiFeBCNXMkRx8KTlCbMyhM/w/oP400MPViYkZgT8PalXWvLGXtsPoEbCE/SfKGFUzl8Ox9tUzY1jBwC68C46/5qlsBp7KtGcc/idOjgLgZh5YcO8X/GgRY +[+] Bogus cmd_msg: no_colon_1_::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::, SPA packet: 9/0VrkbrU9nwOVLZEOyQ6yKp4yDh7FBpPYE5n0VM324IOOqrN7BPanP7ChtI1JotxHmx2wMsVsTepJ257eJLbCqrZXWqkTAsWh111DEdZKib58ypiTztirAj+d932yqngHATYarP0ONmxsEemtTQXPNHXNINMzmFs56tQug2+vcuT2zDigNo8vlG1enKJrQ5VdEmAem3rElp/cWMaZBFEXevPFih34cEzXfj9iZ00hJrmlrBqY9H8IcDxKtlsV420PD1A+jyy+92d2/e7Yni0F3v/Yu4wUIN33rU36UbJvieK+7OEHKz1Y+aHSv0x8hWbCxfELA/GChsDHhlGoQSSeBXYox3y1KimEQGCxzSb11pI4MSfIl+qMHv2EhTlESVcnzKB8D4OV1kMYdL3UyDVph5fe7wf+o2w6IWJQ5RI/yvC87JCrKsuBUCLpOJyK+UjP4n+Xnc3B/LnOOa7pax3q/cMer+0A6HEGHySO2mo5TTgWRHmo0PnSrcKD9f4gNl3YcoPvAMyJKE4Ssd8e2rGJgNVH4bEEu6EprdmiIB/K/CyX6czuzXtRRlybg8Q/atxGyVJJl8ypuzi3kE7gfy0Jn8sgTJz5mrqtNL6Z/tXLLBxDSYj6aYLp5W93RgXBMa/pwgKg1gLF7feXDvvrBIpMGBf2P8Gx73uaAnOIAXmorv2sLE62pzqurtMUIoEeyVkpVG8cCTcmT//pu5Ht5j6NOJLX+T37y6w94fXTBDk0O37N7fM/G8pfA8Wa8+PZHZ9Fnmk+pYImFxVcRynCkBgYG185VVsHbBa0MBADZwN+HPpZONiEuY/HyS83dLZ0rGbRyTiP3rJgZfwruA8z6C8+RuBM9oShb63qO0ivDOeOi08FFN8zun8yO7Q0NbBBxArq7KyxjOudaarhDWmKiDUR6JDesSTXY9ppEhY8X9j+msOl0fs87qBvlPXcT4cPyxbRks8hIq3ijIQd4rcvRE2pc7UH21zuI6vTaNSZ/N18iv6uGuFbiSW5JS5/aA2dzUHf+8kUVbssmB1+I9EVLUtXQ2IS0xv6Mb/gssCBrKr+eO23aVaQxm2ZU7jjl12nnXAmZ2fPv7to2W7axvsUVULgdo1lF2pnF2xz3OMithHbj+gVsro+cJZLjlsI0uJDJhgxUm9Knn6LMZ0UpbmuWqrPdnG8+cUBOScVLeRzQklJTCCgLKTxzjfjIzVoSldYY/zJHOKx7udNYE3XlcPp8LNR19RKTOi1amqo7j34FuycCRyXCFw0UiTsODBwRCdwdBpnosQwgUGxj0+AB6qP3S4jL824RqKBddIx5BE9Ozo04Vg1OmiLJWcGmpzkzWf6RTDa7u3WcUjB2ZtIAVcMSLo/kH+OFIMjY7HTA4l0JuXpb/YzMwBnrwJw9rsdf+Tg8y6tguiO9oQREgH2sTfrJyYadJvlsaYteA8 +[+] Bogus cmd_msg: no_colon_1_(NA), SPA packet: (NULL) +[+] Bogus cmd_msg: no_colon_1_,,,, SPA packet: +O9ObUI2nR85hWjxlGoVwslf3Dhn456ogVHVVd7C8XI4he9KHR4KtVzwEJCYc9zjGjGQ+WFRBddogU10JEhvsTWW+1Lrsg/QRe/UoqH8XmBFfyHxb8BmFUzQEpintTmK1s5tGqKrIuog +[+] Bogus cmd_msg: no_colon_1_----, SPA packet: +X+R/vIS0yG7nRdYuDd0pEgeC6PVz481nCJ/P7u7DeMZWnlNcnKUq8WpCdvu9RpWSupI9TbhQMGG/9zRm3zQW5OlYLBbJqhasRGtYcjuMRP84j1CV9i4CcVq/o6mJaUp8Q54K9QayphQ +[+] Bogus cmd_msg: no_colon_1_1.3.4.5.5, SPA packet: /vCcQlXhmMvmNxKbT6LRCyOrNxI624w3rvj5drj95rXA84G97fkPHIKxznBFuD1X0d1JobYdbvXHUJcbS753lF8a/eQxzlIO68zgfvc5pQ6XqorYSEatra4AbxYGNpLrN3NCLxfA3QUI9i0YzgZyIXpiGzJvLjfGY +[+] Bogus cmd_msg: no_colon_1_999.3.4.5, SPA packet: /vDbsuR1T1I8/f74zvg2JOJX2WDfwkib+OEG3+/VbOrrofsW5JlJbj2kDGDfkz1E2DW3jb6yRPFk41A91+TgPTWtoZz0c5Y9tqLohiWNgNboF4eaXADqyMKxZxRsTYfHz90mS2cFOgN11FjUTwr1hUA5lvvf+zHU4 +[+] Bogus cmd_msg: no_colon_1_1.,, SPA packet: 8B5coU8RW63SFXiWZI36H1fEtzaTK0+ZRI805591szrb6xk2B9KHu01HXD7RuSDe4lS316DIuBnjMdtmYq44qq6tf4Nq6mi0bi6IwpMeFcrAteiToKC/ssJBYEwevdmeuqeEfRo3nyBg +[+] Bogus cmd_msg: no_colon_1_1.2.,, SPA packet: 9GbJwidyCeeIe3JTvQryHj6Kfyv9XXX+8V8yujIjbTYXNEacQvIHznwbSKZegCU59nQeT/NIuH5ZWUwVeVtO7PL1Uzo8RRi6D2Jr7sVzq/Ldv2YkdAqpSVxLzllL8iHhz8GkEll+8wDw +[+] Bogus cmd_msg: no_colon_1_1.2.3.,, SPA packet: +IKm/f8KglAgTav/B7ZvqaWpnKq8KNq3GMIrcuqhU7Shj140LXowICju3MuBEpIYoRlwt/3Uez+yIH+LeiaIVx0QixUOXpW6uMPUSrYohkzW4u92YItX5TUEmuuoGeq9BMADcg860GxA +[+] Bogus cmd_msg: no_colon_1_1.2.3.4, SPA packet: 8IbQQt9PYxvdNvtjQN0ZAXNwC/WXa+vv0t9pGO1o/6o12xya5Q0ewuGhEOwdl2xm8+N4+omngRC/1v2ZLdkltgd4M4XT81OQOyyH60cARd3iCl3ngjP5qfUC2foA4j0DYAPy4Wzbz0lg +[+] Bogus cmd_msg: no_colon_1_123.123.123.123, SPA packet: /PPzJr3DsBuArsLpIvT9ryWGDTB9o3jlNtf7bmqmA55gb9JmnRpWxLrqD94tRaLEQZQrzyqNKIYaL7ZiSyZTX1DAHO9np7k8ZQdjsIf9KMCmZuxCJfkgJs1Gpw5voDH3hB6QC3M+91qMwsEg6JqCwoeENxG8fzXNU +[+] Bogus cmd_msg: no_colon_1_1.2.3.4,, SPA packet: //TuQq8Gs4r4bf0nCpPlZAKHaaRReozoGpVLkw8zeSOjAkNVC0Z6cERjNdeZ5bpkqTaibTFMLbnGmRSpBPmTjf8n/kdfmUtTwUPz/pPoj6s+6C1jbSLy/slRJ28YZN04ZX2GgHqqxV+5Xovuc3eetk4wIaxnYxp4w +[+] Bogus cmd_msg: no_colon_1_1.2.3.4., SPA packet: 8zZ3KnMbNbO/wJKZ4zJ6HCsg3s8S6YeiEkWyEqJ8iW8mOvEUxbfBjAqf/OuuQQUATSJmiCUr4i/oI7rnTmoXgHbS1H4G/chgn1Hj3hMMZJNyDEp5BD4hrWyAihPgs8AhAxdgBkVm+/MQ7JvfF03PkYJ/slwCn0Kvc +[+] Bogus cmd_msg: no_colon_1_123.123.123.123,AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: 9ctcL+K9SO7NG8nm5Fb6upgqiCF9GwdWmSvxqUwe1wyGHGTglP2uYIvSWIwqqAbBOyWwRPHju1IEtTgJTH0BuxlnqJ/lPvJ1wkgJXO9k1Ui2hv+wgd279OpLpskHf8LqvZ0Khfo1Pel8NGgOB275qotFmMUOT5jbfpPRsUQEyp0TtC4OOACs99Qgv4VW8c+5P5sOPq7kZ5AzXXRPD0OTjtrttfHuOglJIHRi5wyh9rQEgsk3Q/IJ05jHypWHtXndt4CMJVeNIbfz0izVmi8Q67A8Ow/omIXwvaqEJkFX0G64IjhEvtqEPOMy1c1tLJ94idYMqvRFJCmpULGAq3wQivhr8rgUjXwnmDZF5stfS2U6eJ+J3mR+YmFPniCq0ETuCsyEyPY/X2YmztBurg7y3c/r61fTD82HLQzm0c3vvENC/QLUUYkF6IrDHkOpMg8riETGzK3JnzGbSsp3Swl4m7BoNS+MDyEPyRL/YwDYkwrQffupWhhfEAVzOS+dELuS5tOErWlz3E5MuO9s9Vx/vzv/TQdN/yebBMQWekGM7wrzuw+HF56SMm2R46RhY9M5IKK0oJmtUsI4Wv+SjnF1aO3g+7VptkhcFF3r8+nF/gyyjJiVtBJng7hU28NuzeupSolivQYfgmXAT+hNTSvnj5FlvrAVvOdV+gp1sLZleSKVNlgUJlSMFd2Gu5I9vCds9M0Jy/QV35eLo1Z3Lwqq1t+VIVdw7B6l9f2VSMy2lwNUK1xCdaHy6ZlF2Ai08z2qWHfCFNl9lnbuNJo1pHQ2r6ddPDHMqJ1z5vDcufjlr6mXNcWghxUGvaZrSbGxF/2jnW0s0qUdTFhPCbx2X8HBs2f1OCr54yRD0WEOjEw3/i7FOkltwFGmcfF0HNSCWrNZkvAmKpJKMZPQTCCCAfWOW8rnhLHTzUD9vNX32QlL8KbkgqZLhaJ1RyYWCy5bFugP0PrY0OrDhtHkvx9bW6CaHXjqkOMlQ4aGVxA+Me7d5s5c3Q6imyZ/EioYWRJsIqR7bcY5BPFpDuHrF5ri4MNOqHuytry2Sv/9fmYhGjzO4umPDgQcU+2Y7N2XvPRgom0fviPuDJ/jKgq1PY2SRJ7zSNJNxh0Q1UgMKvOpz2b4d48Sc9ALDZxVmlxnCEUJjPWUuMn7RA6TK7vJ7RBSzidlCm4AD1UlLGHKCdLUp8Nt01DPderX4iihKRnxSNcVzvz5WbWhwICI1BSn5lbw7C5CK5DDz1w07w5LitS0tZs1fLqMRztLiPPmNgrg9E3n/sXC3UoMgnqlyzDI8AYV0BMdoirwYZacUFElk0wnJaPVOR61HE+v59lV4tSwx3uNKRm5YiUcB6ieoiwJgSB4ch3cvX8qPmSjDtJWT7FzUOF94z1HAKW3aHtGRdsYc35uSBca8EHMj625LcNr6lCwt1s2SnRy4caaKjHdA +[+] Bogus msg_type: no_colon_1_-1, SPA packet: +ODdasFfy86d1pcbJrq+2xef2HXEvSsRU9xGd9o2ovmZyn3LtYWvXNmefCC+VNYOLQi/vLOcq+es8nTVVx9SeAqw4lErinr8TbSYKxSqyhqol4xSjcx76qvNTZJ2JoHcSXaGut7JPXH8f3FYn5IAUBT8RxwDnk4Xg +[+] Bogus msg_type: no_colon_1_-2, SPA packet: 8BdA3W4lP7nWYdQlJARye8UalBUpvB8cvwqd1GtGTLKFdi/Il0/66gqoFW2ngRO5W0/fPWkO+zUYR5lppZHuyYKvInhsh40sZ6O6aFHkVbk1tSo+rHYZKlMiACOUpX6Zy36JkG7o5VBfcHy67UaPiBy/nPgkUas4s +[+] Bogus msg_type: no_colon_1_255, SPA packet: /lDzZfU+VYr7TmwEuPeisJr92IK7uVIRZ6cGqauYEoePQX89ll5uDifVcE8e0IZdHZTtK9Gq81gZP7XV2lvkyq2cXrU0gAt+4gG1+Dk7VS3e9ZsccvNCf5dfifra51EklHjxk4nT6P2j0dUxpdP+v5CmWINxTCGI0 +[+] Bogus user: no_colon_2_AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: /wFd39fNmEHWy4Q1CkFCFX9tPvJM/odB2hXeis+jXtyg9eB7QmR6xtv0ncuBb4fly7kMRRPdHR2cBHE5F8kSJGkJMweeG+YhDMxF7busn7iF/1gCKYeqB17WNC6WWRCeQwzpL9PQhU2irkNx5uIxq2c1XdqkVdX1xZsv6WGdhKoVvFkZ+ROSSIMdJP3k5SuXfav++kxn+ZOCMre0KiaWp3t4SzxIEuTQtpWxIm23PWwiYI9TUCcVg3w0Xep2uL2y7LjQecq31cEA +[+] Bogus user: no_colon_2_-1, SPA packet: 9+lD7rTZi8QGCmYV7GlLYDA33S97UXHVJQHIzTUZz+uEIPQHxEJ0tJmXUlgx+z5lDr5zza21wVJbiEbOyyJP7iLOROepEW1qZW0ZUd3GrMLKNuSYb+WXNItWt6Z9JEiE7HmVIUnQJ/el+zUIbA6f5dyecdP6cbpAs +[+] Bogus user: no_colon_2_-1, SPA packet: +wN8vcF6Z300QW5Rsy0iwky4XpZ5PZFb2/MrEvVTLNqG3IbCHbgMEkYgbkjpV1IrdUhy6AmbQ+OdDVhWH3Zm850bA9IGLc5pcDO0jgqQfTGBH9XTY0zRNsgNNifFeGfbiwZetDkit3fBebQtrYat6xU+xILZ6kyBM +[+] Bogus user: no_colon_2_123%123, SPA packet: /jXwFcid4olEsdgTWkdeIzqzb0EMXIv9fHYHF99cFqEEbJh7tgEAvzoY4BiYIYiJfagI+2ZZLwNfhnclmMAtGFyBLZkVZBBhgwZGBHgud8A+J08/2yQyEFeN0y4IX6HE0C3/tIAd3ge+k9uxuC48QD1XPAIESHjoc +[+] Bogus user: no_colon_2_123.123, SPA packet: 98tbqDYvxvwGKU7cbt26nplVdd6u189p/iHAaat+L/g7fgY8QP9gLB6TJ+uLY16PiwJ8OykCHH1PLfewTRTmhLkiTaEbn2k5eB/tc6FExqkd3mJFNEdvflRLAVm6HejTDI26/P2D/5lJjaejj2SL69ulHA6d4/eYE +[+] Bogus user: no_colon_2_123$123, SPA packet: +yo6S0TLLuaRjNa+SYtjZ/9PzMKq6cFpUiSapYYSUDGz8T725/WdvotvsSZDF9EILV37fB1LqZLaQ4baE8J4YJODv9TmC3JNKWaKiM2gT/rdii8tUBwJK2Bt67pEUsGe/H/iBeUWcxhDGFJHTCPNSkcdusIoAccwI +[+] Bogus user: no_colon_2_-user, SPA packet: /kOyk74KGlMZ1oTccRAg5z2njc9nqNJIs4rdFZkYuwOMTyCJQaQ3KiH7VJsVoKab19PHQsP5miaqOtRx+NAZYnvoMxKo4v/es7mooL7n+1wzSkn18F16xXNLBygkohHVPzrq+YTyoLpmNpBp3K2lP5pYP57Oc5tSI +[+] Bogus user: no_colon_2_-User, SPA packet: 83qzAFuSw/aTAT/nk56vLLgkUnhQpuzFIU/xvq26ohbYQruu9m2t26L3e2rpWgpIk/wCzzRT1MDHMF9SoRrMopbkhpfcGuJD6OG0ko5czZ/C5JS17EYon24nAHInwxh411HccrZy51Gz9KLU3d09nTcw3j0RPJUy4 +[+] Bogus user: no_colon_2_,User, SPA packet: /ZgpD+5AmA5KgabOxQh1F0E8AQnkIr01XNGBAdAosIJ86CXZlA59Choe344/W0ztIDoNnOUBtzfnXMJGEsGRxFYEUop7WiammjdLVepl3NdgmCYKpagDlMuWfwunU27krf8X5OWH0ykYJ/i74F4to4XgFp/QNWPFo +[+] Bogus user: no_colon_2_part1 part2, SPA packet: +G8PeclLH7cqPe0lykXMLIi9a9TZn/3CZcZFaG4neKbBaO/KqU72VYNEWg8ZOcVI41Xmbair/h3rTjPpF3KAp33fyrjM3bG5xZSYJT+maw4Lxtzh+qWHAmnNDJkrrCvQk7C9t6inplI7p/5i3WNHPww07vE8oxcU0K43/aiAY3ntT3sWt5Usvy +[+] Bogus user: no_colon_2_a:b, SPA packet: 9lR4rZmnzWvJ4k4WfLChEraVnKJdWSJM+6bjToYTA4RVRD3Ph1tZADsUQ+W9LiIbLKLzyM9hL//r9SVbNabOqG7ClvGsvboEmG6dEFGvNqEgGUYfKbKXwcWWNqXzNFkBZ8aZzNXtHNuaqbtNunHGyjNzsvuAdhaCk +[+] Bogus access_msg: no_colon_2_1.2.3.4, SPA packet: +WqQ5WQTeH4cGHyZpx4ID378Vl4ZO/xq28JsV6kccmF7uMunnFyD6S4DQBaUjxRArQ3Li+iP4ek2WpMXaATQS7D2t9eQyCkbXxOJv6ScS2Mv62fpWnVuuqZS8emL6Xma0DeWSpcKFgdw +[+] Bogus access_msg: no_colon_2_1.2.3.4., SPA packet: 9nPrw0ucE5cFpXNHPbB1LoOJy/ar53RmbvDL5SI8XsfpUr7znvaqR/JHhspnMiY10bqJ5ybVj1DRtKqb8y8HT0HK0a0zBwGgSyMlVwP1iy6JM4kj+3tC2SFCQoi920ysfiTnqmcpTwrdFDNPf6vC74mL59KTB0obI +[+] Bogus access_msg: no_colon_2_123.123.123.123, SPA packet: /td+rv4rATOso0eOtKTzpKGZvn5cdeGjZrXXInvbk40Y2FUbNmV+r5dRMbLZQLWIvUHDseIJ383si735fwWNnWbLnD0+SSFqt8+OaPr1UwxZ+GEy7AX1ILjTMFcuXreE6DhPL6jraghBAbhVN4VxuGrAtNEq/Iej8 +[+] Bogus access_msg: no_colon_2_923.123.123.123, SPA packet: /CSfJkzeQIwiu+Ga2BUM1WUE+xYgZMP+LVy7YiJzwXMaqoL99Gj8+84UXaLaTUoVaoWXchFb7yTp0xFuqunJ1fLQpH/wnarAe4gCE7ub9KSGbK+yHbCVtJaOv0DzDKTApZ+7OLdPDrEtx8KBxaAiyB+UQA2dZQMBc +[+] Bogus access_msg: no_colon_2_123.123.123.123., SPA packet: +Qz/XCpWF+PFB97udFD8/oz5K5RMPz9AZgqvxWXdLCd+6YNJS11B1Zg4dM7S/Er6VNC4/tCRmPRLe6ZUQfMf52qZQEp9BSgO+Hh9VjAQUNfhz219LQ7U4z5EBSO9M4oc492ZD0VGmQfqAObr5nTHLysJPXzbr1iXg +[+] Bogus access_msg: no_colon_2_999.999.999.999, SPA packet: 9BRpRLQ7Zz8RUT13J7wCkBQaIRa8ZEq0b30ekgOpFUPFmaqyvXdeUQovV2d3FUeJWrvoisT5QNY2pzUPXZjuXvaWcRukSkPdrqsAD0xFUUCjhJGb9gvHQvRoYUCLjX4XDUXGgHJ7k2w5IN/EeXvCPXLHoAQtL1GxU +[+] Bogus access_msg: no_colon_2_1.2.3.4,tcp/2a2, SPA packet: +ajW4ay04FaAcueUP+Ewdv67o2JUHXdqroqRTxPEgtQyix8+1pFm973j57wldFHExXi3yD5iNFDEbabuvBHf+ne2sHvk3xi9R3GV+mfUVPZrG4rgxMLzEXVLNMG5xJ03tb2lOCCUJS6iX6TJIy4eQYq4uhfaX9St0 +[+] Bogus access_msg: no_colon_2_1.2.3.4,tcp/22,, SPA packet: +ew/XCLK5lr+gVJoOgWooL9W2EKaWpZCJZfOD0Ngyv9inkzSDofTrz7DEVf6MPzZTl2CmKcJPIW0/YP1A1MMFVNlP3Jvt2fjj8mkRuClqFkQyH81rmJXfBsIG1C/LNR+44bmN58uuhw2/NAq37xcoCsLfxE8sENvM +[+] Bogus access_msg: no_colon_2_1.2.3.4,tcp/123456, SPA packet: +JtmFzrVkkdgS05VQl7aMp/mhPWBH85uw8EuidTFoUBY/7BDrp2pbDA4YXKo0x4sm3gbfSCbx4oakfZn8RE3H+ZXFCCVaj2EbGd2lVuOyJZqLNNP40PFD8NEEnTJ3f67S4C1KDKbrvCiRydNYttQ3MyzB2ie6ow7M +[+] Bogus access_msg: no_colon_2_1.2.3.4,tcp/1234569999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999, SPA packet: /WmfAf9lPd9hnolVA937Tjwryt6MOqkLU5EHzd1lJvz7k8yi1hgaV7vmS6Tm+0+8kStxgmKKsQ1aksPAfuXX/lBHPWPicct7v8rOIUHlhQfa5v6Om3jbVJI+3shIpZvrzEOT0RtF83MW3ZrWcBfOZsMwoCy6XhTpNEfVATgUOZQ1t8f4vC+exLE9+7qgutUDktQPPamB9Hnkk3aJBmyyth7aB+I/QHdk/Rtsdiq9Ld5JD6M4WvR3uMHa6zXqAvNXQ2UDBgjwnHCmFmGmrmLcX0PM+b6BkU1TkecWuDMIJGxq0EFRCCjO+GBjB+1yr6yA8booQx5j+vAgDFWifLQOPWRWJx4NC9Np0 +[+] Bogus access_msg: no_colon_2_1.2.3.4,tcp//22, SPA packet: 8WJAXzxwoyAi4hvYQGGPYSJ51Zak723CyMqB2bCH4J2oZrNGH5EhDVLt/vBBUq/4tWBI4qRXKerojQdhjESWH79UFvQtozAMj9fhULUlEZ75X6v3tuzN+OmQxMG0QdSytFZPQFrygV8jTfEjd/DQy9ezvvyKkocvw +[+] Bogus access_msg: no_colon_2_1.2.3.4,tcp/22/, SPA packet: 8Aih0OEEguNLTWbEwVilT5N8q6bEbO8uA1Iw4l1zcFzuUjYLdtagG8Jvvmc7kekVvMPeLy2xIGlX0PhG67P4gy/msEZuNdp67kgnZrBATJ580dfWZ+6gktH10RpvSv/XNWYIG+f7b96hFNrUOhvf4l6JXdZDWVxww +[+] Bogus access_msg: no_colon_2_a23.123.123.123,tcp/12345, SPA packet: 8q6qZbZzfHTkNXndg+CNVER1PP9jk9/hxPmkLmGu7gDFvfnvJ0ZoCfxm3rJYQa0mOubplkUwBHX1on/1X8MdEcAx+OEq9AvzfU7J7WomF+K3gD7Qm7SyVyL8b9/yXmjcSGy2CgzXmuJSnUh/1AGk9brcTAq4cqWAZOL4TqHr//8d2uYzczrFE7 +[+] Bogus access_msg: no_colon_2_999.999.999.999,tcp/22, SPA packet: 9cRM+1blgFtyGdkUoExmoXnhWMLkte+772AqyxeW0dXrpcvi9+QXeCy4h8HZg2tswuK3/ujQYOsS6WSb/ajmr2sNnerwzCwYo7JXu/e0qA1hEfeSKafh19pjdE2kD5V3kqA7BBkVCNOYlosneLrg5sz/hS+/V7af3X9qFb5vLhfeLQTPqMt4T1 +[+] Bogus access_msg: no_colon_2_999.1.1.1,tcp/22, SPA packet: +7b6poS9hSfyN6IUeT5dgt6fPFnKAsBp0afjwOmv5YU4Tk/SPwL788SxVmSGQoPyAYHj9Un153+9H2ZyUYMQGdPy/M7WNT0kRyL3hA8Lqs2idwvXXz7EmJ3QKYRbmMO08YotAvwphVUjSnbhkT8UiiT6hx/rqtAZ8 +[+] Bogus access_msg: no_colon_2_-1, SPA packet: +Lhp2EYA+ZZ7/f1y7Wz7Y7Gk9yZ1QB0R8R1t+hGyg1OBv7l5oXIRP8Luxfi35w6jAIwW2OkilDn+cVXT9WgRyVhccW/WuhyweEmW7ArubdWs8Tanq5g/7D89LA/nGizZ7nXu9gMPipSQ +[+] Bogus access_msg: no_colon_2_1, SPA packet: 8wHJM8+2ROYAkUnQpaexoTa4frIwyGs/ZUYak23KdQhFCmK96BLpuTFUh/dPoB4gaFyicl9a1bpsJHfC9/HSCPXoadyLDesaApcPQzuFu1ke89lN++q0yHdwT4eDdILi/PBbYeO4vmww +[+] Bogus access_msg: no_colon_2_A, SPA packet: 8c00tK3Hq5dD0Cyp+r0rwzuVSnLpVAYDrf5FLRTNh2oeMieXG0l6O25BXsWO+XaXhXVC9TbWAq0BmBXn6igqC8bwIPqjZsNVJK1msPykJYTX5Rf2uLQTA55E03LxOlfy7F/gUirsNiQQ +[+] Bogus access_msg: no_colon_2_0, SPA packet: /gO+rX3a1A2J2DqZq24TqgxZ+o8IMVra2KFLm1vl41od3awKL0aBELBhmy4Km+O+ptk9ewTTKLgG5+E3AkyKwKwWbvTg+x3RB6LnhB1XjGl9U7mmeePS4H0bYDVjATo79HHjsyHeCIkA +[+] Bogus access_msg: no_colon_2_AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: 9SOJz4m8u03MZuajZmECcwAzcs49hf84ZWVE+QBl1rKYWfaMkUZ5izhNlngGwsAajuhih8ecQvS0WnnL5eOK6p5mSYZBLrKOp38INIqsumv2XArxzIxid+B0fEhOASygwaQCWp/voa1n5Q+9cUEoyNZx4nYojzToE+0QZOBLfOA59NQ1gJ8dybSwoYJDjXxggBlMUgeGEheNeyw3/JDTwr+dhkAaLWm1hGNTX+TaA3MHjYK96fk54xT6lClb6rph/VwpQ17tM0XNgdX687QV+NBIUaAfzMH8d0rIK7UQpbNv2x1KUYqvI0pRRNmSXcXNSQiMMmJorABIqtsKW+tV0tc7FSFR4JKsiNWyjad0Kig3l9xgiqf6wKAEqCWPQM7GVlqszuJb+joh9Jp8AS+s8lwOuzth1zVV623p7f/EA6Fhxmuf/1GO+ZCkwD6xwymLlL961kWIA0b4VuT0jy0mKSLlXRJdXFBPJRZZaZQkVfUQwyou0T2I60vU7g50oTKA/TWp/vHD3uWYPm8w/bxtdDk5HB3D3WaqBogwtiBBQk5zkWDGGboKyzqJb6xRCpxnaFYUzhB+0BfVqNGyu95b9AECrYiqTRFJCy9Ay5xW/S+i2xauvbxQwsURygrsjNFE4SZRPJGaz7fCLn995XvXlfUDzxw4nBCTBg+Yaf3kPgtL7S5THvCkDq1NdHwpaIIe0yTxCX6im7WTboPK7xHoI48daL1XYhSGoIMZnTb3kuh9yM275VdKx2tlIWeE1xYtof8bUAKalNTDvWpmEsZCGPfcO6fb1be7MATdZDiUiZWK4Ty6nl3VoEvZaTbzqTkpsS3wKMKnWQDwfS75bFcBSYtxKWz7lnb9gLpQDsJ4CRjkMOvKq5VcNiOpD78ijYW9xG7ukTQMe9Aiu2Y/5qr6+WSZB4OogwHQKknhQyN28wNtx3NUtA6Mt6QXzePeMfaP0CIeo2LfhzUFV0R/hMWgmdDGxDCmQekPsw3sIxhhpEpPi5UsmCFIdt0hvX9Az+rptxJzQ3Pu18JsjeJ9PY1VxbgVCLEPSe2GB6HfewLxh+OqhKM+TGt3kI9Th2gJF4ExaRiaSH+rlK+K3lk9wUTTb+3LsNzKSxq6icaFyfJHHbUL9OHmbg9r+6IYq6UsAckj4bxo+a8gNuhbdHlv1B6b5Q80w8G2VUiIjN++n7wSXxyN1vYv9Y0rG6nk3I0pjxE5Kd2k5IdxWGZp4aX6VZpL5HZUD1QzMwgFoR+YxfljlEEGznzwOyw11F1X0UFoCG7xxQT9uvhyvLCWV6hdnEiFBZyMwcHnF9B6dpc4ugbKSggM5jQa/CPw7U+Q2e8JpyUlniL4VSXl8eSdPAb03ppZ+Lro+gLAEHw6qPurFVtiyQpoCQuSxtkZ8Jg0ttSf8LnNDCTh9NNTAaRecXD5JVmllhRQngtyPMAtQ +[+] Bogus access_msg: no_colon_2_////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: +ulQMDxcnrK4KWRSPH+OBG3bmxwwPd6kLqvk31a+7pfAvFxn5DrpgUm8aVTL4qV65vQczQ3vdnvDYb1ZfthlIFVXoBbFtt7gasv02p/Vo9+KEF/VoQZty0BQwh3au3684Fr2RxCveXDvgNhD3a1VgHBmONPpDiEq1n+wVJbFKs10zzX7W/+YqHiilZ6/OJac9I8smfwz/NapvEDiFPjl0hNJAHGXhx/kRVkRXRoGagy2+HEqkaOHb7PGav0vSm+XZ5qMW6F1xKdToiUJXPVw/hN5fe5ipgUiDWssVJ3sFa8MkfvnsDFoFD+dKb3kehjOGQsfibHYc1ri3h/U9/p468Y/5nVckrHhMZ77G5UxVjmGaUrkAy33EcJfgUjfmNkYeowxHlSQv1xAZg3pDThgrXCwvXrCvrZnJv3kg7yNmgsUsjQpha/pqiK6CBZeRLjhXHL6kki/JkK1onvf2DYGpJXeArRJQiQ+YZjIm/E9N5RGXDeXXAv9UcsmKLi45JSCViw/zv9QLJmdVaad9noDNZ+30tEmunVAbcnEfumvq/nn4M+khfUfuhD2yy0EU9LtY/9JfSEKwaIcfsu1GWsid7xypFmUPEDeG1Y1kGjU7YknfdglDO2JwuMRkonX8Ue241ERxlXQA+klW513YbQVSiA6/Rd3+fnrtWIo2hUDQRjNmY+FmxbTIV9k/CI1Z6HyOLgwkbqXs+gZW9cwl8asKeZiUnHxtC09rfv/TqzjDnGRnCOdwIxCca0SxoUR6X10c2+jW569gja1O5vpF0/w2I0zco93Js+/NLlemDeOf1mvjTNrm+XSuLSZOBYH7/NTDOmrV4AIbv6VJiUGZmHv93qR0xi1QybZxKcJGT/lvzQ4noHTQvApEF7Eq6uZZFUx9O11QhWDKNREekJHYFd/VJ2Qo6qxAOjjuB3dRRT4Dhj3MCsdMj13UUp2HkJc1Z9GERnwT/32KrQLetRmTe1s/+TJ3i5RalIS24eBquIn7dTHWrsGKaDYyOjArjdvfok3lrM2B6baCsQkQaZO8ew3LGb8wRxvDMVd8a2dyqHigOSRcNej79MdjLSaKc89kgXSw9244QDQnatRsUOlq+AAZXlfM9RRZEe12Y8KKs6fYX/cIj0V+PezLiwZTQGgXwT9r87hrmj7q0q/7IwExMp2UtfiSWBao/cwmihlESvjGUuvAsDMX3GIgpKnCtbjWIGXU7XFNif84qXCdKw2tBMOHbK3jAnUQZ3iv0vnt10/fxTw+gCEzCXTtTDez1mFGx6d+9hzWsdqzgnbTaVQ2h6tXR0XvxrkMJlChOMCEXwzucbZoXRb4CT3CvTSR+iVHF/4sdsf8CYyYCDqSiyswF4dKJz0uUf2WxcpYTJN0bxW2pGMyveEzpaKvfBVblER5axupoblPyMXNd2vM1db8AgOSiRu2xzzt/TTM +[+] Bogus access_msg: no_colon_2_%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%, SPA packet: 96lSgiyjZYK6xyCNPjI0tZeBGJfESr1yR2Su0G8rzSznu6V2HfAB+ILEZHlt6/BndcOKe2f5DBej4OimxLjyn2scksiRgnqyXv2q115gcm1jWLz0AaP9IOBphru+zlmbxZvUb2hH3X0pIx4qJWKSEeXVpwtLp3tHRC7ygz3O+4B/OiDvaOYhYxbqIgBBNkCgfNlnuFYpIPzrEgdkQ++sWeC8Hq5TguK/TGsJY/dwouWeiOWvw0RhRAFZAwsqWu2o7qd4L30NSp/eNCemkgQj7GNlFUL0nPAveJq1zJsDAkptRusCsanJl3v9fnUSr7Fo7BWdlkWPADzE+wqMMsrKH3I9+KaPx74MjmMHqrDUZ1WBLftLR7E7DKHHJHR2IijkDAwthlmnJP2a7W7gFTwUBK0YWmxTkDgnpHpILe/O98na8KCZ4b9HNenkVRPLIncItNNfTEB9pbM1tP7SUXkS+Qp1eLyFxeq/+EKdWe89WloI2xKHmo6vkHwfoFRl0y/RlXP38I8No/r5MwY2ZPyt48+eP+ohbOtfp99kSK19IvL8ON0I3zH4FbIc37QCP3gLWdkEHOfOLUP84cM+556x+cek18DHdDvQ9tJhhdXt2+tCvZr2idBLFjHbebr68ib8/XbIxkN2oL6jXFOPXz0kmOqpBqTg8KRpnxymrbli9d7J41JDldxhYj2uarPHQsS8Z9OLO1pyHEmCfi/aMjZmYpDUGO/qLs25yzjSclBckn47qK7totZqmuj8DyZjr5LBphLutAztVrTaI5SWTIjwVCqIWxvS8KUuaYuxTZj/wJe5XD0082sDyLE1vkDAHSaOF4CCdcSMeMTodgMpiIlzcnQt3SL6OLx+Ly7Srf+XJs/ILNSsOBmAZYMgZ1KcRmmhABn5cYhayrr4ux5NB9SEjD97+6DU0teXOkiPhd0MSoWFDiE2KeOrM5kRSicMrP3ByCQGdbKQ5GZe7prjARmQnUrqvi4e6UIWzepCXvqtrQMJuQ5I1MuV6qrc8HMUsqVXLqpf54slD1Dt8CHgY3We8RaXe9QGWxlopisLJSVbnk8HBKdhQksLtLgk/cKZepOQjXupeyrzVUn4f7u59XfRhieM9BV0y3nnO/rEW1gX4+GWZO0dFS7rnxTuIGlhCgptmafRQisLn+ukgHNbblQk/jB26IxgW08b24e6YRTotn5wpFa4awpnpgpGRG5BoOcfWT8uu+6EUaUFH4AvedvcvOs5eRNrn9+yWWug/Bsgv33ZuSWiJ+bnZx+oE+L0x9583plsWPqQYd2N8NGl7c4SAIc0tu7Mwijjusgu+6yQmAcE7Fj0ZJ1JScz+xDZZZJw2FjHhU1KQEL3Cer0OR6Z7epQ0sTpmwxR7MmQg7FIUGa8mTr/FkuY6+Yi5TpY5h+uhl5FhREKITZK0PZUzKPiuOyXoJ9bnKGW9c +[+] Bogus access_msg: no_colon_2_::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::, SPA packet: 82AyuyIzhni8PpLA4QWJ7GlCI4cRnh5xbFbX4eCrDccM5YdaJO+5H5UsoWCl6Nxs8CzBWecpej6WKDw/cPDlnKXof1TA8GT/YLnuFZCafaBJ+mLiz5jhw457XysQQ2FkXWtbVZva2MIoE2d+cUcRrYf6laIL5eb+WWZbfkS+qo7w4TAp5FZsHe4XkI7r/rs3ywATq5oX5pPTpv9+S0VKtIZmPwI5a+sJLLYX4NyRoTrtLPeY1K0Cx20+xsk8pqNrlrOZeyH0bEwea02NVrtNXSp2cVaaXYAnAP4LATKpBqqG8y9KyJz1kpTUb/lKEc1b+95P1kYuxn16x/DcFzISTdJefB/UdjVUQmYw1nm76GoX/A7u9JWtInBPy+87foDFA3pT3NWmUqr4OkXjuo3bLNfXhjNDbUXo1b5nHSUnGPsHAlSCGzwb96HSv7LxTHqak7Wn/5StYP/b1wOB7oTj9VGvKbxeKHg2ka6uuEDiOjUzJsVtbD4JqpV+3yDUG/fZ63Vyafa3ayjZBMYr/9mtzqbO2116Z4ft/CQv8QSgqmUjb94j26guAO/PZb8GxZOYkd6h/CQupwEhfvqGsDmD4vnuMuWWXe7xL9QL2B6Rm3CIX5OkQErurDRlvOiSCuxDoemDmnwB7Uca8uPGDJXPV7xgqJJAMQOrMw+eMHU0zmLkODu0KZEQsQAJ1bYebkm0ujUz2umThtBx9939CyTuZhjAAqnPl8xfjIYoXHZtAGbmF+bz4Htymr+m4EF+xkqGnV0Yk7/4zMXjL9Kf4F0SUsGr0sk5rD+sRrilUBLplYV8blS38KYvaA5F1I8zqwebQcdfj4CSgHQJYyWFI4mSEjxu32dPWMc6ExOqvEWKGnO1fcmYh06/BnUYMK7YyxiAtK1eHv+LPqlxRfF8wtq/BYXECgraXrxMPeRQylCdsgjAGFr9YFm/W4oxRUk9y2NIim8GgwvWkWhSaSNz7n7MUaPX4Xp+AusQSFR0QSr58I6PhFrVMjHxx6UlEJuxZiJeEx48WjKOnQbS/wUW82AvNEoAnE4NcY/z694huiXlZ4y17bUxtiliT0C1jdWV8KNFEaE0HJ93kCO8whZESXJUHe9S+FgSCNh4JVFce4iXkkmlusDcb9BDNfP5E+scL+cD9ih6Iddlu4tz6AO5QxYzu5qjxqMDSaWSD0kZKD3okVYN6pvDgdKQLCLwOerUVpn7/JjSQFy4IjHST8nK/1RE/Nim27bypIPRA7m9o4Scuafq3oxHS3fF4EVBUAvBIho3+KvPQX28BDW1CYXmNYWTQdwDYxpzJY1VdpaNchZAVMC7cdxnweN+pQ6XQE3R3AybrHC4KPr1sEEHIOAg6XqhL6pEgWcjOHVMiBqKlRtGbphcMTzXC0nFePzyM10U9t1Mra3y9rkkxi2dKg4hGpBNXJBvhagU3rx1A +[+] Bogus access_msg: no_colon_2_(NA), SPA packet: (NULL) +[+] Bogus access_msg: no_colon_2_1.1.1.p/12345, SPA packet: +nsy5G9Z+FGX8jLo5tswQp32lNRSQBoIOtjbRtdRq3yKoBz0yLXtI1NLh1Ewm56dIBD2nL+x+mSx+PFPKgSUd+9enrw+mYDHiTxop8kcwxyaSJxUTShsK/tD5KYtlyo76C6/gLKD2k+P5EQ3Vd4567d0oyMrEzSEs +[+] Bogus access_msg: no_colon_2_1.1.1.2,,,,12345, SPA packet: /5K34/PEETKf7730/qlUdydCHswMU7I0I+K3sTy7f981J20QPodWYFy5WoG1lo1zh6z3D+0O1nJByWJrEKRrJD1fc6oU0Hq3n6vuI2LFk/PKjU2+e1OaEaO3vJW67rmP4dLGXEd0XrhAGUNivCJtsrHUCQTmdueGo +[+] Bogus access_msg: no_colon_2_1.1.1.2,icmp/123, SPA packet: /NHtIRj9pBQesBd1kpvs2b23MS1tOnl7QqLubtwVrOHOZ4WKv2ZjOAn44UY4ikjmht0z1YBa7WzkWtHY3FqbrRcTb8hWYmhOFwDoz2HKJZVxpiT/g3D8m+j9hI08d8+oUwrZP3wUJaM7UbUZWJnYmoI4ZmRQtXg6I +[+] Bogus access_msg: no_colon_2_,,,, SPA packet: /Dx/wY1TVMAL8dEnie2TvyOLC5ty2sc1WulOGxwqj9WpBp3HcUX5yO2aLMMl587oKE3FXPO7Zv/Jh2arP8bzHmAkPIrLIcI4cS8+367TR4GT4NHZd59LyY403pH2TNcs8/OOChwBRERA +[+] Bogus access_msg: no_colon_2_----, SPA packet: +l/JEYOj4EsENsoK4XfUN15zN2NrMrLyG8j9gdhsW5m4u4zd6+G/jK9MfXDM4bGFGYs5VgWjiJpxj9ntYVDSi83g/zRHuLYzuXqEC/pCkPHxW2BIOqL/VAQQjY3YNhViRCwFb3fOYUzQ +[+] Bogus access_msg: no_colon_2_1.3.4.5.5, SPA packet: 8tAlzQWMmdGNoWiIAD2Jowq+HpGEjcKoLdtoFGyxvezXmjRybu2GfypUZRHH1bk8LkOXEEihs7FEQBdf36TH0rDh3ohXI8hw3E5he1qheC6GkHF+AHyHoTu5yBHB0tg1T5svwJMcX20v1/mXU8o+/RRd7n95SshGM +[+] Bogus access_msg: no_colon_2_1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: 9/rGmxhuhQdAYUKvb0M9IqWxf4L4UU3iSWLyEt5vO+g/nrrCpTV1ZRBmW5Xy3g41WeHrrV6AKaHxj3tzQoiQ4hgOPg/zywEKRHaS9FeBakkHVUsHwQEaqvNeHdmUcst+GU06vPoxMcbR0GENu2D4OiM313AKQG00l+909s8BDt23RsB08f4Sru5ozQDyphNsgkOGjXxaTP17pA+j6lML6rCuht/0JOcYuMi8Zxil+V1ybeJuilu1H6cVy0g/MDFj/Qlrh8dFyVEgHeIOGLVisDao7zcMiW3cAJRY73dtqhao7o1s5ViNLqxKvX2riIddR5IKmZx8vYBg +[+] Bogus access_msg: no_colon_2_1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////22, SPA packet: 8kTzEVvYDKbnniW0zOfNEabY8Pcp2IariFcX9ciC5+TUJ7WrdaxaRxT0qKJLFdgfyFy/xLwWYS6dpefEKBV5ig0kOKmlLOE41XJ4ybdlCqTnaqpc3r729NyO79xYeT/dnPe40i3aoWJSnjTWbnwhBC4fVew1PIP5Ln6A1fc2WPvkQNPntDD8oRBecFEj8Y7uVRktNEoPFF0Mme+c7VZ9ZY+j/OMxRXvlD8T4kvHZdnsZSBSJjt3iQPM9DdC+gRBYBCBPyAOdSr1CHHmdSM/mZhTbN3WnjkKH86mrwTsozSczS7HdyxNKfira8PKS5REebQ8+2cJqO1rg +[+] Bogus access_msg: no_colon_2_1.2.3.4,rcp/22, SPA packet: 8RZ7UG5VfR+ui2MLjH2jXtcg0UpQeFuDRQsROvpKPqytCYe/RtYX4z85NroRLrk7R9fpPVGVJnZEdTH9p0XnsUtihGf+97OwAQDDgCdxyDaOOUiPd2/IFbdvNGV1YRtWgh600kvkDAWTEICXzTN5nP6MpbAPAlIck +[+] Bogus access_msg: no_colon_2_1.2.3.4,udp/-1, SPA packet: /mMJHKgQ8N56Ced99SHwanxWIc6MtaMtwO7KAzHaxWiST+SeX3MHOA+qR5/jfHmdToDc5bN96ZsDiZozdX+7ouigeGqW8yUN90u7cZfVviNDBKoOqMwWq8QFUckcZ1zWaMgBj4xkRXuThCS34PTLUj2ykNixOwa5o +[+] Bogus access_msg: no_colon_2_1.2.3.4,tcp/-1, SPA packet: /uiuTr4QeTi07dlgqxx9hz8J+Qdsh5iAiaIclqTLMDug7kXRbxCyP9vWlRyezfYUrHUwHb9F56HybrCVutTHUvquCbvP95z7aJMJL4ljWSGW1wVlv+BKkAiP79TKa6SwDQdwSDS25txZgwcCdWAb8DKelwJFDG7MU +[+] Bogus access_msg: no_colon_2_1.2.3.4,icmp/-1, SPA packet: 94AETlGhXeHaRl2uq8l5EMwPEbcB4E4yUaePp+ThgS/Wcr0hdRdn1hXVL02IvqxCEySG9auHd/5+NMt+1X1GcKzE4/mxwQBjqicg7Iz867g3Kc804lPOWZLTGUVVeFIinkvMdleH3ym2LQfjMiBR9EaoKe6PnR/gA +[+] Bogus access_msg: no_colon_2_1.2.3(NA).4,tcp/22, SPA packet: +cIqPTY1zpg7FZJVUyJXf8Am3/56HY6JdfJkOhbKs3tPKdzXJTF7qjOj8bqeO9QPwM/fvsQozOGKMLIWyR422omZtGnMJCEy23NfNbfOqgkJAohFzwOuO2dY40022EE2T/RojuNL4Efg +[+] Bogus access_msg: no_colon_2_1.2.3.(NA)4,tcp/22, SPA packet: +G4dADk8vu0GeLkDipWMqERwi/+/0OurkgVW23qGoHQ2JcUNnHnQNMRHzAKqn6PLCQadHRVmqDDermXqLZPc3oPVCVGE9G1dM0bZOZ/J6VWnAISS3KyIFHRuJ8ATvLFLTKsQrZZgycQA +[+] Bogus access_msg: no_colon_2_1.2.3.4(NA),tcp/22, SPA packet: +s3xJvBUrwJH130Rj0FQG7kY/3LxLB/65Ga2tjv5JfIEkThF/scAF3fB4EtoCxY3HJultQYltjGS26M084Sb1mS4CdnUii9C1+3iRF0YbJx7PCByl5EfDwDGKRFGG3ljgmQH7HuCfXIQ +[+] Bogus access_msg: no_colon_2_1.2.3.4,(NA)tcp/22, SPA packet: 8D3/428MaIBzIhIrCgBUGn8wIaj2QZgbrVtRFMK1inltIjD9wkT7UsvlWQkyHpA9lOjjjP8wEL0npec7gBK3dSAz14R80OapThFKTunbOfcCDZV1e95S696FSjdZvwHJZkLQFwCBHmj41TpzEc6VpoBO4n78dvCGw +[+] Bogus access_msg: no_colon_2_1.2.3.4,t(NA)cp/22, SPA packet: /TgiS9xuYUHuk23WQi3LuSvFRuLDdor0kHsKCWHGaZpiGP8A8FelztUL5bGc9Hnq+tihnFZ4eVifu4eMf4rWuU2ry7inLH3wlaWKdJfxSU4hZNPDK4grXyEjUHPowxmlSA6SU+gShqZyKm6k0N8IushAeeXDkEjns +[+] Bogus access_msg: no_colon_2_1.2.3.4,tc(NA)p/22, SPA packet: +YRAgBIhoOw5W/6VfD7je8Jq+TWiSsZjmKd+NyXlEY7UFpMXCAaa8rukgnVXRhxtK0qS/6AzDY8fpJMkqVRtM/sTe3UoDzlSyyX33Ns2Kb3chRc2tIJsg80siEPp8oUOj8/q0SNRzIwNXhAd6gaDBnAa4MDBTxfXU +[+] Bogus access_msg: no_colon_2_1.2.3.4,tcp(NA)/22, SPA packet: 9+1FZC/CM4LbzcCbY/d4RrgtwpIYJ5boThJyheTTVr5hdxGg960oc0t/Az4jFq4ygIKqKAwz6Dht01UzYdGcthvcNZPmfTW1R/YGNkiK/TofvLY9ZWQqieFjnsJdPFHdAXu4R4itL+T6IgfdaCxh4iUYL6/uDmgFY +[+] Bogus access_msg: no_colon_2_1.2.3.4,tcp/(NA)22, SPA packet: /JKy9HZns80kG4NqGVWXlltlyXoDBnUrs4nqHJlXezLd3vzEYcNF8eA/ev4czDXe3hqQslU2X31udPJqlL/Pt4+flD9mzKjzQd3HDa5npPwe0vRyrhcuHuI3jDQohO7LSY45d15FfVbXDYVV6TiSv8KLz0JHf0nfQ +[+] Bogus access_msg: no_colon_2_123.123.123(NA).123,tcp/22, SPA packet: 8KXFlwWNmlKbPxt3k7YOlrcJceA0XM2S+tPi1QkUBrY6A3cHI/BbgtpwrDsCqtoXvSAgVySY9twzj3+MWCbq1zzHY0hm7n6stX5tqSpzO2pDi2GfUj2qHDTs2A4OvJ8M4BLvEss6AdS2TrP7zbkW2yx3nx4j17iTg +[+] Bogus access_msg: no_colon_2_123.123.123.(NA)123,tcp/22, SPA packet: 9Zr6XqeiR5OlJ9MftKpMei+eiLt9ty4mZZKn9/rjvs/R2Rw9XVEXD8YUpRsxFwVMAYKeOI9zUQ2OZDjsBDiZzScsSy7SdZqtQX0O9vvgWWduhZ0kZjVinRzWV2exatIahxniKuh/8qNBYjnQUBm4QKmTa6tB92tqY +[+] Bogus access_msg: no_colon_2_123.123.123.1(NA)23,tcp/22, SPA packet: /hNlA54xT5gc/wULoyH5PTbJdazvw7P1I/0xVCcocOFpkx+S4TWJ1VBDJwsfB6sdcqQOhbhcev4YcnFUtOJiKQ4WG7Qi1OggCLauzdX3fyD4TJheX/wI0Bpm5QLBF0Lufubaz0XQ9FenEhnmgzKTVYw5WH0ZUUBSE +[+] Bogus access_msg: no_colon_2_123.123.123.12(NA)3,tcp/22, SPA packet: 89YTZI28WNCa7X+33fDS/CB+65PKZLIb9wgOed3pK5Ubkl1m+gKvD7G7AZCiHbyDx2pNNKSUM7FHfGUE84v1KAgd06BSC72AooQ7YlbGcIDYZ0wTxwuC1/4ra7p2VGEFGhCEHk8jEJ3PYOAigaadFVmcKda0Sp/H0 +[+] Bogus access_msg: no_colon_2_123.123.123.123(NA),tcp/22, SPA packet: /u9wzSBbmz5pIqEpBnuCX4wLmZaMwhquUnPTHra5OP58OSKaQGHXvrj/HBRPll+ULitaewfq5+N8yVS6oz/7Z3e02pytvllygyag+UpWBIDzkovSpHPOM9YHX5dKhXigLR1H6iktKpG3FX7e5o38BntNDNGKWuyKs +[+] Bogus access_msg: no_colon_2_123.123.123.123,(NA)tcp/22, SPA packet: 8R3UzhoTS/ophrr16X0tl5uFcfDHwoqVRbAU7k5W9IIHqwIQmdoeQm96Zt9ag7NR12ZT4bm0Oiceo/PQVWdw2Z3zVSOGK7RKkwJ3eftM1JgKBQhtC4eJWafPYuZwv85qTQAO9S5xoR/X4Kw1S1Th0sAGCUVCWn/s8 +[+] Bogus access_msg: no_colon_2_123.123.123.123,t(NA)cp/22, SPA packet: 9BnIKftcjBdB9Jyow8oeqioyOpEE3B2Zh6fw/w3RiaeFMFg4bDgMcClLxPMJwe5od0GYRsnPG9MknOtUDQtkmLa0wXvvg24FIO5WqDuJ4yJ5cqP8dM6VwoLbBMgM/MnihRHnFHavuzZnMkAOoccPQu3NrMK6i1KdU +[+] Bogus access_msg: no_colon_2_123.123.123.123,tc(NA)p/22, SPA packet: /XlDKO9UXy/BElcMlncGY/jKqF2/2Yhe9Mm9S42MsIT5FRlYgFtRu3snqGVqr5z8pT8v7FtbB6iMKPIIcLY1M40ENnZw1IOPyfSb/se8Mi3kn9yX62tL0I67TvGEV82D8AOYRDkIyqkaHggxzZu1ebn1xBKRSRN2U +[+] Bogus access_msg: no_colon_2_123.123.123.123,tcp(NA)/22, SPA packet: 9UvPaqTLYDkH63cu9nOHytWGO8emuG3NpL93/tp82Yl2o9oTPpChelFKadnrzq694h7oKRUl0bhBEzD3ilxNb03y4Mnc8MwklVt2pZ8DlQsIFuUPdE5oZk52oapzumHVUsRn5jD9WuYFiPK+kYX+STVLEiz98H7F8 +[+] Bogus access_msg: no_colon_2_123.123.123.123,tcp/(NA)22, SPA packet: /2FEJjTeNxUreBQRGsXzpddgwcMMgL8JvXCRA3e0vydKcb9jgAVJmRtuHt80FJQ7t80+kS+fBWxH16RM5Xm99J74jjf6BrEYFx0eBaQoaf/Ual9xIe/Y1zzGIdSgpSL2l3MSL1P1/bRZpFdF1Enf+QTJICZJoqXx1aT/gA7n6XXzzVIjfz1C6P +[+] Bogus access_msg: no_colon_2_1.2.3.4,t(NA)cp/22, SPA packet: 9RhKWBuD1VTn6GD+C+oSe7KSZ2f/OcIobr9ddLxgic0mW2eKc6N0ou4sQanJM5Mq1oy6hg/nxIhO+dhLBitPusgkQrC8vACZG6EYHmjWoEoFfQnu8bZ+P2Pt4677kkMkaPb+q6/6WTR/2yqDidI65oKtfW7bNWZS0 +[+] Bogus access_msg: no_colon_2_1.1.1.1,udp/1,tap/1,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: /lPV1SWtE9PC3YRwWEkaOGYdISlD4zPW6sYvGRgVsjeEuSVgx4IbKXbwfn2pzR+EPvyILqwcfgWbAA/B5iEqVDBcHjB+HALT0W4gOXuoC/8BQ4gbApITKUw9+aG19UK3TOJtPi0y+mHOW2oEg6yq+JNLzrz44+v6/p8hkmHUzHj1HTYSKTcj5ZkfDrre6hmAES0oSrgeDT+yMQmhOgm3fdECPssk+ROyQ +[+] Bogus access_msg: no_colon_2_1.1.1.1,udp/1,tcp/-11,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: 93b8d8Rbm6Awy/ZqFZL7FHSjKA7/VvJg+T20WzRtM2FkVHRibcFM54JR29yK9Zng3jKuUTsGtxgazymS6ed61lD6clJSe/TzJPi3VulnjLgqxlXag5uywUPfeSUmuYJBr8mXRySG9Gah0/clwypTE84SJcsi+2Fq6bUNF9gEzevksdGc5iC7Qn6Y5hUwsKymQQ9Bi7IBxLpUoWN3CNO8c321hdlM+bOPg +[+] Bogus access_msg: no_colon_2_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp/12345, SPA packet: /GX+NvD+G8LAXRR/lBhIGkiSEQyL7SjQjvm+X4o29xb0HngniRvghdTikVAiCG71CqT0fhD4/jfBePxo6vn/iTGoN+EBwisVv+B24GbYZXBMzlxzj8gLM298ZQ0IdPtRNpuvD3I9W+Jr/zZWvuOJjgrmxoVIl6FCdhu+OPzT7G9CtLwgyAeNoPlyV1iP7JdWXIkINK4+T5nW7b4j4I7f+uXstaNh5Rb0o +[+] Bogus access_msg: no_colon_2_1.1.1.1,udp/1,tcp/1,tcp/2,udp/3,tcp/4,tcp////12345, SPA packet: +vQIBe98m7QF10VvF/a4d17v/XCRXkFmqiOj9r+b+WJffv1jqDjwf9az95w+sGmx1ioIoyHaXJNKkDjdHg0aP82GiYxhHFwotYbafS/lhri5fMpVNhX7Q0N8YmeU45dj+P2yvvlcQ7xNcv8bjyQfIYHfinECVaS31pN3Sx6Sz5KgHAlW34ufmvxJvuO61H26lDefgOMyn1Kl3jPZq8UcKvzWzj8wGUFfA +[+] Bogus access_msg: no_colon_2_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: 8Vd115xhDghKcsivLh1Wykd8Mx404QKeSRvhQLrY/Jm8hZMogWczbxtAiuk0YrROxXcTfRdocTBEKBAmDbFONoPuvQWoNfIbSAVBYRGOnvQl1yBRa/W3I4gmWMFFKmfOu6JoNDyd1ELEV+hdIzj/HgJ7qKV4rhEZDPU9+oe6XPYgbPstHy+QSLsiGydZmg29I4aYCBRPOgNW15juFhtmG/8qeaOwpjjcY +[+] Bogus access_msg: no_colon_2_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: 933fgZVerKwUgSN1Qkd5a0OjzSIAFiChr3ixfFFPnmL/KsJZc1ZWosyIsQMRCwPLHiwJEx2fS+kP4ivKofsTyZjpeJfxrjljBKJJ29FxHFBrlVtyIxhLBeZF6207Z2DNx8fmYcUk3mtWAJLRvluma+5e9gUyT2k0NWfG9yUJALoyzT9J5+r1lj+jycgefBIAe6lK87Bybtj96JYaAd4yHBJg8/Nhkwh3Q +[+] Bogus access_msg: no_colon_2_1.1.1.1,udp/1,tcp/1,tcp/2udp/3*tcp/4,tcp////12345, SPA packet: 9UI6B0QvrFmLFOTKtTU2pTEoLJwoB5G2C/+YtiZ2+COPNtXlYlCbojPZEV1eakC/m2EkEbPb4qu7XmS91vRs3KF3kr/c32rx74nGAH99wEleGVCuW2EMaVmIj0exNwTZ1w/uhOdpVuVqUcqO2CqwYGzawyk+gTxj5wbsvtDqFH2c4x+Dgaf/7cs/bGCCANQ2JqajUbpPwOQI4uEbFQ6MFJ3pp3fKdBF3w +[+] Bogus access_msg: no_colon_2_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcb/4,tcp////12345, SPA packet: 9HbAiw4a62AwCxf+6Hn5cWUX/kNofvmK+zHme5ibLhuEqfdwUqzuzer1ScHhedWsSuPd7SHLEZP5NBC1qSAtaV80jFIGzZ+WUSfJCXFL9Zf+Dl88bdilfLvrzRZliTflHiMN6R+gD8EDzhQEjeAk4vEn1WWZ8Zz8rQ/Bj05LQhdJzGIQY066eBjhRoP2vfmvlen8F7eWqx+5MRbNXYJkjSO4peAccXvNU +[+] Bogus access_msg: no_colon_2_1.1.1.1,udp/1,tcp/1tcp/2udp/3,tcp/4,tcp////12345, SPA packet: 9l+Ca5ASKlUIi5dVFPEgimb2nAK2EjsfXe9iMgQj/0sDozdzMF0bq+ElBFsLZbHcDDKq7sIuh4h8cyrOJwrSqictudxxVjiRYCjTJjXF7XHFjGOyyEAS7ndpzX4mZfy7FAIbHVXaZrbqrO1XkXqFA18ILzYF3rKs1KWGGzyjI1Nz5uPYEeWVaahTZt0WtA7BO7gbQvm+Pjn41qxRugH3IoMzvsftLt1II +[+] Bogus access_msg: no_colon_2_123.123.123.123udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345////////////, SPA packet: +4X6j95FgdNKnI3l+NpWzpqu0xhI5Sm5LHnvir1GlhqfuMLE8ezqfk1UMhbXDYghDxLVDTwP6Vvtvm5mOAGc8jekbrme7/VeGWTbBNiZVJ/A6T2uuRPRW7CnN3gv2y5ug5ktM6VhQ4k/iZRU6YOdZOM7MKonc9bxutdZ5xMZRqRhA+E3FUPPU96YJmluJtG+jLXbi7KA3Hr0x43vd48BLxlL9h37Q1V7nVKPQn1sN4yCb5Lz4ZQ69sXhjv8R7cMajez4nXilav6g +[+] Bogus access_msg: no_colon_2_1.2.3.4,22, SPA packet: +tBLiwZbi7jplt2oo1KS6MmOjLgwr0jK+8tcFOgxcddEadFnWfSZX6piXgUtkJtzJtuTlUqrYoeLcLEUcf4oP+FvyuzTdUhz+WHLGyLFiQGcZq2sfi5ViPdhoM0OanresbyXxnX3LkbGH/T0RfqT8nPP9opTz2eQ0 +[+] Bogus access_msg: no_colon_2_123.123.123.123,12345, SPA packet: 8qnLq2tXd4bZ1Cg9u27m+Hdmwd9aBmCSVvazigcdcGuEYKU1CM4S8poY5ucdMH7vLQNzOZ/I+hua5lw735qtcDUDK92GG/j0wYxKxKFQBBD4UYbymXcQBlqKadK0Yqp+boApsCvydXtFHjGErKqvrXD7IgWYIyEyp0syyH/Gmo6RcGpYg77eYo +[+] Bogus access_msg: no_colon_2_1.1.1.2,12345, SPA packet: 8PsPSBNMv3w506FC3Q48c1i0OvLxDL05QdYOYimgNXa7KF5TMFcvW2wOnqQdPaaySyB91fV5mrGK9uKyJa41xvFHqk+fGfgj6MiYnmHOUjp0homva2qvwHzLXZPhqqf/yEWbfCMRoDlkgO8D6GVHkbmkAB/XhU9VA +[+] Bogus access_msg: no_colon_2_67, SPA packet: 9I/mLu2NtmYMKWUYEfMCYDPkunHtfahPKphikkVV6lVnusTQsSNvI5O6g7Zq27fwzbLApq+O3xrqMWzBBITjoX0/xpcu3w2ZDlZCBKHaL6smq0TYhnCJnB+YIIYpAFSzDNuGv85EMHdw +[+] Bogus NAT_access_msg: no_colon_2_1.2.3.4, SPA packet: 8EGukh0m5nUz1bYeXJIbSIRSy6P2rZhQPQMbfdN5JXJmlLN+3BSUlGdPQxxOxcY4L8dhI7CWmhvlE9qebz7zMNgYJNmm+MtcmoLtnwkkFShbsUmSI+k4UmN26Dx8IHFiSrXD8a/6rKipwvzHNdbXkVORCoUwbOJNX48XEvI3rXK47OPngUQp0Q +[+] Bogus NAT_access_msg: no_colon_2_1.2.3.4., SPA packet: /5gPiHhLIHfNKhuqzgqcbmpaIEZafWZERpe7fYYSOj/OInGAUo0fx47gDjBy9691Mmq0OY3jErKpgBpxxr5/SDA5BCtW0SFn9uUbWBx4zEiRTk8/hEsZNW174mjoo/HGituYAxO7LJrYw4G20B/mSR/IlwoegbKxMwsouz+vofzAfW9/dGgohz +[+] Bogus NAT_access_msg: no_colon_2_123.123.123.123, SPA packet: +DRQE5TUAUS2e9RyVK2sgv7QNOQdEiHU9ck2lF0Ssgl1Q+JPB55W5N/+pvNaZgYcQdNXHWYGhrC/x68ygT8DbVMZ5adrRkeiQbt0MQhfD93Tiec4GoblKRc5SEst2tNcUiYpWkgYazKstLcEdadep/rrk1cJl2j/AbYrm1B5eMm6WJV6s1y4BK +[+] Bogus NAT_access_msg: no_colon_2_923.123.123.123, SPA packet: /RMPyjTQGmlYyWaEjr+Igxxh1F46lqGfCrssARsCpXfcNiG1SkjNnrkGWrkIHtKGkg2T8n8aUq9Bmk/gtVeIT8xloxRkbGWSIWCC0Xm6ExSHipml8v+CzDhF7FzSlCryDNlTq6ZHeBi6Qpsg3oss01IuqsG6UBAX9oRLoLo4Fajr4y9/Txt+4a +[+] Bogus NAT_access_msg: no_colon_2_123.123.123.123., SPA packet: 96kBB8jyTDyQsIIjVI8hdnNBwbACHAw7tBRSTZXe1fyyp0ILqFVhIYRBp2TdagAvQAFDPRHldiYbcN1G56blzmroXI58/Kd0bpNLde+SYa8u/dHXXqyiD92gitmXAMQHt1hh8JPuVYdMh7JpHqB3d9bxavujnJVbWmZBDej8TuNqSwRI5sSnrB +[+] Bogus NAT_access_msg: no_colon_2_999.999.999.999, SPA packet: 82cc3KvwhfJPOpBVVMXoYgWqiLzOa+RbeQgwJBObVLtXIbX+J9pDw6AfubO6P1pHtWhKh551N/mvbbiRXadCaxTFyD3/3PVJk8WW/z3wyrrWEyOj196VMwz1LElfkDYfbLsQUo3/HPqqgWuWbecnlz0pKYWvu1W4OjJfXgrW/j9I3tcFR07m25 +[+] Bogus NAT_access_msg: no_colon_2_1.2.3.4,tcp/2a2, SPA packet: 9j1jPyDFMLlYlg2VsdtDeb5sQ1C98wgGLKU5U28RtK3i79EJmF7cpaJgRf9wVD+qS6UeA4UHo4+8JVHV1o35LcXwbIfiIWBpqvECKpqF2+c8mUz9cMzLY8QQwWw8j9eqjKXeUXa6VkjGrk0jEkk+jq+LF+26gaZqLn0fkUr74DnMppwwgQwf4x +[+] Bogus NAT_access_msg: no_colon_2_1.2.3.4,tcp/22,, SPA packet: 9x4TsvfnWMgtwb2T4NbpkMEwuCzmy+A+16UQku/5RmaJwFGP4Wcr21FL6fly0zQpbzt+zMRRCnhtRv4L9XttZZDIRO4jGFKUKrqXyFVBQ8PZGCQJBX2tvC9nMcf4BGQ2xuPV9NUSZ0kfnjjcvD8zGFRpbwZzsYyVV4lW0UHoN1B68+OIUzjVs4 +[+] Bogus NAT_access_msg: no_colon_2_1.2.3.4,tcp/123456, SPA packet: 8Kk9Cch9VOfOzXoxvC1ukI+Pj3xz5QBbR5Wsvr19VEbdUu+viDgme7Q8HSkkrmdXRfxEIVKigL7HarovR3pSIFyT8xFJAk5KkEY/oEfDtbhExjtEhHuW13iqc8EbkzQffNO7i6q103JYwdXowd026AGKmKUTnYeKUFOptM8PYvM8QttnQl46aJExOCVZiUboav+ceRd5utBA +[+] Bogus NAT_access_msg: no_colon_2_1.2.3.4,tcp/1234569999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999, SPA packet: +eq4IXiA28nVyUQuK8ICKDsWi+a27WzFDfF4Qvktz1qkrz9VTqIwqhP83H3eWDdRzEua2Nl2gjsxXH6z/Npyleagt1ds+tHAZ1t6uWXbcGTq0TXGq6Xpr/YW7hXyWQg9+hsVcd3ztcfiT/rzMNm/FGkbpnPOhGJMVeBazVMJ5zhIZlJAuXmFzyQ+Kteiqdt5CkA/ZMDBKcRgt/MXn+FHyUrxoKXUQaAJOGWSWt13JrlSCsWXjAMEnQ26GA4f9/4dhIUkDUu2J7FSht11CIJAZuL0wchoDa7KaTJRX+rP2fGYwmoW32JaMpJ3axi9xOre1LK/bt2GzUU1RoRhgvS4zlaUb1bylC4AbWUrKQ5jOLczbkLR8NVEG3 +[+] Bogus NAT_access_msg: no_colon_2_1.2.3.4,tcp//22, SPA packet: /o5/J8JSbf4K6Gd6wKZ6zPIR7AFuWWq/dFc/FY5PmOJI94IyYJPZgef8z8Q+aw9bjd/vBKEGZVYDSAe8BukWqdg7BCVmuJaWs5TFf7P8bmdG/I6KBWWWe3N5IShqDjgxGzohObYfGXVGqd0s6QWgiaAsGn5FBHe46SomzmXjLwI21nRUd2AYrv +[+] Bogus NAT_access_msg: no_colon_2_1.2.3.4,tcp/22/, SPA packet: +T5OJlQCzg4YY3Czm08NpAKl0xZSOjRT9HZuHdq4LfmQh47bKbfPCD7gSI1KNRfJ2QmqOFgYdc0o2aIYkCUjBfugMu5LCQfMelI+lqLdKXceHqvyiCZT6Dc4hLIXj9JOHi1FbIpwFrOn/K1PUnhOvFtpR4HrFK2Txuq8byzXd2Anvtyez9EnOJ +[+] Bogus NAT_access_msg: no_colon_2_a23.123.123.123,tcp/12345, SPA packet: 8p4vSmR2asIwG5PY9EDSFb6kFdCg27E2hV4wvJqn3YVz9sS8l0GT4gw+bdVRSKTHcR0vB3IH9f+ErdQPXlN0Xk806NrF926LhcASqSvRDJ2VVCWeOhzSgLvxCfDl/CnaeO5aJ79K+zvHoCWyE1s3UI4ttY0IrG3Y70V0SOpUFEqZNPeC3uYmkT+luF1F9PLqc+u+av+NK4Ug +[+] Bogus NAT_access_msg: no_colon_2_999.999.999.999,tcp/22, SPA packet: /wvN1NDKuTf4zvSo983Rn5g+eEvdEoO8qbZlpv0jKZyONGYHzTvMcNxf724zhKVkgrRKw+AnHJpvFG3SEZWBUSR+c9b1d1m3SKnoO6Ng2u0BNaYdAa/XKFluzOR5LqVQNgkslpjQE/P8eILX+t8dy1mdqB6dVrmtEkHmyZAOmMYiKIfXLTuUF+8/5dAgwnoKRDzL6UhaX/hw +[+] Bogus NAT_access_msg: no_colon_2_999.1.1.1,tcp/22, SPA packet: +NXW0kL5UkyWO7Hl3WOray98IJrPzD6Bwc4WjiiRIwCSiCiFOvtYjHQyHBM8Sa1NEGIQ+B8Mtl5Sbb2ruvOhTO1/v0/H/lydJnOEndUfx9tJ1T97pc4oknct2WAmi8mNV3wvfO3EARi7ZLIojFEWHhQXwQLObFRyR2bXfO9jja+SyBiG+YlwOL +[+] Bogus NAT_access_msg: no_colon_2_-1, SPA packet: +OJkcVjf/we/j2hlCv8HBrM9FsOephb4gmfwcl1tcEDLwIOWIOCMu05oL0ctjVUlS1pdqXId1lrVVDp+hLOEnw9LOIY8VrmJY1US3Yua7lzNnFOjyuV/naXfY9utvP80SZh5xgpn52FGHrXzVPXQHXG3tH2ffYVbo +[+] Bogus NAT_access_msg: no_colon_2_1, SPA packet: 8cvi+gqmm96jpuU74c/ImTghFDQFi92c8kBl+0Vk4aren+lhG1IPzFZuQZb8RQ6vwt2LrWMvTHnBri1q9U6DsNqR4XSrTgFQ4S5QMlaN00NcDxfeNkRZsAJfq3W1wvOK2peH1TCyk+u/dS2k66XEqzPYpna1BNLrI +[+] Bogus NAT_access_msg: no_colon_2_A, SPA packet: 8dXUArgpGs4H93ezfa2B9DTl09ZTbAUwKTfS0BlB0QAzVGrXqo4kgRvPuWgEEUE74Et/8hxNJGkFHwQbr0+cj2oBSskHEp7kWHqJlbRu6lJgMOJaro/jPEvm0V1c7gFTNe+rWSy7KjWTqjDuyMAKezcwNhu3i/wRk +[+] Bogus NAT_access_msg: no_colon_2_0, SPA packet: 83vAB6SB+12kvc7f4xUbDCSADoLEeHBpVvGXrezuuMyn6j60VhjmvV0yN9BAcvKjMUmpAcXRlqFwcXIGN5OxTvQArX88lo51VggMNxXkMdAtBKxFtQSXdnBj6+ISuuaDY8FuzkLaeXHwyV/zKwQWfxiNVeaj3Xm2Y +[+] Bogus NAT_access_msg: no_colon_2_AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: 9vBrVIXkoD7u24HI+N4g1Ar3FMXuBI9Q/95CuMhlvbwy4QubTq0cotE/8GglMB61DePvFc5swNnwhC3v9bmqQhr53Ld5FEKI62/MfzRUkcv5yNKXuWtf+qdIiwJhsLbKzkMO3bN2ur9xfLRmxx+UGKfK23r7zCTBI888Xyw0z+4fbAF0PYLOVpPiFpQIEFaMGkUaV0cX2Ytm6y6bah0KZGceKzewyLyxy1sUFPa3tM8K5J85plXhSeZE7OFgtW8m6Iock4TZZ+wm2pE42RWB1tWUVra/iwYD6wYZ565JMq5QxkAlQQkjffID614Jscs9hqhMDuwkgwF71B355esUyevLsxHGTWIx2/L2Krtjd/wfTAWfRjN8cL+OOsFngevjdRMf5tC2/CV1F5hOTcnF93YEGqzHKyvwHPiLJU5t5dRm7/11LK6xHsFCGBG6vC8uboPEkn/pprvgXX8M4BDRE1nrwJbUmSXVhmuNQNtBk/GMvH2700udn5hJkDYXdu2Fgz6XTCwV0XIjUSG3p365XitvpWd8NWuUEhkMa2o3jZ+1RKegn9zJyWy9uHZQaAjZt81csVzsiqBmatybiS1deHVuuEbntPc3OfHYO1gDCw6BqPq0dGAOxcrEr+vjL+BPN+o5v+45HL244Rz01xjl7ntp4V/wdidk79Q1cW7lxezvetqPTy70u0aBGbQs2GhR5y5BtV9d00ZiTdPElEImj38sWJedKb5Bjy/3KDTzjkiF/zVn9vT3XPIvGlxBVHwhnrZZT/gwHW8ElsyIILdh1kuq8IEKjI0I9OAYU54BS540V9XbVPaCctF6cHOwtFks2HkSMK2uZPCeVedIJAVr+1usWVqitJISGOYfUtqjch4kKxqcXwQ92T6pULuIgCUtpgZgiBIXlr7/c5oqJyQO3dxw+eALbHbkiUMR4NNee8P67w4IxrqlM+qTw/Ub/VIy+dAPShECdXX+sHxZ/HOySaVrgEAaBmWYzeE6H13gfJDOLYtLe1zg0FjhnqV7Hq2NvSzMYKs2Sb6im3NXaO9KeO3sTwPSp3Y3blHOQwlVj6ekkxiCtBVUCoUSjPiVQgLbCXNLSDonQHUN3D+Vp6VXTIXECbPvY8ZpBaYHI0YbMDm03PDX4TB3v67dBmOrhFbzQt26CUm7GrH/8bbAWEDaSbAupSm4NM3ztgail3XYhXZO15CY1GoYFa1lYYoxjhMbJRJLUhy+JDIDO9ujsZ3oFNzV+Xw+oGMFnQS6kLSRGuwrzzQE9K5ZQZiU+3lj8dKgyJxb+AJ2RwwXOliA9/Cruz9Que6Yd8LyB3Hdk/8FI+VI6PbaFWa76bvyuM/p04s0X1OZZedCnjoEvLUogfjzbUaktO20S+jDzZnZHY/2Zlx06LY6TEm0PNIrGXG1rHKsHKVMEvVz9TQzqEfU18awHhNUn2itLvZ1k +[+] Bogus NAT_access_msg: no_colon_2_////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: /mCQaZhWnXbMpdozA4LSkERqO/G8yxBoAM2iVCp34RXdSuiH3L9dT4c9k+p2KenQCV55b5E3Tk4RCEIUDt9OaFZmjW6mospsOPAUFkS0EvkWL8Fi3GS5b8FoL9SMBGY3JLFEf88MBAEe4VXb+lNjUPo0LTPmHZk+eGdeFAxOAIOHsFaxi3SOvaExFhFDUUmd4WPFTa1xksn0C9Hswj3xUS1M4QrhG7osZN304KqrR+tL2GWU9bNVZYg4cEBmqbKiuDC90LNQuAtgeTiORvGuAScYMT3APqQQYwgsmQi+IUWGQScfnBKEGiO/l1lzpcg79KQVUV8Jz304iLFkPKwawe+mpivH6QA5sCKP5R0pV7OI/LcftLSQFBWsMgVNEYPW5IrjkU5OGR6qc9CAR3kHA/5OY2hvBjvRb2rJq7D7+lR7H1MQYk3RYYXFfNAKcksbJg2i2SbKyqY6RPdgGsUxD844avgYVlXUr+IEUEZx2VZaX+twpe/y0v0R+zkWJ1tfuK0EwHE1BOPsgdMkesDiCj+sN98k4RBhMVe9nxMetHBnDackT/MSov1/NYMN3QBLfaOcHK24Dt5D6QoNFlEVjfBBUMNXk+0GVC+AAYwX2NNhjP/AOtdwbmH3Eu3eIVfLCP/0KZMWe8jJ7B8pyEOTIDDTTMyTics8W3WOeZ4rsXPrXx3PngUjqD+3R7sRx1c0aA3jSvDN6psAwLjM6Y3I++bEddGX7pHzBTJ9SaeTT4ekl9CSylFRVdWYkO4GYDV3OGEgJTxBaGVxPP3UvLYZHZvqCSgNEYsPze0B6kO7SMvX2kKDVcCI+9vNWG5uXdFPwl8Slbjn+9glayw+fTEA2F1ruh/INBy+HmF1Ztf2XKeCxFexQw5iYSSzOEt8549hGqbuemGAO1edTIbGp5AI+RnK7qMOyiR7pWaJcE6yzG7Dn4zrJakKFRuw2wDucnbg58yCoUjqT5HCL65u4hN5NVIW88d10Ng1esuxFVIepQGJr+GgclM7CEUHHQeKwNywqEsj5LPMcv5UXsu9UOFrckDTJ115nG1oyBei7fTItjqZiTi9ROROiPg/PDDF2ag1gHk+AOJYZ4dCr070ogfQDLF4N5zC2cgHd3yv0jzvpyeG7EAsbABOUpKJs4LSgaR6/FYvxAc9irH5loMCW6y/FyL6qt2rR9vcz8Rz61/qKOkciFuD3Jc7kOHW6twJ8ovwG+xVJwYvw3hwHhUColVrNrYGDpt4Q6FH4l2CM/O80sw/hkVE0UnOG4uTtgoABCRdy2vlq4K0J1uSWpHgrpipBLmJNp9mlQbg6PbQ9vapxcagJwy7wsNek7k3nzPTCAZhiye7VzOoxwdOnQPeV2fRxDLoaDuR2w0Q6T3mccAjcpn4HC344JmqfiIGM6+l9U/BZ5jiroR6YX9C+iB4EHA4rR0ySeKJwujZU +[+] Bogus NAT_access_msg: no_colon_2_%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%, SPA packet: 9XIqkpek4xNOy9fPtGv+DhJx/pukYkl1DPl/a0irVFm1+/muGmSIjWqZ3TVUWA6SXSfdr7oGzLIySyaMz7Vw/zyJpIcbZ4TQgmUWlaJNCsDqSraRSzp9qOqpKVyxGn/S7HRBCeZKiozV/37ce7r5vbBwzv88H5ItTAPuAn53/iYgQq8GihIJqnOztdkhXzo+XX880o7/YiosQ/BN4fGgRhIz2kmJS6bH6YtXYwVsgyRap/x/l4TgK1TTdOMhgw3d/quRwz7F8j8mAkz3TvUz0+jnPU67dC1ApZSVCUg4ZUeGqCzxsxFvDbEYD6157lSJ7XYgDo/u2aet1+coLS/zigdrQWWX7/DBEao2z3vbr1o/AC3ts2r2asdF/fBsbL40oE48Gamc5ZlwkbHU4VSx03GcWS6cDFBlpSXC2GDOSHh3T0o/eXWt79Ai5C3VLqXDzNonpQplj0z3yiWPHHwNuzAVs80OPwzMzcyXq4ur8K/Nbv3IF3S8FKMZ7Qdn1KV65SG1kTozUTFcIeH7XaUCKcnhI5Ib4r1n74mRKYyG0cVrpE9+sy//v001tu8eDcPWopSxsDIx0pLjNA/1DzZe50nAADLSSwAgaOornChM0L8IsvU9Cqo6mJZIJdRoTPsr15x6QDN3It5kE6+dJzIumV4+amb1/jhuk2ULUAeOweFTr+q+t2iTaboC2uDBTTrRnokNKSAtWi47B5glfiCmaLjeIVmR1piOQ1PijPZQjtW7ca1sgg/VnwwBFaRHUXHXxr0m0daKsSxMtqPFInnW1WGyMMPPGqD/GPt7ecEyRU0c73HGpFhPyeQJU6eWyChuFRW1BicYrBiURnhVCHFgr0M4Q01zP5XI7Ku+pgahJ+h36YViMaohSwLVuxmLzKBvdlSFpatNzuvCAU4lJBU5/79NdGFUa1DpRy9RZvGy90f7VL6Uc5ob8nTSBep04U1WY49HtrYbmiasIDcy+ep6EawvZ5f2923QUBpOzAeNuxdzAliCZ1WIv6OJ6VFnA4SyWfoUzktymp+4ft0s2jr38ke8vPiSDtXgIfW7Lk3j2eSBMPY1y3643NUcITmQpZ/9y4ljt/1wzHFNqp+vxzaWPENr/PeomZvTYq0s5WCfHZks+SAOnooNotUDEVcGki5JhXWP+gYqf3B/30yqhid41OygGsjHoFpn6DDIv+ckvkk0nuF3qG79oOjExWHMtdNefIL7FeqvgGk2SQtxzsKNu5TIDZ7GRsBysnza85uCgGBnOK36yqj1/5lTKbKEBnVK2PNa3AuYYm95O8ZCsV5dQx2rFarVksPbZiYZPHL0WWa3ivUW+odLR/IKkislnHSuvojh1rN1Cvia7ax3CJo3vfF5dP9hWDZrctR/jsaYnB7H9RCFe/Ec8lFwNzY6X/7DWfevhyJttLor0wZVXKfFIkS44selPOp10 +[+] Bogus NAT_access_msg: no_colon_2_::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::, SPA packet: 9sI8QnqndLNk+8Anvoj9rGJqLjPy+V05O2HC9276ECo3Mu98aDhUSDeDccuKv9uQg1BsHM+6JEK7aOSb7z1LLJdNbzX7YxP3OFWw/sX8XELSiZ2IGzUovgf+dlWnZPK8I9AAdlr2E5IGeM37uPWz3sRud1Cp3L1aDpvvPM5u890NibVIwjsPOXeK5zFPHagoAhBRkRUt/d/fStFJsiHRxuLNEJ2vE8Ja1Pg5wvNLtI59B45HDB5lpJ4fONaxxJaqT00OVZ8i1y0sD+qTxL21zEzxDnhBfsd3BegMJ5m9CG8YH11m3LjOX4ro5WzX83v28LsCE5AiijYt4+zK5N4grcMQI+8PsLUTvkRuB09du2j/TcJv1TrP3nQsK5Wk3BV5HtD9Fsr4l0+4q6GT2pTW/DLoXZwRGkUGsaIP56qzOqYIXb821LR6SmARcA68oLxV/vaL7NeX6OXC2O2GJb1RZK7Lc/s+Hs80bd4uM4hgfRnjQEGqMluT6QmzIKtR/8by/zxru70fhpg63DpNoRFcp6e5JcKEbixYkMlz6OMz0Trc/wsYmh6uap4M6c3mHF46E5aveMxDQqErdikgdiHKlYn5F6ZqaotKzvqLNB/0H0JY1LpPyp4vqsy5ASxe/NNNcKXGb/hLxwIwCSLBp2xMdg0bU86m6naQGyzjLtu/WUrRBNUP3+U+PEPCcSnw6MyY++/0VG8paJJYUgiGZepsqBer6WoX2ZNqBku2Z4I3Q3yLFQzh0Vv9wM88tA0eaUAtPVCCRvlShxrWQAylPRIx404G8D4TVIfHVOsYpy0nGNBmZ2n6ftJt2IZdG3hElWdaupJxeEFD5nHfrQYhEh2gFTHFJc5UHr0uSDRPnw8UL+xm2iMM8oRadDWi/iK2975hb6IbSHqq7o5Hbc6qf0fYgOZeFvCXH/NHSyJwuSz/ulCo2UT9lRSh4JAQfh0mRhM4NC7sqomhtlhzMRvv+R0KKMLE4NJBRCzTM2yqDqQ0VP7fDknrgZsOt7ApXVzfYnkbVzVQ5TCMkrZLcgJGXXJV1oG1ub1liBOJLEihw1yCF7k3YZ33X/U46eta0HLX09oytxH1mj7jq9L0xnn3aN5VTiAInG9mA4UxL+usgVRI1xBM2pbNp7HFpW6BjhMETAGzcLQpUFf4MjQHcG0T2ev9gsMgXRnOBhzMNV66MUVbfs8hFjz31EzH2Fmyhg6uuN+c2Kpwwz9X27Mk2X+MQmwQil0ECoM/xGkujqHmshswvHpP++D76e/rwzsXfiBcBtS4uRJbShf7ngiDfVWP5ityH4JJFYUWIgdSUV7ReRZmHRX4RJKGiiH7ASxzh4v4EG/aQCNj0zjGNDPHx8wN1hg+l8ufWA86jyZF16uouQwmJICA5JjXvqAsvHuL0gyBIjSbkVyuhB8BMPWDkphMn1i66rXnSFBZ0lMWA +[+] Bogus NAT_access_msg: no_colon_2_(NA), SPA packet: (NULL) +[+] Bogus NAT_access_msg: no_colon_2_1.1.1.p/12345, SPA packet: /PIO5pYVhdKbYwy4gPKmqXvq+o5OnZBB8VdyY4l61GajGpYtxQe7GQZWm4nj1rXyEf24fTitteGpdQxcUgQDttaPNuxT37WR6dNn0WQLEKueRcelGrChXt0DnR0lpePAs7flVS7GJ0KFhSI3txPhZtDAXDYQ37Ik5SBA4c6kBERwqJSeuqp+yz +[+] Bogus NAT_access_msg: no_colon_2_1.1.1.2,,,,12345, SPA packet: +b2ZjyabXG5HtHSSE4po+hXVl5HBkHp+LZyYXS7I6sH/lEBjtzxCAWZ0EwI98EGAOYkOD6HMid/dOw+yWNimcR/JtekHIlCt2HyVukOguBH+jztqnWnDtx3fM5p32NJuM4LLoNlMxodcYheX77K1whN11LJLMQuihV391UDVhJ3N6dAfTGEPkb +[+] Bogus NAT_access_msg: no_colon_2_1.1.1.2,icmp/123, SPA packet: 8PEsSqj0RjxyWHmGgItv5KEr8WaLirEJCIHzFmFpyvn4bnlOjzK9vmNUOEK4pFjBaIgdEUKWyceNnZkpFDkbc98NIXbtrqb5p+WOsfvHikPmPtU0Qjbk7gz83ZP51RtIYPW5tjPZQgGL2Nj0wjktezjPenEfCHCO0mPf/DDgpPxS6CA7a0EbLu +[+] Bogus NAT_access_msg: no_colon_2_,,,, SPA packet: +HZrjPNUJakUu0LvtqOP7f1FXr4FVb0M2dEovOyNqGbMqbp8QmBOaf6rPrE3er1Tgjlvjg8bN9T1NbjrdUHoqutJsEwa5INQZ5iqFvVPZDMt4np9+r0kSd0hWpxAlqmmBpcGt9iC47yB+liZi8ktSZ+x3iVO0XRAo +[+] Bogus NAT_access_msg: no_colon_2_----, SPA packet: /YuS9jxpHOMG8yXMxMJHAXOdMWtRZcMFpipy2YBCI+ktfZeXj/b4jska2b58TPCVp6VEtlUaRJg2xwItZZ5MzoqsXlLeo2sy0x2OJHlYJP7ZT4eT2XjZSK3i47Xr7Qg+va5oQhT7jflAf5+3TZ5rAdfXd5C0rkFwA +[+] Bogus NAT_access_msg: no_colon_2_1.3.4.5.5, SPA packet: +jv6+XZkHeERqGGxjtXv5g9ZCNz/wP0F40o8XNzcoB8XhEGwzuUX/m2ouyllYF0BAKM8FFL4G+yPpQ6y1d+X76PUpnR7WE6joVHSn4UyGDJGyyExNjGaIEo9RQA1FnXWCn1sczgleoS5nr630lWzhNps+qPQm4MOTvyZfCcT/UFZGUxXKwnX0M +[+] Bogus NAT_access_msg: no_colon_2_1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: 8MCG/0XD1BtZP1aktb3YO4+1cvKed1A2egUF2UHOQvHXSC34KHRyOne5EC+xpcW5oqoAxFZlHKwTw92tyadsjGRYW3byFtQh0AP1gWXBuQW/ArWc6i1wERBAPx8nQhz40StQ0wkzIIkGjjuucXZxnNtgzR03BgVzlucf5o+7aw3OokLCXaSX6h72fa4848Korr6Vf1PMu/6t+HhAVupVyBfxEGasNzZmCSXLUXycQFDO2ODoZ1rGcD1vd09eDAkjLrCHein4LiVPkKNeNC9mtfhyaiFZH6TZ8H+RC1/kQb3q8tcJiW70nNdyNFUGqincEFi47J1o7ldBxJa8CcnoEUmaPcBc4Wz/w +[+] Bogus NAT_access_msg: no_colon_2_1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////22, SPA packet: 8O/4O5h55/ZhKZPlcn+4G6mgeP7UHpOkNh8VN6nJ3l5QdvJQbBCzkooVWpiTdNbkS2gcntoid6oSkcvjVWcjGWKW+13DdrXDzwvLVBkhAnl0GZlfrv4OsvuEKCQYQsCwH6qC/zhN2QqO6CiIA1+GuoUJS1Iz2zvUZfIKRCWDuxU2HVtlsm5Lud48ncI3G2e2HswQiWBxaqqpkM5OFIx6cVBgSYWjPRyY6Fc6HWieUpg9HgmL6pFNnLjH4t1OuunpEAr9w26MoH/fQKGK/m2JVrDyjevzYhyYeJiYhiXv0giJMOxQ6l06t/vdBsvAA2nd916vhMjQIKaYUnIWpZHsvuZB4fDFSF9ao +[+] Bogus NAT_access_msg: no_colon_2_1.2.3.4,rcp/22, SPA packet: /G83nREOkxssgWO6XYvInOhg2s86M5QJhkVPVq2x81PdT9wXzpDBwKCMTM9ABLrvAuqMt70j9hEJXTHG/58SKv64E/opqh2SxaKWTtOCAl+AnBBdpMEcIMUz1307tf2Mox/r4CyALwjTJoVBXzFzg2qffpgDBY+p1ko3n3VNEIH0A2nfnGC8ac +[+] Bogus NAT_access_msg: no_colon_2_1.2.3.4,udp/-1, SPA packet: 9IHVaix7y8YHIodyqU2OtY7ZwHGVKAes2X7yYzanS4jReASSDARYbnMbsC3GOTCl94TmVR37PdYhkfhr6HAa2fuSgR8KxzOHKjjaK+qoN8G05EaQp/qqgm3/ERI5hccS3XS0FbBmm4RhnLYqlG7VUHgQvuMFXfeCUB6jsYazo7XMBsCQ7brmzM +[+] Bogus NAT_access_msg: no_colon_2_1.2.3.4,tcp/-1, SPA packet: 9oQKm0jpsrWCJPzBlaz05hDAeEqZDkqMiA/VIwVZIAMpYWFdjD4eMPxZaRvIJNqR0wd9juoQov3oIT4UNd9Ia41/nsmetokD1K+Ogm/zR6KwQhXjcs1eomcB8YxWRaJcCLYqJXwncu36CgXAIm8mxCnx960b+ZcpL2BiFj7QFT9FPU63hBpiJo +[+] Bogus NAT_access_msg: no_colon_2_1.2.3.4,icmp/-1, SPA packet: 9Y6CKHvh191Re4WqTBAWe45SFhAG7x/Sh6Ng+UcD088DYxcw6rbIOkhCMBiNTWiKMxP89Gb5bhNejTdHmjvOnO9/EEFUkQzAdpEn8B0XA9hHnMU+eZuiN/v+R/Zj0sWc2nsM6qusxXR3HOi+f1d+iVj0grqKXLGWoA/WE3W8+TcSuenUo65pgv +[+] Bogus NAT_access_msg: no_colon_2_1.2.3(NA).4,tcp/22, SPA packet: /YK3qADk/kEYQHGtmB6dpoFZiNNGvGvqLMNyYJtkUyFDBmwqGM6gWF6dSWK5/ZzFpHWNFJnskWTXOYTauFqqu8NlWqdIOe1/UMsBnFoyMW5FxNdeXFJ8gI07RGrxthU9RbQ3QA+aqNGbO92tO3gBt5UQH8vBy4gh3pnC7K2Bp/0arpi52SEjIN +[+] Bogus NAT_access_msg: no_colon_2_1.2.3.(NA)4,tcp/22, SPA packet: //I6SjB6JAlmJPvJzgyfbGAShM7wEAWtcW49DXFbPDZoLOfRdn+cERtmJvYyPmPJrkThFTE+260TFOxc+yr3I1lRlnewWRF0WXtBUel+wu58P5x9xGqmwGkiN6qLIc7wvjB0MW2e8sQAIcXA0vDxj19LsxiKz4Pgm1rbjTSdQvcWk94km0xwhS +[+] Bogus NAT_access_msg: no_colon_2_1.2.3.4(NA),tcp/22, SPA packet: +//BMZmic++LKqJiHV/Td8mtCjmGxoSVkZbLPGtBZh/pfpOSy+7XGgKSVpQQAqGc1xWMFlIrIcsPDB0m79b7IfyrxtYeLSH7I1AzoklVL0KeyRhIhbj9EiIM+DaC90yH9QdcLFvbkiv255FntUuoEix+rzV/YUxJLGDUNDriCQG6/ypMCssU+Q +[+] Bogus NAT_access_msg: no_colon_2_1.2.3.4,(NA)tcp/22, SPA packet: 8zdDa8v44iiewNTB1Vm0RWwZcdzg+43Xr+GWyBHp9Z7WbYyU+Mxkf0KWISkAU15DuHN1QO1MlYVZQtQs9ARjm9DFgxz6OArXlilEqeg9ivzqRdQ8iMEmBEHCvBx71jJGIEow5SblrJHlqjnLH7Er1HSS4GytOsJRAbmy1RKnt0cKWzcUPmzG4u +[+] Bogus NAT_access_msg: no_colon_2_1.2.3.4,t(NA)cp/22, SPA packet: 8IT2DvBurqvzalG8cOyu6kZ7602Ou+FQQFY3VCSxIJHQQwTAQlwJXgGXAkleRu+Lx3OvTIst1CxfCkIxelN4DgmPeeHg1J1fEWhl5fe+T6OK4WLT21wivgtpvC/YuwaHZ+5KLKbQk0fGDIMGLzHkIHG8/SWIok3yVFK64Ibf/FBTNmXgcGHA3S +[+] Bogus NAT_access_msg: no_colon_2_1.2.3.4,tc(NA)p/22, SPA packet: /3DJMdeUtXLwVowzZTCuDth+yx8g7+u8iYIW1eh12w1UXAb+HJilJ+Y6C/7nsWB8CTxQeVwFhD/wsH+Ze3ZCnFJzYiRp0FG9JrQAy0zmrAkjE2gl+g9A9JHGwdyThOiXM4AFZmCdcqK8IZrfFEFqgf4tzZFdp7bFpN+P24ZtDWnHJZ5wvuN5y+ +[+] Bogus NAT_access_msg: no_colon_2_1.2.3.4,tcp(NA)/22, SPA packet: /PB5Co2SdcFq8YrXDNkDnd0FhC+uV/G/Qo4MSitNnwMVNJ0g3fFL/nMux7raQHgXP+yYJw1GdaNrZYC9O1J7NqILfRWHGmU7oZMzFI8csU6Muk63wLO5Ix0hh4B3gzuhR2w0kCcRhPFVOYofDMCH6KJQVxrMP6csj+yJ9SLUkIxHQsoHQ4NMzz +[+] Bogus NAT_access_msg: no_colon_2_1.2.3.4,tcp/(NA)22, SPA packet: +fZncXLH1Tg3ik3cfeNfFfbmVT6UvCUipX1onqeyRrOeuivRCYlRJBZX/WiidTuQ5qcjYVi6jTwxhHCWyzrVRrkhaeooUui3Xa7a0n+1lWt/9+9cCl+VGxnxXUnry/GA2nM2uhLsj/W0AspB9URzDpDeZXFexXfK41SSog4nZ2T9tP1uYstLgM +[+] Bogus NAT_access_msg: no_colon_2_123.123.123(NA).123,tcp/22, SPA packet: 8xT73aoRwP+rBYRb05SO7+WvK669ZjdNess2lM+R6n9eVNGVatSgZTwL6hg7kRpk43WpEHCgv9Lg59dTTupUJ/SSrXaewRvB8u+vRMtGeXZbwgCJN/zXJNJnDNUpZsUfm2yKjN0QK2K+BVPSCZ/v/aOIgMD9dcF4jsFpcBB4Se/006VRK6W94g +[+] Bogus NAT_access_msg: no_colon_2_123.123.123.(NA)123,tcp/22, SPA packet: 8v9TX60NasvrRoWob9BhAnB6WF+mnkfnAulO8JLf61IrVNkxWXPef5LyCBW8vbSWiKytfLUJFxKYE2FZWvz8Ym+M5JbXCAlE+JHCnqfUiWPGH4weGVsc16K1WrDeFUZYko5WhoHQLWLOjAulonn24/WNGMT4TQhchbyWjFWnhcrtTX2iXE1xYM +[+] Bogus NAT_access_msg: no_colon_2_123.123.123.1(NA)23,tcp/22, SPA packet: 8S9T+3PT3zc/VoevuHIv5ECOZyZVNTi8Fh61uOMbzfSmrxBastvcoJZR5pTlSWhqGxMLZN1vRKsAy52+u1ZlDUcK9G2kWYY5xPAYAhADvq4ducsYedLVKlS03qPoDChKQeG5hFhtN17PctrRWF3E043LXnSdRTRvPr6E+/SuRTr7stw4xM4bHz +[+] Bogus NAT_access_msg: no_colon_2_123.123.123.12(NA)3,tcp/22, SPA packet: /y6rEzytpWI5LADVBlWP0QS1jwmfFRF7KMThMlFi3k46th/bu/g9voRLg3w56n6ws8+YcWffjmVLS3TgHNFkqNFhPVxtK/APsEX9bWQWATN/7U7hViXLT3ryLndA889l8sF3ESWQjHaVBWXqO2RIILiaVmfrlN19fmQ2QqHdyV6PvxQdn6re3I +[+] Bogus NAT_access_msg: no_colon_2_123.123.123.123(NA),tcp/22, SPA packet: 8dqhC4rW+UF36udTUMxV6nIZiJhGBZDGcjE9nfOT0S8XhAIjUXgD8pdBA6wgFscJ4bRq+m8cnQVEAV6PlepPpmHete4J+1KAAAMSrIgV1pSVx5rlHNUtYKqQaQAyRRCUwfqb+2FgYITRtPf/bQQ3ObCNqefrf39lqDt3qs78BOLGJHrb1BTs0q +[+] Bogus NAT_access_msg: no_colon_2_123.123.123.123,(NA)tcp/22, SPA packet: 9ikbvOS2CJx3lPftq9GdW8VDIrTM6pvB1xtsD3ziYnhivjRazSBibkOZ3aABJ2+FfUvNIOEeSMqB81yd61pN5ZDfmTVSUVkhnjZ1AcoHDOeYDptkjHUmaD71oSlZvjbQOddn7rV2n9+jialaa1hDDI9ksNH9/LGXpxbmssO1QkTkVWiyLRzcTF +[+] Bogus NAT_access_msg: no_colon_2_123.123.123.123,t(NA)cp/22, SPA packet: 8KaNxX2S0iLkW83uV13TkVQY/lObwj2ZFDdBWYBFPxSFwCEdLL2KhHfcbggzValO6OCCt78CLWXEgN4lVMG8se2lA5hUJ0jZsGBmiOWT/75A6qMJ2WsYchxNQWAz3OKdOkDHfLDuEGALVl/A6n50Kd3odqFpfg31mR7hRiV0yjxJcJP7PzSKPQ62azcyklp6u4yHJPCsF4dA +[+] Bogus NAT_access_msg: no_colon_2_123.123.123.123,tc(NA)p/22, SPA packet: 8NjNPEWwfGwAM9bj5d7Bs7D5B4TUOnnr1RymiunqODnsR3rhWXLTDhJQghfVU4NKbZO9p7YSkhMfXlSfWIEJ7ASRLxGMUf48SOF4MrykgfKpWRApJkUI38X8u+Nl5l1Tz6uC5Py1zbupHHysYJrFOAAppk5giRj3/74cU0tDLF4tp+L3Wh8zNpBzGN8dXqF2pCbvVTyy7edQ +[+] Bogus NAT_access_msg: no_colon_2_123.123.123.123,tcp(NA)/22, SPA packet: 8sSiDMAHmaVHXXJNi4cctSI1K8aczgcgDVOoCajhKm4YhGRsCDOm3dwLcUfLxYpzHTGQpHdPxOI3B+okHJeGe/4ZKT73pAy3U4JjaB5hBEOFGsgnEv6sDeirfld73go0+jlRf+3W0we9/BqMCv3nntGc5RLcYhLCoTMpyDvsd8RgTGZiYttm5DatIFV8Zh/di0cT0azIP3mQ +[+] Bogus NAT_access_msg: no_colon_2_123.123.123.123,tcp/(NA)22, SPA packet: +Je9u5VtCNOPYpOo+OJi6ZZZZbOKMqwOg+yUhsXQGp2FCP60/96rII5hfEhW4sCLw9uiqeZS55WkmznxSwh/pfEnuj4Jxw//6i5rxc9iIRs4tVDURJ+VZ5duP/fPq7ggF8A9UQhFcPqjJfUPzhNI9IJY0s6nFvTIvMVM1W5qnOmb4Vwbf1TmiLmW1iBVnRUnzVAISSI60ZpQ +[+] Bogus NAT_access_msg: no_colon_2_1.2.3.4,t(NA)cp/22, SPA packet: 8TiVy2fD9lCLOQMB4hQRZ5827SGFgQoAx2VkR/FOpBa6j4fSegf8XpYEjibLaNQy8H5cJyX6XOq9zjvFVfGbxY7gQrZe/hlDwRocVKhRITDzTLRVAeIyqxgmH2PH0idunIoK0RN6IUtSIG6X+maBirP1AC+4TaNRskcIpXGj8CikZX41vJ7JoD +[+] Bogus NAT_access_msg: no_colon_2_1.1.1.1,udp/1,tap/1,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: 8N9hiOJVxbI/Byb+STJPlG1VcVS32qqXzPTlo78gcT7+5k+T6A7Y91BGQoVHRUGLI3P+Hj9ESeHk1rJvMlI8K4mtzP7Mvy+f3sRfp6kewntoYQuM5dV99Z7w6wZV8o5OdK2m9/hEqlYAOzeDlPQ/pBj+udr03n5TI/UPH7PvnI32G786K1hybUpFEnSZ44mJvEXwPrnSzcFesSDS+DODrCMBgkaegUaGw5jhfTMmalpMFbuBssBFCK +[+] Bogus NAT_access_msg: no_colon_2_1.1.1.1,udp/1,tcp/-11,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: 8Mhvi2vj7E6rFByrYTTCw0VCjep9xl8yn3m7bLGPIM8/E76cdIQB8WN1N3NbkrLgL0tIW4u0o7Wkk70WtFpo3yXo61c8xoJv/kSPVhkR10joYvPZyp8IWuVC4KireEgTC9zbdATOaRjN8O4zzgF9eLOmTwNqaKLF6tp9wFrpUh1skv8jFnX65wUhEDY3jILdbMfu8k+TtesHhIov5U6oMaQLXvufRtK1J9VLXzUoWjXrlEa4u6Hb47 +[+] Bogus NAT_access_msg: no_colon_2_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp/12345, SPA packet: /QUIqevEMvCUOPjVD9d0vjnlVTVwXB15qTEzET8oG9kn7cxo9fBOoREiODbKJ6Zu1+WVkrVwJdGwDXyDmXwJZSac89nfmUrkjNNXo0bGg4yiTyqqxOPLiJvK3TR5j3VpsC0uxw+gdt+Ou0xB0Yxr5xPZEVrmRKP7Qc10FXs/xobNz97wADmtA7II8g/ghpvBPDgd7UxF/+8y9vf0uGAG+lsYTNygae4qtWnEHfIaTk5BhZ9AP9tb5e +[+] Bogus NAT_access_msg: no_colon_2_1.1.1.1,udp/1,tcp/1,tcp/2,udp/3,tcp/4,tcp////12345, SPA packet: +Ef21C2O5Y/4DRqimt9fBIoSTtmR1P3XEyuCjtxLKSeTEdV66M58t4S6tDGVUkQhv6M7x7PWpTWFg/h4rQxHXrokKLTlf/R8GcVeTHwYuH0ZoOk3bZYvvQwEC2M2zK8xB29X28toWfnqhvEjBeVcBllZdqyVjV6mY+p+CwtFcscmrfFU3SOF9/XMQX8WMMctWNs6m3zm52mEsu0KlIdOJbInY/Vl6ahycRllwub0m2WViujdRosoG8 +[+] Bogus NAT_access_msg: no_colon_2_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: 9blJuqy6zhyc+otG7cqvyFjX5JT715UeF3tl0pire5/tiB6QwJnzFnuK3OmdV9cynsLrkO+/RYJAKPIeMjHCycDNM6VUSF6q/JzgfjbGeSG41v/lWPaeLANUQ8WkRk+uRjrZvz8PhqYz9cfBS3ezTHYtuldEUMgfu/nwvjkH2yfm9ai5NsmhIYDCSDUp7mggF0AQ8UkSqc2F83kmXEu2HdeedM4py8q3/norObX9wtqAMmIowPCWc0 +[+] Bogus NAT_access_msg: no_colon_2_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: 8haH+rr9qLEVRDwJ/PwmgEGF/O4XG1WcuaX0O/gQ0Vn/wBN7iK06sDmBBoFC6f/Fyl7FMucjjVO9I8FYh4kU/MTMWPpo2yz/iIET8L459fI9t4Os11gTRp7HjEc+2FZOodCM0/4lJEWAH323R4VZkz/rMimxXlUJ78OoiAn1bh6xc3BwZ0OZrL2/lRiLApPWtrm5prUMhyqN2PDKpwmNHPrxIlOwpY4DGoB/4QPUmnJ4SjaCD7httI +[+] Bogus NAT_access_msg: no_colon_2_1.1.1.1,udp/1,tcp/1,tcp/2udp/3*tcp/4,tcp////12345, SPA packet: 8ec8rfoWPDCauEeoily64IYurQ/ca7AjEwYCwCvxsH7kYhBMYqjeAIf0wOePfdKqUI7myG3yrF5pBmOTZ46y+KKYR9UB6QRNUuLPE1r/T0h45Vgty3+kqSQAFaY8CvBtLip9g2uMSnY05NMdArcymY7Be+pe8MbttYnaIIiLkftYyLOZhv6Ydwt13OBndSenhJ+OS0aEWuuZ/FUr+FBx9V0fnE1LRL97aCjF/5fuT1uhppOC+SDwzA +[+] Bogus NAT_access_msg: no_colon_2_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcb/4,tcp////12345, SPA packet: 8rYL4Y26QpVzpxRYxi+zrigrAGgCapulCArw1V/cuI3gbMJB7rpNLEnyejFK7mOrv4D8cPhOVEtZXD3xjQIG86Ipsk+PFWO3qamKpuNR9C2PsijK3rf8gkn3SWBluQrBwILjtpfFqTBDwzDBthNaYB3PAU7m90fQ5BBDptdwwVxTDg6/bE2djsH0skh4xOCLo+szRfIHNazuM8DmNeZlezrkcfnabMLAD8+lA5HwX5SJMlJA5ATCRV +[+] Bogus NAT_access_msg: no_colon_2_1.1.1.1,udp/1,tcp/1tcp/2udp/3,tcp/4,tcp////12345, SPA packet: 9SJ2VEXGovWTPSqTzieOAE0t7i/PkcyMgRAPsNIuY3LOYSNVoUttktok4oqoYA17CVaKbOcSW1cj+Sbue77pDVfceY0VVJr6JwLVIlRXjkwiA46iiQ0//lRwNt4skQV9sHxzIW/vzOXUxHK+W+Q/iWF2SfUkFxFTLy4vULyix8iTKgOWS6Kju4FsnUwll1v/UL10U6Yodj8qGKbkQlT8vhISNAxn5JcAZTZm1xyiJwSa4/Th052wYv +[+] Bogus NAT_access_msg: no_colon_2_123.123.123.123udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345////////////, SPA packet: 8HunSysFPIWRTi+sSVMIRo3SuCd457ihP5BM4CQIyV3vTPLMTvSUQbFxlrG5tmYyj8D1xIEshJix4UUdzTg1Jm+H2aw4AwJ8JKyx+yyG8yZ9bu17gXOhEmmceussGQ0+MmdEQzKQ69dzysif3H9t3EE4Fnm3X48xcs4sKO7CJklMFLbA0tSUNTbgN+TfTHbX/p0g3fedXBal6yQKNdxvt2FK9dgV49TpJYp4qN/bdKj5QoHhxkMDPs+1IcLilojCXOZx2PxT99rvCKX/hVzK6FiJvD6b8N2yQ +[+] Bogus cmd_msg: no_colon_2_-1, SPA packet: +xR2P0gGlK//+/Q8EDgr+WtMXmySUqV/cfw6HTEfV75ZH03EYrP/YsBVSWQOY46p5bZWbkRdax5PEhqrH8VtQHM6viF9403ZstRbONSIWan6X5cdkwKtl/Y0/yCFq7yjrIdITprzdgfg +[+] Bogus cmd_msg: no_colon_2_1, SPA packet: /lkllq+ytbOaZi40ZZTWjR9CRfnlYmoTq7SalqKvVxF3lNSQnOpq0o4LO4K6WJF3Kb/BV4RqkmTmPP7pZydtjCA8GirXUMpkS/fuCbFOjsGbwibwyg8PQBQRUlQZpTqw6uEAisLZqz2g +[+] Bogus cmd_msg: no_colon_2_A, SPA packet: 9REciqCUAC0iJc0kkDc87Dcbng8f3O1NjoRIaGC0JkId8IiF1AUhdFXnkBhq4sHCqgQb254j/bHSAfeKX7TSrlq/GFkt4R66pgVwTV49eJ61+L1ScZu5meOgzgsglHH7B6k5a9/2vs6Q +[+] Bogus cmd_msg: no_colon_2_0, SPA packet: 9QwmZ0zfix0OeRm4nP3BHZtVV8EXhgAjXQSrps4mGR5ofcQF+29in1cbur7jcz3Vp16P7h8Q8R7cLDzjlUAcaf4zRi+K07aIVdtAh7ZUkFhVd3VSTCD3NhnW/vW6PcZJ6JJG8nMQ1Crw +[+] Bogus cmd_msg: no_colon_2_AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: 8cCc1WQ+QiSa8W7j71QBwdyvIhplPh6plcwjtHdpQAPmlMDym1RVmm5xy5/5jIp5Nh5w5gYrNNtS/4TUc9aR7OXeG2aToamYWusd4aMKnZa+QbRk7Njjt+qfwfDWYpmXO6SENwxXk5zIv+FaSD1SwgHVjvXzjmgoVdVFfrfTUbhPtlNVAGkZoVMutGDpqG0QSAzEYxDqBeqW5X9OwRCpgHK4wTD6TiCRqPMcabXCMUw4IBnufKaCBusgLtGvNWKBXEpg4Qt5uTnVJMDTgOZGIhgg06btM3ClHBPuhOC94DPF8FGvexbOpFn5fs7jWyyroR03Ynv+fmCqke8RivPSsPkNpaydUz8uG5xiaKRenPnuIaLjsMkcQ7jSEnFYSGOYZknHfnC7uItVsuVKxJZWMDKQQKtfrkEv6PPrPrK4bcZPalOmKvLZFVceJPbTWjaf/eRz06MKMnWOukSxqzqtM01wd9BFtpr3GLDtGQn6JggGSXzqUvmaVtOF3uUgNXo03FV/+e2oqy9ipvBaROKsSWMqB7lLwP7QrRQgAb4t9KkobOhWlMU6DOnj9XVcaKKjSKiP2IDR9O50/kUWwrw6qDWtzNSx9TViVa8CG3tE2fmZOopzyxxoaiH0U0JzYNfNmRVXcgvWuNpRXZ5KOz0lwEes2m1t0/gisaiIJEKWtaxtjeXo/s1MfrqXcQn6Z31TWkKNpTwyWh+JXCoZDW7PXk2Zxq3Cj1NFAkV0cVyj5wGy3+6BlVzrNur9RL2W5ABUL8fck/4l9gqNKALEomS6jxV5aTyShVvxJPsR7S+iS9GO9TVU5ZS4z7tMhT/2ZjqRmSizaQuBvq3VcnfKUTve6hewoV/QC76eYCEffRTimZ3r2J/K3MfDBHH4BQUsXIhBh9b24Puq85X5yJZb6dYXOlDgDn6oZg9cBsHAxdKNFT/1PF899sgfcGVyrJr9p5T58JKleV56jIbA9YDh3707latUVIjcVu0b48HUwfJxIJKDBXHvOMNSPvixFmsT5Ssd+nqz40k+jJceDhWI+LNaW8RM98dwC1usOg+dQj9gAEZTmtJEGGs5LYbgZN+Lhz/2Wubt3VfXKE62CdceiliyJre9BgUDDoq3jp2ZosHJZ5uhE8xMFO+qnjO5113HrPsVaNYilYLTr06uTQD3rDU8gV0JCdPkXj8hcqlq8ZzmjOBAf/N1m9+6JcoYTV7knkQInWFkDV+vnbeuIyRIKDX1ib49z22xTV87S1UZS7LFV4ix4g2M6oPHy9k4eA0joX/Sud7KOBNdjS+MDR2ggFf2ePEtgbHTyPSN8TmHYpRS5belN+qYVPWqdPDTjcK6hdYIm5ER5KkTKe1V2ek/66cI6s56QMR558b2gD3t0Uj41td3J9L+hf9EMzOvAPiKCun+Dya6SNnFY2tR/QTX1IyonGB/MuVFHIj+o +[+] Bogus cmd_msg: no_colon_2_////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: 9Ink3Hgghk/MkWVCOK0HkFZiZ7XuYrFC3E4DNDH83RX4RNcmtc5bRV09sTmRIxdlnAEcihqecFaks0uEWv6aurLtv52hCZGgXXYJ4iycDeYGcoZq+la9LGu6pVq1CLyU4T2lkt2OYA2sOeGaydQYtqrVhGU6/763Ds6n+/bSM9ZC9sPedoA2g/nHNY471eQ9c0uw4zTIqpGiyGxH3Pb/ea5jyNgr+NFdMYeN/FN4XYj3Zk8zgAZlqlg8RIGanwQVzl9tI9P9AP8DOlcoBU5DIqsF1miAdmnGnFtB3LFpEkXTuyY4wodGA2QLPnFVrw2C+BBKv4Kw9MLw69dVZdS6DSc/oG4bAbyfpQTz1yGrpA/TBx8Fmp6DhsEgON1lcFVS8ubjgnO5Co7YP8vDzVb6g3gUfrAHswzKav8C/hmggsa7w2lMwXGl1rCUfAeW0fYvhbJB7YhonnVjhQ0aF/Ux1fZtBO8K1YMYEgqOpKHt6NlumohweN7Acd83ohwRK2v63292IQ+Oyrv/83HPDVy7oPNBYlsBkeilCtONll7TE9yrX8Re+F4Ewp8SVxCJrm90YXe8iEZ8WW8we5/asHPJNSRQs3L3zCSBEJ4Apc5bnanlr0xjHcW66K//ARSVYvhX+DvTkDJkJX4wLnBvFHC4KqrGOomHH7vLpWBRUEkZvlxW6Fd0rUum0yLee9EoNA4ii7Aj6+ai1FiVxGCusXEeZXsRZuhP3KcbS+tyNzTjFQd1fkNPx5aUiur8s3YjTbmC8lAATmb+gGtEntI7jX5g+CEJtRRYC0a1nAVEHWihm3liH8xPvPaX2smvuv+I8R7MEgq6MIfyLKhT/bDd1+HV6oHZA5KXh27vkxqBp2cGZ17rkQAHu+U6Mak5wBzEQ07oNbuHG3JlsDdNzuE9e3DtHOs9xJXrfoKAEXzoU3U9r+zdRT1LBYpYgocWBO1GgLX4nsQzfH3HBXpqt7GsvxlKgZNgRL5jbzctL/YZPVlV88/iGig5bqvNpYmgnvV0V3giAvioGBIPxrEEl2cM2WHveSaS5Oc/8TX7JrCyeGvmt81mmQjeT5Y4pKhRZpDk64uXmSs77QDxSFwV9fQRslSPNdQU6jYZlBfmpAql/LMKYm7cED1BNJhemVLjAiUsCAWm4SiWsxoizO4v1d0PdDmA8Rl+Tw67c9+iSzHCzAUZuH8H9+QT0PCtUo/VAq59B6JcbXOSmrGS0flpeqy6vMUtrBZAJ83E6EUQ9CbuezsNcyqYw92nt0Ytlzy+9pXWEmDik/74DQmBAccR2d3q7NYytrZGzBw7BKtHy9fKLO0r5hDpFOaBjMRdACjc0188rfnK307ykuQpKA9Azxoaj2u7ACDkX+JT9YJaAplrKLNF2IeuF3pfQt4blwf2maDPZUA88Hh1u/LWLHBVC5/LiNN1GyK/HC+UhHqaQ +[+] Bogus cmd_msg: no_colon_2_%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%, SPA packet: 9cROhaJOYCFrDKcmTXl+s7FozrRGJiiclKOIfbMgb/3HZRLuRHMfxINpTpIwYRxLX/TmbzEJ6rIFU/dexbeg5/SvjsriSroOiaE09woa+gbuEcYYsJdKgXODaBpE+R2ncsN9TmGln3ESUaiTXaMYCASd+DHeoJTEviZkYiDTEef0p3iN19UwjguTpYk9lhswp6k4yoNBPatqePz0opXZohPCOGMXsJMZ80V7tos6XCR/2BSVt3pvoJ6WR1S5v930nuqDPIstA8sQX99CIw4LiUccR/8jTCMKMVT4iUF4nSEuwfmE4ZLuvy7Al9Zpjf5QenOf5cyalY2RXkneyKbJom2DHJM2iGTaYpvuX9dvY4iF2N7oBl8WPucj+3eKg5dOcfrXrQmC1axCU7DsCQqC2r0r504tBl0fbtdw4a7lB5E2KKJICz+KlBQ3Y0L0jMySLaDxefe9GXOYdX9E8/pdOddiRmo6wghtic2AnT+yhnO/AzLttB7o5aeBf77pgYeyoAGdTaNuV8ugP9GeJEhqEA8RvxglVFx3jAu+hB8at3yYhZFazf9CP/5ay8Zh6e9CLP4Qvn3ma7lhlhZiL5Tj6dQkXJKyZMroNaMXI6aLxAutNsbkop2xX9BoEhbFXm9t2V3+To9R2mAA4/wxRdr3CRYdR5+cNwo7sooTZF2EXwfv0PmcGL+MWb8TWnjLHyX4RzcKfgZz00EbuzbxHducOScLm1W1BxGZ1OKcJ9yTYWPS828zSUvCzipePEjWZqd/D8uijUePW33/OtpiJR3f5pOaUl7LjphSTOE2Wps5ZoC7WZMGEQRteJx0Y+/8I/IvMb+i5h9WOvVvpHFn5TE3yAEacBzAX10uU2WRFMHvIsZcHzB5lK4pfmuAWO2Uf8jK/oNR2m6xQ/QYCeKkDz7M7R3ySiEObTJvvV8l4qy1A8Oh/XxtV74ydOsRcDBozij3FBkZk/Kg9/vux8IPAGJrP8m+1IdeXcOKo/vEPDsZWbjVL8PQASuLeCYEI1beI3VaA4AojrhSjsw/f8j+L5IIMvBzJomYjZP2aSo9Zh02pjxkeffWhpeDQjrRRMLTJxmAuAO99fhcsmMvAAsL/2XbuYxtRQQyVNJrbRqLAld8Vylji3ZvxVJTJuQ9sAydxTIUwE2V88cEdxUW379fDuqAkv9+jworxaZqLThSmHtg8WhqCKMJ0LxL+5ngccAZTX+PKndZDxINh0AuFBMxvao/oXpIsErtj2f9GgJm1wkOYcOK+HcB9ZzwUGGWqkDIPlWH1vdfVMZVI3jpkLH2XB8AEmerXgP2437+PHYWVGIL8zAS7tlymmGKb6TaLy2+WuUnmWfu1oAXNTuQxbMDPHtvYca1XK9XvPwaBheV6grLvelzxrSk7V8By0mAuMQ2TJgKWELF/90ZfAYgZzBeiHmRDx+c4ffpQaXEU +[+] Bogus cmd_msg: no_colon_2_::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::, SPA packet: +ChbIp7+CLnzUeLFpEgsJquMDQK9/iEuZ7BySqYqgZGlMNH4oalLVsjQAgYGR/wBkkzuR4hNrIPkTk/GVfoqPY86b3ao2Y4yxLoDUAa57T7hPgAJ4PjXjztNDQN1ycu1v4A3pU9skYYltri/y5s0VrMGqrZaPZzAqyBaL9YJWRHfFcB4wMeazMmfG0Y3e+HZwW1dpzlyet+hYoHvmEe9YACSa/K4GY5ms1lMtDVxd7i7H3GzRTOrstBiqNYpyPT/6uA7ERHpF4YUrBKDIN/2GYcTE+qUuMfzko7qpVYDEudevl9ERqAaeRPMbaC+/dXTMETLoYpSub8/PfZc19U5OmQ7cCKSEPAO/hJDRPyvfNxqSJVReT8fowDrKThBTE3p5ta/OvKHD//Dxa84drREGQSO1NJBsZ3VT0E1yuQ8v9Q3U+BqKf3lVCd7m29cXc7iXqy5DzpFPSAm5X1SGFP9lSZV/1x1dz0f5YkXLCM1V8KYTtIXqMBiT7P3iK/BRO4YZNm8BGf/I0KbaeZg9KTwm/3mHJAWXBDXdfaz69R7Ani7IGuAQSerjg/WFU9dHsQLVY1VOtcJ+pis0mEpeTZXgqTeHu2Tx33XMfAwcZ4xxcY/fRYmTooGplZv8k5ICLBPgfV+/tlBJ+a3/M2NDEWpWzv5WQF86fG4wBOOHaW241STzzIqEXn7uKSXa2wFMzgvDGW6N+NAaROkpgox/YsGiHl5TGZo+C9SAs4fDsDAN5BdM4DMz/Sz7cU0spdOIFnHcvIqRUPJPrxeF3AMDF/pOu2co6Ta7Z/eFjzWzJCJeTmNq9MuoqK1AUcXC2X1tGsJEbHQ/9ClocFyoI0t9+Mg1nMQGkqmm6y6mRe4KS5NWSQwCRpWiI9eKCuhvOaFZ4GTNuugSS3dn7p8N7hCJGXGle1QideP5nv+FReMkbF+kEwfEuZ/YvrkRn/vymL12jOZFvOUV8ibohECMsDROZf+nmxKX0Dhy/qQcqoLiJInveA04wVwCxAN5eOmaliGZB/BMuYYGQuj0HPQLHCp3gzkf5jBuKGjF/BcOZk2IlXh3mNAUQJ2h9F8z5B1b1y/SnI+DbqJ1fwG39sMTI+Ognw+ZrjK9+1Kvdcu905/By4CWfvtz8Czhy3jYigz2xRLr/XiJ7CJP2BT/9LR/UJOoXJDqECHv9ml+pIHKtMhF/BZ7VnTwTqMNvSTe3Z08AC9pKqjk+G5hq8I1DLCCnlVUdZM18VwEgIO/MbjSNiIPECNGVyV1KF9J53mk65RjzN9MTFjWv+veFYypIts6t+A1bMqhL4pjy+OwrZn3v0wjAHqgQJS+Ko6arP8/f5TrUHUR2wECg0qQBs7n+TTgN22TZDXBijrkXLnKhRyEPIulFGfTFEFL+qAFKZ+1iz/nkEYY/vg9mP2OykgXZc3gwH5ucpuWP5HuBqNS8p+s +[+] Bogus cmd_msg: no_colon_2_(NA), SPA packet: (NULL) +[+] Bogus cmd_msg: no_colon_2_,,,, SPA packet: 82lvZ8grq/WwXeo8+PyESt1f6qE23wJJ16ibuFsF6//dtUX0nD46dnQWUufmmUCBzFq5wASCpBv3f3nWcwXjmio6vaEXosohU3Y4Bd7xfDLWJJ3gWLahQA7WOio62TJ5B8yS8x2a7Tzw +[+] Bogus cmd_msg: no_colon_2_----, SPA packet: +55AjJ5Os708huKxdouPW/t9fWzZ91eDDntkPbque0FXbnVbzpeGt8QEDGP+HLLGDu124aI4dwS6ppl2TkBG2NCZmb70K46133F8MeKTTD9ca7PCnvt9VGAfRhgW/SKlcheg2ZGN6Eng +[+] Bogus cmd_msg: no_colon_2_1.3.4.5.5, SPA packet: +aMuXIABJKv2FczLAiyfRbtVN7yj8B5IaEVH4IVsFL81DWXCIugGHvJIMjpEselNQ++07j3h81fvEO2526zxhyci47VoemDJaY+cIW1w3GCHv5TB0a5cl7KXJph6ATmcZIvaWiyGZrlAhN54FPVR9YqhElSgivErk +[+] Bogus cmd_msg: no_colon_2_999.3.4.5, SPA packet: 8gIzp8kATHFHl3CoFZc0boTCrGb9O1XW8WRBT4rFci9aI8Ra3dhUjz9bHpjQEZnA+UNFEJCfRY5Ov9E5mDz7Jz8ILkbdhmlbibUVoqzL6zEvw5wgBOwxu5JhauHBS0fyhlLWxvtWafkSSO2X8U04jrUlnCDzVRjt8 +[+] Bogus cmd_msg: no_colon_2_1.,, SPA packet: /fZxMHEaHBYs53ET31mB6uF0rydvklEX3vssFywAQU3csmuquReLTxEvDCLE+c2EaXS6O19qs1wEq7z7j0eHa93JSVF5eZRLLdg27miYsZ8nqXN4FjXbxVhoB1GjMptLQDzbbLOkFGrg +[+] Bogus cmd_msg: no_colon_2_1.2.,, SPA packet: 84U8n3FJm2rLw6xGEmV7506Ca5hbG0XqAMHmoZmYC79i1BIk/LeKdi3tg68G1Utpl2Ze7CF4Nz7OTS8GtZkN2dcnChUoVk1h9BMN919wuEb3MsgEFVlZjj3V2JOq+hF67WqRIaOa3NCQ +[+] Bogus cmd_msg: no_colon_2_1.2.3.,, SPA packet: 81ADvkguvYp107beNNAJygoTbjHG1w3IaOpc2+dJmbZMz62LbdrsAWRfXkdPM8SVgaKxnHisuqZhaMhxCKPxbiYiFlQGD1AOLlOT32rwIoaDOat2JHROwvmElrgdqE+vCy56PwgltOuQ +[+] Bogus cmd_msg: no_colon_2_1.2.3.4, SPA packet: +JQ8qEcKAq/tWYAww7kqo2EmV6hBsb82yFulTmjjAxODIxM6AQ/MsFgNNGTX7AfuxQND7JRKQxgTSiiFXUXUIs74VFAJDMGoj5vGMdKK9kRas5BhmlNW1x0Co+AXv6UFFilZv6JWJc/A +[+] Bogus cmd_msg: no_colon_2_123.123.123.123, SPA packet: +Eq4K2IziWfZQdK4NZiiB1PnfDskL84VLOIiHhOhe51bvoOkSQefX/O62mNto+gpdiyGnA+8fcq058C5WLwWRb99I8eifod5X1VLXNWGUsljGkVXPypE3yb8iTdHN4ZGUHEQQmM9o5cAMi5bgdUNFnifO7W94BZrU +[+] Bogus cmd_msg: no_colon_2_1.2.3.4,, SPA packet: +IT5v7ODF7ut1OuQLeyxBGWBN/TvIP6gK550jZI0uEFyhlews/4aFUvLgFJSexP7zq6TX4CWbUeLn9OnJzMET14/LP9Wm3q7/7ZlrJbMJTvi6LRiYoZO6Ba9ZvPUYCC03T/iWrFdV8YDFCew16R/WNDHvfvsHYKQk +[+] Bogus cmd_msg: no_colon_2_1.2.3.4., SPA packet: 8aVLviotyyjGwnfOzwxa6pRoY/kxIJbmZSdtuoWmcKYOFfeiqwzx1cisvzuAhqN1qaPRKFlJfei5PoZJVxcwGDZ7zRieDSXOPQ8LFmykGB1GCNT+Qmio+ga+1tQkJ8LsSNccFMtgVp7hsWg1CCfvqsrE2vRdCdrhM +[+] Bogus cmd_msg: no_colon_2_123.123.123.123,AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: 8heWjntzGiwB1x6YYsKAf98R4zXTzdhXyl9GJ6qaj4zSK19w7RsJoz8bfPMCVkLdSws2WzFxCEwkKkiWzXU5MRjBngDNOWxFIDXNXJPoC3uYSZ4qJDIQlz/42EqTt8bHcHYVj4w3xdjWpZy11potvmejGvRVBt9U9HX+aR7pKyhkP3wlwH/q3mHiBTQ1tGLJ9GjB4mfxaY/u2ZegE+MsAzcv4WdrLFx9ScelOh/6csb8hAPkpp00YGr2zN6FP84u9wElmBN2KTXS3jOiR2enV2MQshvZz5p6K2pRmUzEg8NvDbRSWLDuPciRcaw3fGnPb3d4iU2sEnRDdUZvk4ob3/prf2AqlgDnn8625vAl41qRTgJebwpF6OiCz5liLeafqtp9uXux3sNx7vBisU1cgvOCi6ZkrOjJBoSF1lDoMxIOW0cuvA/iSkP4sI+5kZvBwuBmmFKwXnV5fWR24atrWtqOKo+r0u8VDFSz1akiVO+58poC7F5rJPHutnrM3rkEI8dJckMm/uZkVmawgK2GJInzfatkyJ3Njj1SHOqRI3WdYWb4t54yYehlDQc9LAZr04CaG2BgrOyPWWU4cqWGzyjYBQ2APMDTCn5ojBjtVSH3wFkYG+tDLaoGiyW7AKq7gUXZ+TxX+axxs/VhjaCBMQv57bXMQy+5pbvzx99ORQqXlO3VH5Iu5hw6Voa6OkEIDB7HP8UT8aU/E+umdc70CgFn7knS/2cDm0V1Tw6Rr9cU9RCUHtBzAUUZseU8+edtFIRexTDq448m9c488SenWUoqYa3LJP59OHzbcQQuz8N0QamgVlx7sReNRgmeGExbeqIJzhABHimzOmaFI/buZHCex2LrWaOY8XXxsRA1S1El6JNxCAD8mfhnB8gPYncspkmcoJbUklX+M4dPot2H3HHn5cRahGXnfO+GlpwazxNon7SOB2fmxNS73gF4Lc6IaQNDIeW5UZu/oRUeQGiIj4UBoF6WDeR3REz2qRFwSwBSaW3BvviTJdx0c/3MFaJspELoXeMrsl+NTLgJDtStp58MK7sWZWrUlN2BDdOa64lG/gPsV+1ZAuxi05rgpyJhnEPoGjoWq5w0XmAAHbW0W8VbAq3vC+sB/7HatNtFR2FajcDP8vwHfnLw0DAhqNVpPz0JJ7bFCZizqhHcct9cVxhD2W1qG/vmEe640kU+x6kLAzG1hNBDcv93gSE3Xknlug7BPBIQIYdst1RuVBQONLDgCGlU3DTz5DPEz6yynOL7YGExY05jOe1QwQ2nPWl/uy1e7vhsMa9yls2+5buyP6+aUxjFlMY9KKSXgSx2r0bGxm9Wf3l/GpTGZfcOC/IgpU9SIvV9uPANeH6jix7zv26UviUiBl67j5QnqfJFzeK+lnjiswiLuf8PlGz7y+rD1kZZ00QW434P9D80iiTOrA+4kGC+RDniQ +[+] Bogus msg_type: no_colon_2_-1, SPA packet: 8VTPo9bGgNRz0RZOD0SezQ140b3z4VDl+n/gDWJt3Q5tjqZ0MlslwUv/hCio6Xhgn2kKUQNTUHCzf4bGXLvWyJYpoXETkVihqzZ3nxKUdCHXjZ+jYfRs1N7mx+XN48+FCso/+hZCbZz0I3MwoY45RphNtXaBkRJrY +[+] Bogus msg_type: no_colon_2_-2, SPA packet: +5+Ih4kumDi6J8UP64OeIHqz1qlNlyrRYgGCGpbB+t9o+xpOA7uAJPullEu0hpApHUMV/bB25yRFGX5eKQE8hCoA/xEsFxXc/9tALny5ltEru0vdOnIInzLvn/QdrtjZYDJELtr6qq4x4bcit7vaCmhg5SJ9YbfYw +[+] Bogus msg_type: no_colon_2_255, SPA packet: 9VMTF8E2ElI/BiVEVkadSp11uzuVGr5b9LDBy1aPYuNY8YlPfo52x/StHlBdcrkuZ6Kp+FtO0VfGLNTGFs04PkSfyOljjvvNVBDC4+iySC6DHosDr6a73hRvVH9pju8HI5V6PnULozZfgNl5husyHNZxXCGQ1vWf0 +[+] Bogus user: no_colon_3_AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: 9/p2vzVcyKgA3wrM5uVC+U3Ys13PIw6LNwNN1JWpE0fqchI1tBN9BdZsEG40vhgUalTJV9PwZXaOEb4dFcDaW1rAQskxi5x7ye/6pH1GIHspjKiemhHxqhGDDc8G0OVpqVlKE3dv6kILTzWfCNNZcxo8ETmLbaChmSQ7oILSGmpyJI8eGhhWkJA1QkZOYPF7AE0B9KtJg5CqSS77DkoJ9MX04WH34X2mCRucXe82qIE5TH7YflOKKxvXkJjV4jdcSogQHn6sB0Tw +[+] Bogus user: no_colon_3_-1, SPA packet: +fMbNpw0xkT+Jny3QWCSX4yCjnsendaogd2C2GhfT9JiCs/27GzYli4vIRW7VLQ0/uIrgg0/LdogQr1UP2G1KJmIQ2ouvYS83gPT2wogiLdmYKxdJB00Ld5hWHHMznEYeUQEjtGcbJRuvGWQOdBtex6OywdHUwMAE +[+] Bogus user: no_colon_3_-1, SPA packet: 9pqPGnT07Y3qpOThBJ5PtHyNNu8DKR+vawdVVcu6aOxmxWR+DYAwot97O0mHWbLDD37ajm/EkIyWNaG3oN/KJzOsuQYUhzKsMQRe3ku/4sdA3Rx8YyLrx/HbeV6F/mL7xzsy0sT2cbZ7aDnpORmbg6yKrhTKX91HY +[+] Bogus user: no_colon_3_123%123, SPA packet: 8GuAW6STN5JfzBjd+h5J3Lc6lOooVlFs6RDw1uVlbL1CXtlGjgiO2a8b2aqhVCJ91VJ/9A0xWA1oc62+lYooN/FoZ9rSoYtAJL+1Ea03dxsOKQCP8Xx/3dVYoKa4DHGunpPrWY911AqaJJmvigJQ+IXi/7poiSWj4 +[+] Bogus user: no_colon_3_123.123, SPA packet: 8+bDDmgMENBZNqo+/g4A8Uy+p9KZHlpfMLkgAI44K+/Tl0QUKjR8lZAPXZ7COfcrpAGT4UcLmPjYHSocY+JdFhylZIzI2MJ7c07szvnZ6cGVgdv5Wz4gCRAB4o97VUbtrX7Jp3860PLaxp0Y667V44dvVGQ9z8P+s +[+] Bogus user: no_colon_3_123$123, SPA packet: 8fDqKM7+sZ92JibrGMhWgBeqhK7nLBkPyzb9e8LFWnDOaGlo2vzG6pJMSo33N3GLYKhwgLDAYTRm3SojKN6mHH4aSBcfaM6/o+efjFQ+wF/qbzq8Sghz1J4taAUhKo3oPPa93vd/IYjcdH437kNNewewRkofSfRLA +[+] Bogus user: no_colon_3_-user, SPA packet: 8MkYCYoyce+CKYa4XNJb1LKPffF3oTYXM8pjgmHbnFbazxQR+0zZBXMKtLHIVdL6GQLFPt+8C6u67RYJtrjMuNqjlfnfIJmuuTk20P2AeqPF07SPXtYfkPvKczdxrizvVcGpALW0fggzrGXdvDdbX3nwbUyxeNEDE +[+] Bogus user: no_colon_3_-User, SPA packet: /5/GyWI65j+y5B3HAgljRENWdSVHsOqfGgCx9GJB8zSsNY2N/SA/fhCkiUZWOyn6XORh92HlK6kQRLSEEBJQfgO1VT3cEkRmnhzf8p9COXoGoukJIIxLSb3GAKOMFBNDqQkZx/lgAaQxZ+isi5aZidWcEDHw7bdEg +[+] Bogus user: no_colon_3_,User, SPA packet: 9umJUCOf7iVpSth74WeWWoud9xOf7741jEOVQiWUgm0s4k3LFI7QaQh9JwYcAly90Z3l9jkcwdK1dXEYhPxPNHt5vg4Fi/rPkU455Cp1GyWY6rtw+YsUqOMRtGGR4r8noc/44ylHHjdiFLgO6fDOsmlU3QJnKR7oM +[+] Bogus user: no_colon_3_part1 part2, SPA packet: /3/EVDZ7Ci+S4XazwkrdtYGN0iltYH8qJBwFaVEk25uoB2rUdpvpwNISVz9o6atSNrEPeUWxTw9YOZYMs4FbEOmSnjbjq+uHTBwtqHpsYu6NJXo0sf4zlC6qu+iEc1XopGFnAzfy56f8Mj2DLqJV5cTK2J1FPF/6t4sT2D6TyxaiDH4L3dhfq5 +[+] Bogus user: no_colon_3_a:b, SPA packet: /ycXWH8UMIyi4rvXSIoH2o5OFIVmBMUVHlbbKSZnpxgoJCiXnJOQL2IDK0ZGwRo2wln5chMBKuDbvLyyd8u1ao5bo6z25VrvtSMarRsc3xZ4FcdIKDjWqKV6QRyv7smChKM1JesmuPXSbm9kejJZdSgWWKvlZDXh0 +[+] Bogus access_msg: no_colon_3_1.2.3.4, SPA packet: //omjZevob40mI4mDAgdc0t85Ly4qeZE3ig7D0TASSQkQRdR0e4cjV/JNf4sb9ec1A5n1GSStF/3MW4MyCNUEhjv6aW40MXU348vJ4yA2CIrZyClzPku9QjjtEmWkYW/ewXTs8wxL2IQ +[+] Bogus access_msg: no_colon_3_1.2.3.4., SPA packet: 8jy030TRB2xBXNU+6oVrFlyvc4s8MJEDgED5vSma8UygK9XvtBYShr1LkDj1EPRmfiFIqs/9NHF8dyRbtE5soWzJc5T+Poas6Qd37JaneyOT6e2Zj4+aPTNFcT950KjJUgC/w/L2nYwKMS9tb7ggjSmAgPgsXjeXg +[+] Bogus access_msg: no_colon_3_123.123.123.123, SPA packet: +10e5QUfjABgGlqh94dYrbezmalAdeURHmfhT+CkyQSJukVODbv1guJ0Q094WJJVuFV2UwEY/lzm/MZijRsQ1QV+jDxhzqKZgg2t/G8ZfveQbCw0tfwpfAovmRPkDzsiSgbv8Cu1X2Q0RPAUZ+tLXtGbHNWDv2bMI +[+] Bogus access_msg: no_colon_3_923.123.123.123, SPA packet: 9aTCpuyCjggRJxcNpdEG32y1JS/wqC4R4n5s9oJQaK50w4xJ/glXTieFiV58V8P/akcS37S5ZG5vNU02eAyfSnVMAKRPZkgeXUTw2s1qjHNLDC/yaEOoOHbmHCmcwcJpSJVEnEAfmzDBpB5DJfqXhcL1pglPgxlC8 +[+] Bogus access_msg: no_colon_3_123.123.123.123., SPA packet: 9bLKzMtxg1uGCcyZy4AsUr03MJyLa4AcaSx+MYJcVrmal7eNsPnhRlIq+ntLOqtYAZJ7npRWmAWi2+JA40WTlco9FeNcPYduRLitFcmiAikBNnHtofDocj4YINmG2QvkJkUPtHb7gJdvX8wPzSv9nOuM0aeDNc42Y +[+] Bogus access_msg: no_colon_3_999.999.999.999, SPA packet: +BzrJR6G3qehSUDt8U3EOLweRqOnzyTjbGBNvwzdstytPr+llgnvL51orP/nnI/++2L7LxN962SnSQC5rLutV2j65JOJ1Zuo4AXpLQSWles4U4oYfoQ8TTfiL9qGeX4QkqtMxEROy0Rz/OoKeRPx5gpFF7Bo53+ks +[+] Bogus access_msg: no_colon_3_1.2.3.4,tcp/2a2, SPA packet: 9NDYJvFPxZDlT15bP7I3bxsAAaeg8xyvAqGN5qtyNZbtPC2Vpjog0/SAU+++fmiDEQ1mMIqbTr+vRjdHdJLa1Wm0oDE3oc+gjP5d81iOqszCrJjOOdVUgyY/ka8+nLCSiLzSywXBO6xXEg9mEIHkqpYu7U9peYU4w +[+] Bogus access_msg: no_colon_3_1.2.3.4,tcp/22,, SPA packet: /2I7CgLjX5R65TQKb3CPuObdfV9cM3KLta0A8sJiZFchR2UjiYu8L8nV0jlAtqlNB0SHr1ovY17BT3VsxffJL3WPdZTX07Eff4Q8143keC4LcSgl9HUCt0xfGDDcIkeLFAKc5yPpJTzkrEQe+iGqyzVHxxdy1aiuk +[+] Bogus access_msg: no_colon_3_1.2.3.4,tcp/123456, SPA packet: 9KOvLFBWEMuJ9oAyfa524q5RKNclBSKCV0F2hvtQro1xiCXsd+In97Yt/YegXD1LSy7srJrem5DuCEHdhXMiRsM7nAL0LVbx6rRMuyXsgjX8ae0euGbmoHFItMU44t+NEuFcD4o4UsjmLu+laPK14FlFiZoaGdVwI +[+] Bogus access_msg: no_colon_3_1.2.3.4,tcp/1234569999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999, SPA packet: 9Z+znv7b/9Mr8EwWCtaRhojERNcdFvQA5E7IykHppGdmo20CxOtV8gowkscEEiZzpLqcUbUYU8vPlUDUXC6a1Zwd2xL1Bu83LtZeD/b8GWMl7Ly3+uynGcVNlVY/P8k/8Lz+iMmw31+uZ4ctlRFh5kH8PFhkGNhhQ/pmUXXoV07NwMi10IsycoDr6VyeD2PxthIR3ZWtTfB0gsQ08ByVgdjI7R5JMAnKMepfzBxVeWFRmc7yXFDS0ZGckzgvGYc2bOxZFwvWCXkZIvp5tOJ9KlC+H9gqNGf2Mo5tlZGESL4+AQgEjN8MTc+Rl5qaiBS5CUBl6m8Y7D5sqdvdcTp+IXa2WWCJfxhmU +[+] Bogus access_msg: no_colon_3_1.2.3.4,tcp//22, SPA packet: +rXcxONy0setxu3myWUoZ4aEHwRql70q9DPLS1wubw37jeYKNpkXSU6QSr9O/R5/HZy/pHZWtKFGd6DmvuMRPnxiRTmKKzWPFs4dULPNZ3LYmHEyV8Fx8hb7gDV2I8UTuSDlnfwo/chcmvCPmvMdX5hktdsQN4ZiY +[+] Bogus access_msg: no_colon_3_1.2.3.4,tcp/22/, SPA packet: 9kIQ9A/8eNbQzgwVfoLiJf0DzT2xvbaRmXbbvS3QdrEKC9v/vkL/VXtIlk8Nc3RiNw7Kdm++BShY7KKaXJubM8BtnrMWdZA9IP2ayHELDzHqdmaEEmyHypsJWzJXfYt6nOas8o/5+ut1vjosavY4PaQFj8xRXhVHg +[+] Bogus access_msg: no_colon_3_a23.123.123.123,tcp/12345, SPA packet: 9XfPOFlxYWWe41v2woXw4dU1P79bAH/OSTrjUablNISRC5V3kXNI4zSmvWa/FMVtXSkyJ+nG15ZHX6GOd003grbZscqn5Ett63krC3YfCiqo5IND5IaP1ptB6lYrbjYkiO+4yE9kIBIJ6k31V3BBCbXO4j29ASGU7CymebGfrtvcdOtjwqG5wa +[+] Bogus access_msg: no_colon_3_999.999.999.999,tcp/22, SPA packet: 8l1b9gdNHsugp+0wcrxwEMYTx8wzHx420y/FBO0KmEoU8jK21YuaU5TNQle+tk8rAK2UIhMoLEnX4bdIxfM97vZkThG9ZGAuYJjyiP3zQcC+Pa8BfZvqMyJvZs0HdEQOOC2LZo1Jyn6FgwXrzkgh7laOIUXsfXoK13BZs/8ceYgn/yzkvWxAZx +[+] Bogus access_msg: no_colon_3_999.1.1.1,tcp/22, SPA packet: /FMhSHPiaDwDjKWMgewu8SLSa/gyuia6mhR0Ni53MRt1YJVocC4uu981VKis0XSFPLjN/aunJoLy3C+p2MHSDnSEP7aBr8FQpqIZB68QpH6q5vfvKdY8imOif5AE/3byWSSG/ZYmoA9mELAOwN478PfNyReW8NwqY +[+] Bogus access_msg: no_colon_3_-1, SPA packet: 9W9Vmimnljux6pMl+Ya2ZqFSsEaxnAECXaO1pmaraBS9pcIAeE1nuviM8sZ3hE93zP45wYyoPGqq3MMWqQ6mnt17LrT/of08y338YLf6XlPp1VCcHhx1FP5OTN3SOmStPcB3IowUp/2w +[+] Bogus access_msg: no_colon_3_1, SPA packet: 9sjLOlVmdeAh8pkKnh+DhvNmWBdFBIqAJ34L+9zriMuJUiAItf2l3N64myPabBXeHdTbO9LEjTL+xRQdRq1Tfvs8RJWXCVggwmxzqrUSgWInAGZ2MXluTROeEQNPlh0uk7Nz/4s/ckTg +[+] Bogus access_msg: no_colon_3_A, SPA packet: 9FDy2Yr0/oqwsXQ/LZz7MmRAvKm4j1z+a+vtTGQYINsNRSDiwgmuoMQkG82TgmlM2gAfycIvcrGyKXGleIQOmsWub+/Nc3NwMYbq2bFjzLu7ITiYNMNXEaEhkS2Si2DMTbyXwin7nHWQ +[+] Bogus access_msg: no_colon_3_0, SPA packet: +kV/YAAKlfAQ+rKg50yTLbF1tQ5o3zQbuB4BKi4GQ4O/bqccT7kLYItLV1HnhuYatXe23f08b7eeqtRK38eEnoALs1pmL7UolGfyHyNNHkPMV4e55qnkKZZua+XlyngQ/50zpAiWXfYQ +[+] Bogus access_msg: no_colon_3_AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: 9iVRPMiUc5oaH/KLu+p+rNMjDaHTNJq6Yquwtvq6bjij2MlsrYV35P5L+hYpMfNU6G3u/eJig9ZbSHHHkLBRvY6iMKcvcR2KYqXcpkM87uRgAu/o1FFQJrE8aiyPGsWE/aVnIVTCkkf2milAktPNUyLisIUjQ9/TJTruEdJA3zOOIIV5CyAzTLI2/9fD+nWawD3ZRcpHR8dI4a5FNrWwiFLjmoeFaqwWAyc42Xn7amDGoO48MOU+6o3Mnj20qxx6RZRb0UhWZfGDfdfAgZycgejZvLT3mqFqeMd9EUps319gdox54P+WdQhPhYw0dQnSKR0AM9nBFtfVPLKOStcnxli7sixQn0IA6WjbeUgPoA3H/KUnnX5iovIrwDprB3KJBGn6MmCkvrgSfi2pUvWTvFaJZda6iTLs61tjDIN6RBvxt2+jkd1YBjb7v0XituQGiBdMNMiaohC431L5gF80L8NODa9dk5mR9PEs97LCfh9Xl9L+xgA3yEqfMtXxoKQ5Q6xdH11kPIdQIyYWmrO7RpxWh6sOTvFFfWwPsUj27wGZdgrYjhWc9+r15WIGtu7umIzW+uRvVO1rko2MpwEF0N1DOJpdyFDo/mxLqgmMqIqp0srjHDZusmzVN/79xmprtFb1ZJog9kZBHtKhTPskSzPJGPnFGIoAlUpaK/qhho7B53PgEW6cxUMoUVLeOLltE/ri5MI0ngL9HxLc0AJfHi6cXN8XGtl9J/wxoY5vzvhCTcH+4ApRM9CDb+i5DfBU6K71uVIoMcJ9pKj4T9alf8AnmYFni/ZhmLbIfleqpDSzvQ+UVwk5WD51Nupc49FlnWXB/LUPufIvyr1Yl/w79vW314nBYmKDeKgb7rVjbgTUVLhylRbFzKsgQ6tSqkG3L0It/UeBllsRSnh5GXH/8we0weMSQuED3wRP+9cy0ydLWtlbsEnqSM17c/Aq4ZB9o3qOdAmq/42xsSof0Hh1weRGpVB16v+SO49Y4vj+29ZWzpGcGfgEskGwmT5xx+MW96CVEaBFdOQgnKiONEG6xYmBrvLLeK82YpYKyodW0WSvSqXqxmqR5IdlxqsHO9t5y7xsmphTNIxVFRIcih2vojsSzy5PNkGnLTCWMwSZqAsbobFEe1DQZ6etpAGu8QnzkWe/on0WZQRqB8ogKcmJ//SxI9oMASXcTcIQfQ98xMRCHGejhsbg6vu94TLZw92gMj/+oEV6o5KhYsa91FGkhxuoTKBTqZ/y/Jg5Ra52T9Vszbn/mBiZfBhMq8NVEZGC+rdFF+nWlAEKIz0FsR8RYBjOkSyYPqIgHVK7rBvxfnfNXZxtvwSym//AJnGXmioi5GHg6xowwdmiDqDTG0JCLdQE+zFwRvxjZCU3uuH2GksUItm8uB70rQYn6LaVyHIiir4UcIEv99hOiys8wGasuPk/IasYRxfjg +[+] Bogus access_msg: no_colon_3_////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: 9ijlWDIRm/5c5nmek3mrt/SxugAuw6E3paP0oeedqWklUuQgzAP/9+xEHlzUcx4dDbiB/LvS2ibMf8khExW62F5yp6lLOhLUPGA+vm++eH5IgUsAuoJG8zqS7UZzcNTgMzet4IWhVdho/2xyXgIh1kX9zGcgv9vm9k4Y/5m/cuMaQoqVlqnIddKSHnvXmy9E/oqsnXOKUwkHgjTEsX4MboURaxdXJvg7hCbTYDDoCQewRU8ZtvA+7BSL9TFhQQoKUHHpeoTiuOz4qybiDGvOSaaxPl/IBJVQPdRsz4orezHX8r+RqHuaaVNl1zWm5Vu1AgB8PcAyFWAaT9xVUawZGo+KVDwT01Y8hFwDLUmwFQJWZ0F8I2Y5SKRDqnhQj5Lny0nJO+8KS9++YBnJR6MF705Mm+pC4iNrsh84lUPQ8LY6md/XkwIe3OOelod/hpmHPw/UijyJCwKsnroxGgHW4IxdX+XgsN7s8/EWvF3X7vPucL33lj0lc3sc6LdbPBw/UNxQ10O9FuODkIlhpZkt+cfMrOp5ykfPNmM77XgIFd7pxuCEgmIl9FO85gTrrC5+2aFXI8D3pwy6vo0HOvC+8y4lXjbuZF1QRFtk7hHdrXlcOcjyjz1rTi+PyBVcfN/AQrFyDbpdYHAo7kUKpvxIgs+ekWSF2NsbrZU3ExnpHWGmJjyazMiLG9QezCUMs67jaPdPdWGdqQeBuk+bXIkifvA1d8UqGXCL2jaGLMec910bpBCfdF7GmxIe74c84R4Q0+aG3tboYY3YZjRgH9r4kYc8lR4eZAvO34xz/ZHPnLiWS2Eox5BwLhXJ+b1qWzg48eVeo0sT7vamHODlwoWVHM7ZS6kaYXFphF/wyTsdwakHD1r+Sw063C25L6T/tka20FchT3Sy7dcdxwQBWchXuKwt86YncevynaKoxeYJo74ctJbsaDS4xIjApRZT+H1TckZTY1dTdrUErkzf8aRsMAPAOHjbtndmUqvAcwEyJKWE9dJOIxqXitbzWo9KAEPolfJ+gWxB8Zq/zIlU1Dp29ZeGQrFPm2/9Bhy+Fionw3u75HJTMguE0fftHtXMPT93cj35nPzIb9eNG+nzrsoNBPGJj3G6qePD2dTq3qSuQCvAuAgGazOkFkq7S0NL/jUD8Bp+tJBYt8nZe/JWyosDpoppkt0lU3B1TDE0Pu3TcQ8RS3rgNynUZ4Xm82h6O6Rr5S9sRSsnUHB2JPBA+Pc2Zsk1HDmh4B1tRIvKzpHTGWRz3RoX856X1UQZJM48nSIzFfWrUA5qFDFYIkKmuerP9nalthpaz847fOddC0AptfqhekxBodAbzwlyxjgn/ltVq4EJRtp4T3PZa3rZBoYv4tGZ5c2GXyqpel/Lvyqy4xKBsAfgLa+9YBM7fq6MhTkUe1IBm/kXqdLFxrTEY6zlnXpfqEHHDS34E +[+] Bogus access_msg: no_colon_3_%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%, SPA packet: 9BHErSRolBJosZDILZ1MOOtWUz4tGG9xu3DFX79SNfmFlYf98mR1WfJ3hYn0xpoRZOE5TdwtjhVTkTcHMgV0MV2kXPsNfTxOD2lXIzVhgKj3ThuFXHld1zaLqgc37AlsyTcWvFf2k3RMzvjky8z9jXvGYWJkx8V5ygSnKP90JXT2XZhkyYbQne7PKO4FvEQ3e6xK05nJlgDkbKfD959Mlk9aY1MN4m7guDNkoKAu2pn4rMVc83PREveR1wdLOC79sMdBbn1h6VNcjYzJvitn72ck9yVcFgJnt9XzFxQU6yvlN/f9IJCFq94q7jRzEAvhBNZgXiC0Wpt8Aj9p3/1/egUPqexQ01w7/MOISgagDBDPMAESLFEUyb7vY8sPdpB2EDKIwr1u9db8Wcq84tspSizkZng/zYEFI/9jPZdzT2p8FU73ujNH96BNLHmH3U7wLjlAm4z9Eu8zGOEzzaT6/63QbI4CCIo8BzLU7G94jsZOgkrFrfm9REJO2F8HM+7estHAaYvqdTOc2evgCmM5OryYYWwvrxIw8NGnJhOfM/FdioU0NeHy4oLUtUhsfnAiLbLzl6TWepyFdAJAaSWlDInFO2oJ+CxQ2occfY+nYKCUyKzh+PnT8Mj84mnZBe9U56L75KqRpS7xyrk19YYpWBFzx6oFs0QSsFykHNjBU4eNHUzNQeFamgJO0eQZ4HZEU5FNuBHcoHAhPvj1Aibt/tmgAPYe4EalK3xD7vrBZskTs7wM9h2SP7m4yZVYnJskwD+LULJkIjUNyXd+8M0SNmiUEdzXsc0PpyD1QRRDXK83HBB3PQBqEu6wthpUoW9cNU34T/3BOZwrUIngJ9s95c0ihkVAplCLGRiQXW7tHBcLMh8zCSXxO0U1RPCuOUoqcn8CLF/HOtxx1OdvRCd8RgLN2byQNwY5qoqlvIWw2QoLgDJDRS/2/PNIdN9ZYZlPYDNI8eVBxsKMngdOYBQ68FkYPs3rarx0GXMyvE3UCHRmvpHZNOdB6lhkzXS0blozCSiyk2QB635/ks/oa+yVzA0BYYSiHBBBzPpAnM0l5ysM/3J76q1iJiYaf/67rzYyNJ7Abst7YAS+psXuQhA3jxhL0T92mfzWiAFM8vequXFg0GdlflfEZPVAIAXCD/9WqpZyCaxpWW28nSCdLGOGRXgiCLYk2M/H7PGtXC/BzWGv0QWq9oYZAX1DufbqNj2phnPvt6M83QTDYttmN9Uy7Sk4/dyqG4U2MW15q5GawR8aj7mbwU9zGnX7LxHkUwm6UNdWZO/eksChcpnaLrBOovyToLiW18L6MRw0AHNOI0YMMzbu0YjxCfT/9Q0PzwR+eCdbQyZ1twBlhGzt8pyJ9DDuDSpuia2uOq5hiwBCdq06elmk3kFeRGe5PrgbVe63Ih+9m+7XpFGrvpkqYzzAY19KEn9j3BRDo +[+] Bogus access_msg: no_colon_3_::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::, SPA packet: /mgHlPo7IgGvrF5ql1xDibBeiM+XZsH2jMSqpbwriwrZJbus6YExZLhbrHXbFySpY5OjVzfMFPYVNY6e9BeY4iu7R6Ad8giBMD3BMRk91j2Ut4RfH/tgsJabSUmMx/6Mntd0LsR5Rz2vnnbT9PW5bboxgMt+12fuV0rx+6PUfzNYdJeuNCa0R+CG2rguYHV2Gn0MlKvAdZScZAwNtl1qE8LM82l2pq2iUY7XnzeIu+oAcTt0FgpcJ2aEUqefBRdfgcYdmMDX6dUnpbxcY0C9kVPhAQoVlMY1J/SKn5q143CYiYaFXExrzLPgG4NxqFc0isGxkfUrEVSPnsnmv68wZ4CaFmnruzt/cfAxKV3OzoTQIP/cCnRgweK9L4dgLO++InP5B18Hsy7Ex6PAG+yUsfPBNdaCvWnYGvVPkEdakpxLno1ezfDSAZy2FUgciy6Ggs58mTkhdewIKHUvhJCOYCrWWnuYPislQO+acBoJSo5i66sR/afSf5zNJGxgjnls96ja5s0QiDL7qYyRy8toyvvMr6nlFUYMoRsE1n5jQfjhVtjRMJ8Gxr6Gbxe7WuPYr1Fti2ifUGzqGeNMDbP2B6+hl1191W2SWpewRcyPt/9860E5dEVmrIH4AiPkayiD/kQyW6hnZLdEZ1TiLzONo+ldW/YGggH9p2Ky6aUT2MbiBzEStzjHu4PtNyeMvwSUZQ4Npvp72WTzGxaNOLqLnrUszkMPdzmoDz2L5nB6L3YJtNe6hDPjXC4N1fElNwChufvvEBA71VIMXgwzs+C/NZi4+j2f7PwXujn8VN6qT1nMrBYH41o0U1MZgXfoGTndJ/l1J6e8u4ZBho84b78xUVuB5bEJhWLwX9tAD+BTnS/iY1UjyUTkjYiOjJUjpSx6bygOxEsSDT8Vl+sGLG+LqskeFJxtHpf1DrX0TMxapjrXfqM3xez05F8dSpfKBZDDRji8lHxLoQG2OE+/xC0vGbcLQXDU/L67KdEOR+MiTKgOj9aA3X4RvNh+KJDkPYmJCEIYlpn/Rbezd37VE1GN7ownE5PGhNKHwY+VGnExwsBWyy7dC6UdFcPwUJSq9IL4K5nY9TJmzdT4OIjXL2gIdjLEPS0Ftu2y2+T2Y73vVfFZ5uRPr8vA7eiN4E6HZ7wTrOl6orh05w5Or6KwaU9ExKYdoTqbdQ2EQExAhdQr1MAxjGQqVFTrdu9cpZAsYWOytizmhYaG+oTZ065KNMmIx7+a7Vszn7qRGEJfYRXQS5npYAFPLfCabjnmNx0fL6fVjABQedlKkLYO4mLms13aalIXqGCjU7uChb48i3MnIQLluBjPpP5fBkjWNj0vzxk/cc30Lo524fcNoHaqWkWcMovsHd6RlullpXH59rcsvWGKOWxaVScmSkHD6RkkC59JYlVG6Gt7c7JVJykFrGBBS0bHt9UfJzYG0 +[+] Bogus access_msg: no_colon_3_(NA), SPA packet: (NULL) +[+] Bogus access_msg: no_colon_3_1.1.1.p/12345, SPA packet: /OgyplY7fr/yNInYY8FKbhHi/D6YAo8jCB+upk9ckoePzzOSsD+5eTzyfMv7n6kAGXD3v5RmGvF1NbuAtmj+Fx2bMhO9Krk20TxYO2ddWaFARZ6/Pz4DclYDdBxnmL1+2VSgIo979x7nI0Y794Sc2ahq+wCigzIno +[+] Bogus access_msg: no_colon_3_1.1.1.2,,,,12345, SPA packet: 9xJ1s+N/VX897SjW7SGkYAryKzlj90+cz0g3y8NVoQowVSNB5Czy2+lAhMng2rELaevRlCNK9Xgspod8+koLu7PQ8aFBPP7NyRKn2MdiWMa7rLlN0/1y+IWqqEQjxtf0GBQQeDs+gvx24hT38757YgHDJnf1/xbIk +[+] Bogus access_msg: no_colon_3_1.1.1.2,icmp/123, SPA packet: 9RYhT+L3UvtVPeXpAZ1rk46ZFakthg7zPKa5ybpBu7fhKPuJqdl7OyHUaf7ja25xesKHyVwuJyLVudEfPJ3hsivGRr0g9gN/w/XKWkrxYn8SVSNzQzYj0sb/wZqWUD9DO6WPbUAqja2c0P7IX6AVDsTGyR5cDVjqE +[+] Bogus access_msg: no_colon_3_,,,, SPA packet: 8naQRTCu9KfJ7r5CnT1w7uWSXnC09NhNgTOmd1z/coBif334YfPPcvf05KB3pP663hrun5oXmPed5KnmAw/dxRjdXUs0TZde9L2K4W9AN254w+cC2v0PYHzcUd8FnFVW4dQ5knDjPe/Q +[+] Bogus access_msg: no_colon_3_----, SPA packet: 8w2+IO2qjWTLh66TknulumpM+1RN2tziyUfGZdFUJJrGGqZn49VFaHUEo99wQZd53iXiI1WwK+zLvX72/seI+aDrrysUtwa7VZspq6qL1e/nz78YyI8MaoX8U+sRlzpDWdNJlmnsKxLg +[+] Bogus access_msg: no_colon_3_1.3.4.5.5, SPA packet: 8q2+O/YFePflt58bYVzXhNxHDqK7RtsN4ptKPQ0nShpXpEQFPRaf+J4Ed5UTwDilyCPuizrIRy8CuPq7tQgsABq+r0VVTsQMLaj9h+z+SXhJats6H9DhDwFlrKzu2k3/Gw+3Q8ImEldhYt6a4nK4vvd9RxX+OO7Mo +[+] Bogus access_msg: no_colon_3_1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: /KTUmaE/XjuAn3l6dhaKAkAY7eeA1v/FpmOMaiPnNZa3yfFFHFtfR6uLf3eGLzYBOnoxmtvo01Gw1N0R6Q7JBgFlzojAnZcNXH9Fr1GDxhGerIk4XERRQ27n/mT7qs9aRE7Uo/GM9TZr5M2FuHh4RZOSLw7PwggAxMinQiCMjoNMDImNAhDIaGvgvhlbiA9cgAaPmhm2KXCLKrpBuF52Zoowhg+7Nov0swRQ+3QpIS1Lppxf1cUInZEah4zR3iBBENZrAz/Lryq+1Rzo9ZHliRcVDvjnrk2lXp77mQ7Nf0+H0nZUs6DaWzwsm8jSk4MC4XAVfigQvRBg +[+] Bogus access_msg: no_colon_3_1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////22, SPA packet: 9fI09gLxg9Zpzo/0CiO9uxk2r881QBm3gJJp9/MZspHVFtXTNMCDJTCuK5GjxZy+22hGkwbN7iggKZ92Cos698qUm/YNr5C9h+N2E8HMEF1ftDvJchWs7Bc/YpUKMyU6v+eNflNHyogtJiQw/AmumExNwG2UQ5p0P8YOZ9rUrj8QDCW38eHVO8/VLLgEcb2Hdi5ofsrduqpLuG24u3E1cLV3vZlf2TyAr9TTrQ5Aj90whBBFffPDYg5b8wkvzBE4P16T8ElZshnRIZSF0JGLEnyhL0ysGFGJS5Z6MjwyQJmwottN/rhM7NI5k5K4wHC9wfZ1oyk10oBQ +[+] Bogus access_msg: no_colon_3_1.2.3.4,rcp/22, SPA packet: /WZCrr8zKUtP0Y78obyv3G9pttCK9SShe85kVJVNF1zHIbr880ib8SoqM4HYlj6vQRbk6PL3q+q+lhO1DLrEMmp5D3h1bWTEwiVrJcw/uMyiN/7x1wIEM23OP92g2MHtoxxqmTYDw/kviJ3Ww+U0SzlNXHII2Yxxw +[+] Bogus access_msg: no_colon_3_1.2.3.4,udp/-1, SPA packet: /E83WUPsOySzAJ7zFq18oqp7kowO0jWidQwGwA3MsCC6a5xXpIczzkLN91SvjHUlJROXVyM9+N2P7GafsC+M0XqSqqzNBu2b02DzubksdhvBkN2UtPc2XLHxDrPrgoEQgo5QCH1vM5b7nf/BJklzvxJTm8yKEfmjQ +[+] Bogus access_msg: no_colon_3_1.2.3.4,tcp/-1, SPA packet: /9HiSkqaYwSrt1so6wRHETuTqBBsidQ/TP8wwlRPqt6NRtSSoUPj2zW1UYEweBzD2i0YvuOqKvbdVl8C0KJeqgUGwImyFy9gCongeAeiTiR9jkMuCIGOffJkaTppALBovhgagRMxm4scDou5JTregaAmf+GBADZw0 +[+] Bogus access_msg: no_colon_3_1.2.3.4,icmp/-1, SPA packet: 8YONs11gtdtOkZrUupwwU6XgeVmBs7gS9xsDFGPE/LLojwsKN7rgw3F1P3ijIZFni6HldemkCiHG+vWwQfQtyfnAA8GkykaQvB04A2F3eNuuwBCzkDWoLaj7qB9deDBQuqzmwrPPLMusMgnSdh1ACDrteofvFBEas +[+] Bogus access_msg: no_colon_3_1.2.3(NA).4,tcp/22, SPA packet: 9SU7pY1qtmbi72T64YhT2UWpLro0vMgaJ48GH75Ya5YreA4q68opUBlHKUUifru31dR+emqMOTGSINroF3gdbGI8tE3gC3kbDLr/ayZNp1QOGUQAPW0De1Mmv8+WjSeJpofuD6+oW0Mg +[+] Bogus access_msg: no_colon_3_1.2.3.(NA)4,tcp/22, SPA packet: +J+49Sj3KYmHaIimTt1zWyS+KbngZanoA7+DrCyGzQCiCAB5wEfBsIC1cE/aLtBsVc7NfF3VKWyhaSRPkGjBusIX+r5lKPDU37FVt9qymDi76RF6MiI3ZDDGT20gsvzFAKR10zSECnIg +[+] Bogus access_msg: no_colon_3_1.2.3.4(NA),tcp/22, SPA packet: +6zC5XEJ25Z8oSYMM3DPsCyMW4MNBN0+Q21XKjYQh9ykKkWtaorKkJrDpKEbN7Iw/+aojm3mfYIWIsOXeMatkFxrY1zEboOcgILzPguHmvXDnbyPC+XzYBdFMjbfvlKvnnfEOW0zT8AA +[+] Bogus access_msg: no_colon_3_1.2.3.4,(NA)tcp/22, SPA packet: 9gQSi63l3zimrbvaa1aQNIYOxXhsDx6WjCNrSUxsp7b+ZI6DdBwzgIhSqI4BKko/xcYOGxp9KO0j/wXOxlpMkmtwtV1RaTBs8ty642G9JofHwUuUoFqmMWWWmrx8kHU7dpomsgzp9ERipzVNfzdDZ9h3HHAHOkqM4 +[+] Bogus access_msg: no_colon_3_1.2.3.4,t(NA)cp/22, SPA packet: /iIiJUGbDZVYKOonMGg2IZ2enu6/btv0beJvvZK6gOKsxdTDHYe8FOeUvn548a3MD5yPVREnLoxVf5711fS8ZUZVym8FwXt8PnFai1KtuOalGHmIfEhr1Ir0t6VTS8tTRQJB/eyc6QfEeQzPOXge2CCod8X4EXuGE +[+] Bogus access_msg: no_colon_3_1.2.3.4,tc(NA)p/22, SPA packet: 9mAsXWN5Q7JckFPKgTyVayTKsuCm5/WZt7bEN4e9mnZoNsO1HGxZCzz2NpxqLJGDBUL5Fl9fZy6idOYL1nzjZdsXLjm4d+d9YFRERWBRvqnbJ0ugrP9vj2qL2+gNRnVomDxizdkf7IwTg26UVVUnPITIMxym18CcA +[+] Bogus access_msg: no_colon_3_1.2.3.4,tcp(NA)/22, SPA packet: 99zvoMEuPNkcyE6bo7JH0gvWmQWP6YM8qLOHLaxcyxp9EN4wK2CpDtv7uSlahg2LK5Haql2d8r5GOIXs02MbZ88aOr/bCGO4DqdG7y6NCOR3X1voYAmoJJBMRIAxLouTkOqBeJbUAUt40OzKF572fcGPOV3Ps0kXw +[+] Bogus access_msg: no_colon_3_1.2.3.4,tcp/(NA)22, SPA packet: +6wrN+yFJkTkZVRll7LQ5uwz0+r353jsj5eWxXCoUUTML4DCnSbo17IofUq5olxQQlfVdRJ5bXsGwPPAzq30qtwiBcU+16a9XucwHagezNTSOIwN1ADKjkGQi1dgGqRQgDUeiKOQYMx3YcZkk/9R55FTg1Zc1a5NE +[+] Bogus access_msg: no_colon_3_123.123.123(NA).123,tcp/22, SPA packet: /eXhS1xmxX+0u9bE2I0/eDJS2hVGDYLcTQYGXWPa2LjODmvcxUt3I8w68omevyyWZ02aa9ZB5Utt/z9wOeLwtsznkVulLF20g5eFAdsPjyZW6QEbpjwYqVOfNuwMpjsiG+5h4p6HrCWcag0Ghs6BVzZKLIwFeA/2g +[+] Bogus access_msg: no_colon_3_123.123.123.(NA)123,tcp/22, SPA packet: 8KP4JAN/yEQwJrXhBZ4potwzCHz4wmoIUEUGUgggrynyp5msKpCNLfVCQFHzgz87/+S8BGjOZCqGj1NZEm1PG70Gp9GxbRLmwd4U6k25uBz68ssXLx0xeD6TjqM2fl5j1K5QBo9JIuNBDeg/6qaMb5jy77y7hv9wo +[+] Bogus access_msg: no_colon_3_123.123.123.1(NA)23,tcp/22, SPA packet: /RsJajnBS178BCQ1wJ1gP7J7XXVwbMYSyp+IMai6cwMUai9Q8yrl5LXd6ZP5HfOMUo5AHT62jAhLsxEsv7wWruOc+CE9WEAkafA3L6ZNK5N34Ox8o/sR3uLtgXgeCOJ52UEXeIsE8iO0NukPphnWxLq2gaDV5/qq8 +[+] Bogus access_msg: no_colon_3_123.123.123.12(NA)3,tcp/22, SPA packet: +FBqbtfvC6XD4X2MyIIAzC/wA/3QTNAtXs1/HJT7ulIjkxzKIyW1LUY32fBpVFjdommKMq2daiIbPMGh5yzPXi9tPFjFXVrzsZ2bbCCvDJUzDtr2Sgwx/90ie7U1+5BjofFttgNqE2VaW8y4nBj0Wtkq0sl2IDWOI +[+] Bogus access_msg: no_colon_3_123.123.123.123(NA),tcp/22, SPA packet: 8iwz1CeFw9Xixjt9kiZE/sxvAp3dQXcaIxk+WGvT0zj1hhq+LFb1g29k2pY8/Wp+WnZEv/2mGspfYRIJqStMo/omGGY33CfB0wx1M5qOfMkFefxexYHsyo5sUcRoqIiQ1hv9QahGm6NxnBnXtrcIIH4d2y3MkdOWQ +[+] Bogus access_msg: no_colon_3_123.123.123.123,(NA)tcp/22, SPA packet: +zQLx1umHRVQTfM++XHUzB6k3SIRS/kWRUB7dHNvpl9aw2u7TTuOzpaavLn8n8JrHfezzFm2g+CW4cDBopF/G80oW/UysVUgOHKyCUtAG9cQCBxSdkcTeUZvL4AtD8qSuYc4CiOkwhWDmkHnSxXapvTvBnly//8dg +[+] Bogus access_msg: no_colon_3_123.123.123.123,t(NA)cp/22, SPA packet: 8EV+dgqMNiokuxEJlmpYjRasAXQLmgLVbNa9Cunn+22hjAx0nbfsqoKM9a2kO8gmtbbm4B59VyZUhLzOYR+VNVr0ZtqeYw7ba2GJTjMhFlY1gXqmrT6QX1JsdOy2q3Ni2aY3ZMysrKpUPskSJt7oq0bugTlT0xLrI +[+] Bogus access_msg: no_colon_3_123.123.123.123,tc(NA)p/22, SPA packet: /3vLbDh39wXfCcfihAz4rXNhhkSneIb5t6zJpmdO9wNYJNvYT1IAxI1Z9If8mmzrDrf6rKbYGOjGY1/76/VcvOFWJbyfAooMGfV5sjAPi5Fy/h8f9KKIxSOXZrju019+t5yAYRXmCs3eqe3ZrPwcg6OKAy1uSxPlc +[+] Bogus access_msg: no_colon_3_123.123.123.123,tcp(NA)/22, SPA packet: +6GxOs2qWdJQqOKSwb/qZr/n1QU62nI17+lyksoBugOMD32otmZJb+XEHBzGra9XLUsDeoRiqYGYZvyrhRbEx74D/xW//McouQXztNvT8urt8Y0x/j1v74+kD7yoHB9mHllAxfwD6D/CIN9S2M5OpHQKWtYS8owf0 +[+] Bogus access_msg: no_colon_3_123.123.123.123,tcp/(NA)22, SPA packet: 8EqD7akzIuuRIp2YOJBSGT6L53Rz3609URBMElKS5l3kkDr9HPmdbsV1dD+oXbYBHUvf2KXuaqkm0njft7H9KRXsm5xDJ+rKy2OQr/SHK/rAreYwmyqjCTD6XcIRBcsfYZ9KsSLPgP03yA65IPO81tPedK3GZHe5X7UEYm7eBMqVlASI4yg839 +[+] Bogus access_msg: no_colon_3_1.2.3.4,t(NA)cp/22, SPA packet: +hhwTzKJbP/tcDlt3BjfmO5rC4QWoHSooI75iomL+/qQKGkizMvya72OToa3sd6myYYiVK1QmOupDVjojWiv5zI7Xa72vxl/pJDNGSLpd3NUEqcLyocirscT5DUrmcTw0Ku2M5D1efQi/bsM58IePq3QSgGeNjjf4 +[+] Bogus access_msg: no_colon_3_1.1.1.1,udp/1,tap/1,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: /cDnd6LIOpPznyo6O/vCzTrjkxXQNyKpHJOjlRXw+hfGlSE2yghtpD0mH7ZJGfi8FH8j3nPDK79+BEzAZgRXh7XmbsJQezc5al6/JWhLn7mr4XtihkAeOgbdT8zS0pfHxp5YdZeB9gqhU55ffAot5wbM8cH7o6vDvty08HD7vebNtAQKa0RCBCWBi0twy0lp8vW3JKqAMz6wccwdBCis2sw8kClAhAbn0 +[+] Bogus access_msg: no_colon_3_1.1.1.1,udp/1,tcp/-11,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: 9ZwgfuL/+dsHZwWEonXCvpxpCi0/vgkXtRIUgrPhWFtPlBcOGdfmAqEnGwvSPvcUZd3pBfpfiYO6tGR4pRwhZgg2PI74zVJaWc/0HZ9/rkkcx1ixz3CbZqsxQ/MC/8RhEAMFnwJZhBapkqlJ3RxdT1/CokRJqgKIaxfQYjdKKbmMoDrHgGBRgXtJb0YigvPXYSrfoU6sTUQ1ZeIo1W56bo8T40Qg4Go5g +[+] Bogus access_msg: no_colon_3_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp/12345, SPA packet: 88PthmyMZ0voL9wTxgdJ98hj5sAGA+uMVH5a0KuD4hpB7vQpCPaCG+IFmm7plvBXgQRgSHdB0Gf5dNS0Bo2TJ0BZNWk9fGPCf4EwYrB0whMMS3VI+Fnl9b7cJfgiRMymGTqEBVmnyWZnxX/3y9vUaUPKK77wYlw0Pl/soI70FldBYenKTw9cfhp4vq13rUA8EyFUHYH81+oe35eQFZmBlEL0V8wUoj+xo +[+] Bogus access_msg: no_colon_3_1.1.1.1,udp/1,tcp/1,tcp/2,udp/3,tcp/4,tcp////12345, SPA packet: /QHjt4wfeB1JhcfEfV2EXjs6oLdXbrdb1eOPSi5tfNESpbge+B29xaeZnmo6YmpWH7Ldavb5v1BxAttyUtnI6S4BHWQVEt1ANzIVOHuolKPWfSPswupE4BiFD01WW1+W6egTJMy/6xiNBzGdAc4uCTUtbbFC2BZlJGNyNNnfi6w287d8Mwseup1w4nOTt+VRjeus64baeSPk34c2LX1BQn8IrtfuVmzRU +[+] Bogus access_msg: no_colon_3_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: 8wWcr1i1FZhySdgSbNdJ312J1Q4Z6v1WiRLzVG7tbwXUS2JikuJoVtP140osJsmg0cLtTobos7kq5S0a1PHiZqw7c7BDyPEHqej3uZ99Cr9eqXYcnQ9StbCbtBzY0dHDLpvrCLtKOLtQvSRk3whkNebXrMoow+AFqkGm6S+7mt5/x/TfBJ3SdwpUpAqlW1lCWEJm3nfNm2vLjn+8MjpFNfhcqkXgZLcRg +[+] Bogus access_msg: no_colon_3_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: +gFmkBLaDo60HmqaCvqOYriFZ4AC41yBJGcrTIQEiSdbZxfsvF4u4qRVfgVLSiQ1+hmYWb5bI9M6e94QZjCFSKT5Mgk/doRSMwnh1F5bYi5OFVQMG5IFWci6uNYL0R+Acjzbfg6Eyfc6nN9UZqRcG17Q5/0QWBSsvD9SVynBu6RyX4QZM4QbEyUxQh2JysYw1jdpjcggmDSr1+mGPd9gmrSbkRJZyZ4hw +[+] Bogus access_msg: no_colon_3_1.1.1.1,udp/1,tcp/1,tcp/2udp/3*tcp/4,tcp////12345, SPA packet: +4SfvsCixK/J2h2NqOSRVlS28xyEm/bvDmwkOv1/BS4vWW2bNddUvJgb8S8mpbVddjOwDmLttbqBh3o/3qU4BPoB6y5hzcjwo5VNqByNNXbAvRD2Rr83rvARsEeyNkuOhQal3KskIgdG/SgePNnrI3SQB1LD/91iD1GB/wS6CikWVcjfC8b53O8ysSEOVK6kg3AQYLEGFaNJCEhXCywgvi06nnz6z8dNY +[+] Bogus access_msg: no_colon_3_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcb/4,tcp////12345, SPA packet: +O61GrbUj58PeaTYZ0pVt/Vf6lDosWdreR3ZvF/F0tPk3BLHFangxe8Pqg+kupos92qSPESvBviQ6EXirQwtrDie5QIX33S7iKi5TkrlFKVTmTMt6Oz1z8rO5t94C4Uo4c3uC4+LHxvUW+aPyWMpzlytRCDuVzbzaHugK5OYNFd5liI4pmMun1F6ReDcTJ/J/g0qs0+xKWHcF94HU2WHd224iCNJG06w4 +[+] Bogus access_msg: no_colon_3_1.1.1.1,udp/1,tcp/1tcp/2udp/3,tcp/4,tcp////12345, SPA packet: /RfRfAsGcwG83709bkQgzlhK+4GLT9SPzRyGCA7UkMSLyQ7CIRInm2/OcA7uKgImuiOw0CFq3X74LrMkILtBUbA6F+y/7kervTKYU75J8w59Dieb7FeAkMgVeZiUboPml129EMZKNYBQD8gBfeKWi4LfB9w/JW4ohWuBJCLvDEyhABgCehsAWFuxrtVaR7tF0eKeFGdcldZljtrmVM5jNtxwNK+AzERrE +[+] Bogus access_msg: no_colon_3_123.123.123.123udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345////////////, SPA packet: /LuxV11FgMTlwiP9SMtFnY0FGa4gxKZoEMCCBbAud4L6KnyoNrHDQ7i19WCi8o/KI10jF80yCp8arZWaVNMwqGCqf5D6Dy6/yUO50VrtX/NEJ6VRYFEfO6mYI3Tz6Q5DMOMjMLmWF4dYXaKydoygbcNhO1ghThS3YMwGXxZ9L+Q6wSKYiA/MUSLGMy9JmoGe9mZstRADkb6xkbQkiuIexn9ukoXXoAhEf4CA4SH8hxp3E8NKj+/ywQxy2c/A0LlswX7f9GPyBIpg +[+] Bogus access_msg: no_colon_3_1.2.3.4,22, SPA packet: +ymKV/m2uoy0t9DoAlc1QLvAETQu9nsPR+3XupcDWNH/HP4rmXTeldlev4SGGKCLiOvPoH3lsydJ5eux3Zd2qkFf1PRgMN90WpXkyQeozaOYpUixlvoInnbdlVprTlrkG1aq4awHeg66y3Vkn4E8shaEMitlXsDh0 +[+] Bogus access_msg: no_colon_3_123.123.123.123,12345, SPA packet: 9bct347vf+5/hQAHImBqCbL6mIT6vek9e9SVkO6fbWZdH9TGhTXrN8Ppo+7+DtQDWUcVJcKCfMV3iKX9lstsPQ/jZAQSCvknSLq5xjIhCKc1bmX3JDmhmiMgp86WVzUoU3sLE9f88vDfExINALK2ltDHhEOiMUgejYeqUQn5CmQucciGgY0xMa +[+] Bogus access_msg: no_colon_3_1.1.1.2,12345, SPA packet: 99JIXEYYVMogX6NLRolfxUT6f8So0MAh3xDgD0RLOo4XKNM11yqUbIMDWU8l0VbjaaGA2vpcJKxh5CtWM9yFur3iDv53xF/x6K9dO3JE0ihRF+77k72eCL6XRUNbd+xngj4DR0L972Hp2xqj1zgaa7MtmXEe7KPaA +[+] Bogus access_msg: no_colon_3_67, SPA packet: +h/IxMkOkar551tj4d+thFw6Qk05cH1sa+l4HGKoZL2xY6aVIi6sDWNAERXdhpHmrAjO3n9UP8I8d3uxXYNkQgoiP5wKPimnre99Vgo3xy108HB3wmMb+IdQ24fm7YPJ+JIN035dxgAA +[+] Bogus NAT_access_msg: no_colon_3_1.2.3.4, SPA packet: +qm/ezYcGU8GnZcioNCvtO1wsRP7igOf2NYqdZDawzM6FPkxobbOrmrZZRw19pKmwdS2Hm3v74YKEuYFTxfCXq7EO/3hG0ydOhF/jdOec0FgxLwPjlEhWTUgzvUs9Ay0C+enZZwnwsst8KOygBOhkajK2YyVGCJ8IeRwne/zn/z8+GnDobb3N2 +[+] Bogus NAT_access_msg: no_colon_3_1.2.3.4., SPA packet: /XpnBKPRGF0+olxM0F22PC6nivaJhGvNQvJ1sr3aGWVGpqG/2/tyLV7QB05kuVT8oIJ4rau0Y8u4LgGHTo/nHn/i2lVgyWShpcJmFJV+8WyKoPAFZZi1v0Y90cMXqCo8KRI4PBAaohbgpQGDGsDlS8JUCGdT4H1iaAOhpg5fXYOfvHG8vkWFyS +[+] Bogus NAT_access_msg: no_colon_3_123.123.123.123, SPA packet: +UWhRlSUK/3bW+E8NuF3oWPZ1gjgeatwAhwhhJNAXMYDQQHB4QRiq6C/j05TcODEepsamBbKUEdJMfnvapKD4HMpsirHd5IFYEf1NAcGGfQZX7qyC9dXHBwMeHYXCUv2d4Rd/2EzbCE2JUD4SUJPbLuyA1kU6p+W2jIWaswxOrvDbzq6PmwzDL +[+] Bogus NAT_access_msg: no_colon_3_923.123.123.123, SPA packet: 9tqzWjYOe+kHCjHqu1z0jrSfrw1ptn9MrwrDDsMiSAENveGi55C25i5ldJYuPUimQS1mPrkW4GgQ7lI7lzvFZKdyiAdCNcsYGwEbhdjNEyb3CZyQ7SG2WocYI/5ySAddmuJCnpj2+d5Gtohu+q2G26KfP0LTChnmc975ubN7UvMjVL359W+mhx +[+] Bogus NAT_access_msg: no_colon_3_123.123.123.123., SPA packet: +tE/TNoYDKlQLaHzkOcFo/EhB7xFCdGy6v+t1vOkrWgboUvjO1oEjjf8O3QjOvHsWDfEF1kqrTqQ09zp2VVvoc42c9prUGOGOZLnDWYhXmYVh/3QVoL8cYPE3Cm2uob179eZQ2nQpCs+t+lAAAlo9a1Zf6drHmqBcpE3zl0c6YaN/pFErIucEU +[+] Bogus NAT_access_msg: no_colon_3_999.999.999.999, SPA packet: 8tbnN5hAnsXXDXBqsSEmJTaveBDdlVH7JxdSx9iukEHbWVZD+mjLeN/kjO4UaxJiaKnHSVdC3xEqAV8n8jXjo9DILJOI5uHCeSQnju67rEqpkJeD/1myTw9PUcY4wWiAcXY9eK0Dn3k7meH9bqyiAsVn2KzSFDcdi5aAjB8bgcXKwFikgIr3R+ +[+] Bogus NAT_access_msg: no_colon_3_1.2.3.4,tcp/2a2, SPA packet: /7f9FuMT+c91j1vgImwP1OxJmES3bOemxEKWxMlARMRsLtkFOelaZs0263pop/xEcwmeB3iVo/a5dd1ep6vOFtk9i3sByY8rJM/pjTHlj+NrsvTl8b02NNFyDzm/RqN/kOY5mZAuKBc2cOPXCSiJ23W4LxwiM0OXOZLgU2U/ardED/Wzy3DHbX +[+] Bogus NAT_access_msg: no_colon_3_1.2.3.4,tcp/22,, SPA packet: +i7yJFQGGNsvfEePlb2zr5paACLGaFctkieHX5XUvLpAE9IvXV+qeMRMgppeurnJ8gyF56LQk0c8jKQ3Woz9lUwL49SXNe/8qfirPVvyimqMhTXI+ZMPT31E1UAx7Z9V/N0qVrI1flRvZAEYVSpLNAxt+cl1xKKVQ1CLJf/lOSqqHJU5E0vJ1E +[+] Bogus NAT_access_msg: no_colon_3_1.2.3.4,tcp/123456, SPA packet: 9bkiDFur+AwAAxllQJ/O3iDGfNlw8w7l+kBULJFRQUvL45UQqtS8z2V5QNW1UtudJCq1H4wjkW7zdPGDfQR/muLBgUI1s9/fKHvqK9BAtsPT3tQn5Pz4r70KiKBrwnepR6K33plS+OlResFs9NLkRUiog3pfvz0ow5n+5cmCVhztyp9PEnl5lYD4j5QwP62ues6+1GhuBTkg +[+] Bogus NAT_access_msg: no_colon_3_1.2.3.4,tcp/1234569999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999, SPA packet: /axUc0cqFGUmqOjM/oUfUsackVMiBPF+rqe+MDHRelZ+GEMtb5Xsc6OKps0tQwA2S7JxFxmzA6hnnzK+VcfUT4Y+mVlXOnGw0o5SwZmYkiKmCY3Aj3JMjqU+9QeTJJjQAhZvuvxxn0pxX0pNdX+agG/4Si1c6mV3BGqnZKR+ajYmRn+ykNQ1LaFduY/KLYlWMz4JCgw48fFfxMD/bFAwoGOwiw3tUEc22demLI75Hc9E6lUksFmGoLB4MOD5gyMWX+V9kYB9x9Juifx/CqyB69+lSIDEsUjauJBAwd4KzvhoE4APY5xfQ8O92Fc5nXDQ9MJitBQcZw/vvcQkSM31bZNGdSdYp3yczOVEFkN1eCBn/x4rF3bESX +[+] Bogus NAT_access_msg: no_colon_3_1.2.3.4,tcp//22, SPA packet: /MQ3NlZ2l85BizbjrHnxlawY0JCI04yP1f3Bul37fUcApWt8dslDA677SrM99ns6fMGJoOwuwjnRi143d2cJWC3bGAVyBZ5BrE5BdlB2xEjHM/XihtM/rm3jgtpsfrLxnbypflgIyutOdOq2sdorB+L552UhWbLUqbh39o/rUUhMvAUm2BxCgA +[+] Bogus NAT_access_msg: no_colon_3_1.2.3.4,tcp/22/, SPA packet: +hvFqyjdXiRFjAiqUdARZjiZnN0brrGfcJG1sCvlH17CQVHvL7DS/G8UspmVnm0AdThHjCThnqs2OfGoBhqmUQ8MeWJey9XMSfPc9Z4WlcR56Q+epcxu3k18LfUmY3bHeoAjqGgaRY3DTEI4y322xc6sjQCiawS7sCyxuMklekcnPRyiz81VTV +[+] Bogus NAT_access_msg: no_colon_3_a23.123.123.123,tcp/12345, SPA packet: +FSE5XswKUKXvkjrrTKfLRrwEEGZZS3agMbh1eLS/XDnKpfE4mSi/ufvaKunYRmK0I/BNMUMRUhk330qzJCfRLX31D5q4GsnHQenvKG+j1CP+dGuY5hSZqNcjuZzrHIe9tCgeHS0z6L5PbwnEsn4BBGQdKb0pV31zx8aVmR+dUk1bMZA0x+7MqUzmN6X+5Etp/apWBidKNhQ +[+] Bogus NAT_access_msg: no_colon_3_999.999.999.999,tcp/22, SPA packet: 9UL7ZtESh3vSqup09xwSRYkwUAeRkM7Ws1VcfVLMH6hzuxVMvWtZTI/A6OpXGdiKaYDz39IP6Ir9TtSe63uablhfxnephB1Faf3vMBpdqeJuIfbsQ7wl8g1h3varwSwSNkhtxP2NMt5BkeM0QFaPt+sk8joJmH7M9gzXxjVcosAd5vt2eb7JLH8aYEhy5XLNihCLe3yD+zFw +[+] Bogus NAT_access_msg: no_colon_3_999.1.1.1,tcp/22, SPA packet: +TLNDAUfs8maUcjsoDRsmgzvVbRjfb+Cz/9RgPqL2o0a5S9QDlYp+Do2+YZrl9dyCh3B36oshjR98tQhMeGRT/gkbUwBS6D+riSquHzKbyNb2qQmMFLhiMxwyOzll83r1mYsxkQ73omsMVoBQbRbsn1fHr3nML1fTBksNhFByaaoCEyCAjjYgo +[+] Bogus NAT_access_msg: no_colon_3_-1, SPA packet: /2iTG34SlPnNl3lye8DiXc7d06yR1OuqNaiLvzkZ96zFra47zYCYfZnloHgox04R+AvQuBM5fQomag9qrMpddLcVK5ERPm5MYE2m+6XNLWj1Qk8lQzpFmKyGzbItjFPSgoYJSU0E/wDEgkviepYznhbC66OEd0Cvg +[+] Bogus NAT_access_msg: no_colon_3_1, SPA packet: 8J45POf6BRD2Qh+lfhTyU/iUJQltRcS9Jir4ZO3x4AIh/boqGhfcUSxjK17okRkc1id/gYdz6g0mn0TAd+rhaP21H8rst/wDKTlnTt33E40kP/dFH7NcvvtMuvpH7oKV7rKJi87INFKTfOK/Pi1rhR8hS1rLaAJfs +[+] Bogus NAT_access_msg: no_colon_3_A, SPA packet: +JcvazOa54TKRonYrWeQzXBOaYAaAGrTcPKpiroSOyNGRfxHPVTntBLiTahpXNQOKTCFQMmPXD7fyC0tx/ClwSSptzVrUNcwlkBntZxLJpzqaEM3s/FE2/FO06vECYcCNviktpCau4MB6ts7aZWndubSv9IHCeZcY +[+] Bogus NAT_access_msg: no_colon_3_0, SPA packet: 9EbScs4iBetxErpkJimhqV5ORJJYfweXwObdrjieLZBntFUrJ7+EGbVSf1qVtVcGLFsSJW40hDHlDgnDlornfK4rOh4P5JqaFbKdPFeloFvHrcs2ydM9YMcI5brpzYGXTsAKCrT9TPnHdoo+VILf/N6JnJQnDM3BI +[+] Bogus NAT_access_msg: no_colon_3_AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: /eanTQfcJyR658/y9dBaB7oA52z3llZmD1cear54ugs049Oilyb7DtGGAGFg6QL0IAjJWIVww/46bwDZ+YytOjVf1bptReS710ishLIKuDe1gYGBYzTKLX2neyR88p9hMA4ib1uLvDY8wzLXoAfn7IpcuexMLi5kVDmZOV3edKuZLZAomD+P/LobIhMAnQKAtBNNBN3z8p9AP5xs5uiO2vQ810lOB9sKeRWnXp4qz5ADx6gDwp+JEDniNpSsAFEXrYW0qtJDWzpriBIDoN+ax67TpVu0RxRHELZRVpduZtHS8aVcUX0Wga3LY2laFSETzE7ZhNs1IqHmCEanfpu9uZP2EFqRH1bwv7YwPJM8O3VNzWbwN1E5584WTreK3wmw3Doj8JyyPpbEnEStv8asjz70QDLsg0W1TbZtuqgbtFbylYyHiceiexJdHWVJV4cN4FcGhWGKLs2eXyum5nl91ipOCnfNbBGW9DfEPL9gJbITBuempN1+xlD3FoXaQs0qJlYKejMcp4bcLJsIRXL99iNbXmvOCBj2H597Efm/kluERL54VLeGoYFBlfAubJhN71y6Yt5RWgMySAAsRXkDyoIPXRmFC+nOUKdhbfV2am3JwQk5khHu5ohwRKhloQOuJlSiSCMu6tl9uBn5AVHt9HvqwE3buNshi9kMVmst7bwsJz1HscTHF5lZsiE2qkPcLFpZUj4Mo+CBzt4NFqbJOmN2pFNHQtXKZ9jKjfEp5plz0M8mRQVEZhVF61qUoD8sBX0pSSw8AagDtyaFfjgMScnAOfMX4onP2Ur0AVEHxFEsDGuXfbeSYLqp9vhQYDsfGTIJpIH6SzZcnCWsRg0odGdde/OivLS2BzM7908UWxoNpb9AwQoJMECRGAt34D5QUoi8Pj9vn2hz4H42IU+ywFHkscNNW/jkCFyZWWu0gD9afzw3/rPl96WslFec75sbi4jVTGIWa6NeRKDRYGMV7SNTzjiTp5oVuEC6ieBDBFNbcpPnGNJWt3HmOK8h5rsRXKeqg/1y/uhVSiWvWErVyHdrHY5hUdu48b7kpRQQGx6ub72ZMF7G/RCJWHGW3D2cij+RvWcMTnoJ6UFBzl6wSHo+RIWLN+ObW7/zThpGZgEl/Hz4H7P8dOBhnEXAvF2H87wDuwFL3gCJRFkX6JxxZ90qenQN+CPpyinvvutsRJ5ki9hz4kxg49Dj9ZW23Ws+sd3da6503blx5aAiSGhVFldt2WjdkiFFVYF5EEaLAqOBaTcXzXr9IFO1+I8sWpVm9ByZEPQYdPONQ/IfDaZQo2uthGroG0NgBrclPzSH+f/GHn5jNymbXFM5BVX7Uat09Fw4GaRImp6+mu2G8eskZY9k2g/HPQQhoSVQMJlTNzdWAS+oLJJxjvVxFV/j7te/PYbWJrFrGw0otyzrg9TdEBzQUONiSyMwU +[+] Bogus NAT_access_msg: no_colon_3_////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: +jIszIMAqpSNM8qeh5EEYHb5+5pkzdoD4pEhhTL/0XUBJ+DMGtnF31XR9Ps1qgDp45HLYqc9ydJUZ7wryG+9XCqtB1vka5xYnkm9K5j2+TP0JKxN9OP8GDq1e8Cd6UL2heiYCpBsAxSdnbU5uhzJMyjD24H3WVsfRsW6BNPPG23hkPeW/FUWhUtTofqVcZxHDtpsa9ICIU639kmcTsJD9pKN1hRGZ7RR7UemQkzCqHGEbLAoKYS4t9WZPRjsLglCv2cheAbMiaFqyo7foWnG+5/uLNdg2JrmRH8ozM3AQhk1FwWxr0OzJREQ+OzKf4Cb6HvfnfZwts1n3H36PsLOipOryVj3+VagqPjHB3MZ5FLVSEXXeD7FObANAK6tLrZifi7oVTE+d+psI4MixpQh1/pceG5nrQoYDqcGT95SrKpt5nJrbG6jy+wzmEWwo0SGxupzLKtSRzIb1fLb0YRKPhG8w5e+vmaRmD2m9f7XtgtYT+0igd7PRwAVXaRAF37P4TMfVssAPU1bOzeyl4q0Vj42+z1xb4w3hZAzVg4PFP/alKoS43XaEbqvSjgE4gb1+03D3Dk42rONZ/o7HDFJVUrWGo7/uE/hN2rM0N8vg1woioxgQbEGU1Oian0dzDYtebqZxfDfmt+S0lsgYmVMTQpO6C3IQaCMhDC1iKXv0oshF7F4TKcpvqVaMBCSTLWr/2pG4KFHbP6EY4roaUeE6X/sohLawZyjABm+Jd40iy9tE0iaAsY2oeL11es3HjeGD6SICJvcO4hyRqI1jMJpUgp6dZXiTluDbUlCyDxBuxKaRTOGi6Bzw0w/eORIBkSmW723zQRili5fhPnFcpC4JEK9sXE97MQalirjMADgTEom6wLDoL7RicGoDmWp5iCQZEtGly6pp7sMbjtifbWFtn6iye/UxWyVF82N/VQdU5l3teukCVOg3hS+HsdBiHHlAmM5gzg7fu8wRPjJ/jittQZ37zeLP08HFyNi4ABq8/KvL8rw/liPhCpScJYk2ZMLZfqCoNgcuQ0cbtJSvMC1xIeH0iX6iBi19Tf5ABTgIIDWThiB8RujLQYlLL1OWHClImqCaNdozGiDtHNB8kEI+rARyL8f0weqBzxfCuEylSuaHeEGzxF8bOa9CDdybww+npVklrA+6tzH8ohpsZ67z5fsHlVpp7BOU42iC6x40R3LJh5ke+9P61feHQDLpgyO5vThZsYE/OdX6H0xrWOmknVPbG9XAsTHOnhcWbcbwhHCKmz8o9bnozDhjcgPYyNQG+H67aWa8bgtwF1/d3oCcj7QAmTyJIxwe1jggaYFg4DZjxF6XW3RIYncUT5hlaix4oUQeBvopS0IAEufI8BV1orSW2glF1GkqXTjGyuUsiFlwBJqF5Z9BMbeC2wRKTpyKb68yLQ+PWtJLU998qtVlJdPsZ3q6Hs2Q +[+] Bogus NAT_access_msg: no_colon_3_%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%, SPA packet: +Q5APLTBggqIOrOwh8RxmB0JbSU70mgZzOORJgO7FZSRUzcdXQkUXCkKN78bSoeR6CGLRfi8l4/hHxW8I83MZtmgXHZwQAhXacCjKJuNhbUjjjwg7lEV2RFUNzkrPAiRo7TFOZJ0KXoK9GMwJ4//S5Zi6B1AmfJ6xuLkvYztUpF2X94vfQCeNKmAh18ZaBW2HMDM3vZcjifSjol0j9xik7zGCLNXjiNJZ/xjmvzSakU5IdMGjJKogMu1jkKuQ/5mQ1ZQTQifEQckbam3ubUqXXV6vB1rfYhQ4KCwJOKAp14WnT43a1cR1P8cPHzak4MvpwB12Pb7c1dw4fCGJsNiZmaLr+z3zMJfh6POLKyAH2ve6WIopJ4VFQiE3SH0s0UvEB+LReeZMgqsavT/RUaAl9WU1MqfKV8EyHqJgXVV6uhtBg4/750cw9QbhDyN13zPnrdj2c6awG1S7WccfgNQpnRMH4JG6cezmgpg3Utgvk2QYSohb6BIiisL/pfpFcZruvni85QGE8mckEjMPOnAUHKsYtzisDHUarTiC7GBty5Oeieiu21PC7B1iXUUJDlAtxIBDzG1Dno+HAsXjymsQdZXVHtrsgm8j9Q8qOBGKrwee066VgFYXCeMTFcX+OpfWmTYUb6oD4pdvQ7umGiOJcKc8hJigCbAca+g7V1TkfCQMUNa+hpug+Si0TSyziLTTIkWbUwLADfb7tjn6CaUvrBQbA325p7mTGRvm3Jejp08W6zHWKfNO+BstyUrNjo02DSXygehGgdqXPbk7HW8vEdgF3PKg16hA7c+JRHmfbNPTAPvrrfZ4H+zfp/ZTlWC6JhFgMyIo3MBaGfSYo1xFe5KVrmuz5D+l/6jOTi3TXYqam5P/UDYVKpIADGDmPWKx1JajLP58cCuf0CXdF0kI8zWziOHVrjwNwQaWQW8sVZ346Wn/WPGxkDqToueLPiTMOHeeGTYZTsccf8xy/ZOweMslCXnPx2JSlm4zoYRZpW/UBfR82k3fgpToMDMWu02cddQt60LWfelu57J4ndaSbXxWhocqbxJCSHEZjPslWJOMPF/8fYm9RYxv2x9CdyRKU7o0pq0uuw7w2Cv2FooqWkjsjxKfO5Ttf7iPvPKVCRo1K03781Rbrfu6sLx56TNpkPoI3Wd4U/8tRlPuiSgHe0VYXwVPa2GzfRkngTnE6Z0VFsSvyA1W1/+2N+f0PMa9Y04r7axbRNjkEsf3hEvGmBkcMHFNAoU4AqtgsEvvqSfNcZggsLwHQn+kwTbuZTn2x87nozU61lODdq0+tDzOCuUT0e6hMTaHvePxW4xV1PpQn311udb1GBh3wDsBl9pWYzWe3Mgvkk0v5AHd3516jRR4s9+L+nPO/9eqCnvt5xj89JqF5jufgGKe1fth6GmJJ1oXtSBTfLkybRvsteGjHsyU5fP+UK1M +[+] Bogus NAT_access_msg: no_colon_3_::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::, SPA packet: 8TC4A/Fq66ym0wDHrxXvJl1sMfhIhdfvI/hedgjQw8yL5povuohXMArNv1G73NxIcYDSo3TsFeO1HDqdpUtng4vWfMB32PM7rznMYmbC9Yr4tGk5siAazbJM6dyI6bm7JTD39wqIGjtSZKCWGQy1Lv2RFXtpXRbe0Mtfuphtdv99PPzB1w4vp1MvJ9JjNz9WhD+wcfIT2UdwU7JVxa7nU1H4EtKwgj/GkIZYmYqjRe6Ak4P2FC03KbXFRY6/7cw985BdnOIi9QJIP4p2xybkuJMS+xvExbHIXVwsDL8MQx01OB38tj1eTnpRnIgUDNTCAQ5SQUCoWzcmQWVUNpKIdxypGBu2QTh8r+VvsQ2/nPi9OnRi/N8BZRsgpEakXff2WpNIGHbtCkw8ItJP8gYU4mzD3LRIBkMFeE4MGbDm/oWEszUC6BvfSoYSu1TK5W4SiYbLstDgsphtf2k2cpKi8rY3D7ZCMqt0TDKXUBVqMHQNk0VP0zdkeEAH/a1CgkM6zfL/QvHbNJUSQ6evQ4beX8fxAsMHC9YT26Di85r12kQe86gvo2HzgA1cC1RJFkn0+hTJp3TOAcnsPt81OYOJU3n46UepojVJ+A8zINPg6MbhaoguVS/3jSnq6Hmd9573Tg32Dg/jo7G3+67CwdqNXkvBK0oRLZ6/KduhG9wn39Vvb5/c9fL35watvb1eIa2OuSXU/SElbnGfwu+dVUDQ4zLx2V5BpvEENoX7mDwv6uiw8hPs7LMGsscwro37wZav86IRXTmaVFTvLKf2rtlJ+FFIUoQIQP/z6UNZ8Wg5Zi1CBLSoAWnc8SNE4YipVXJ69i7j0B6beHlvM1YguWIR5M4rPuoAhziFY586vx5cOOvK2BviOYtJOpR47URYArki5ih5fRzcmtCtIWfX3N91VsPtCJ7/sIFRITuJw4shLMKrfYaznMs6dLfT9VdyRE7M9f6imgVfPPE8ZvJ4sB3H8O+ZcvynV0OFPIImoPdUNmVEoMfwqbR4H+vY94NWl+xsH20sNeaI2dqXJcqWY00GXz1T9N75YWxXrDQU7ES0iyuSRuXsFpol1DDhsJVbI9r5K+uDWBA+qJy/CPC8srP5+GGE4Om0aSpC8zajBxtLpdD8Qg4ZarQg3Ui2LBzmRX21zMfAZFCYRpCPX/23DhzxfouKEl7nWhpw1KIeivYEqDUtmhY3leyX4Np4YsBymleKmZQe1ZtbfNpsNyaIjUiquSpOvgnNlVIzP/JJF6CVCfM5JafuYzBAqLemSlGQ510eBMNFeaGIhpnpywhGi4ARl1U5RFm+8Y2oFcZw61Kc9CyPiyTp5rcGsU9adhPNcEw+KsWNKvjPzcn/8ToS4XdcVLDxoLhYCfAxkSbWfl37gKwsczbT3aGQ7IUPEcq3CFYrbBvZaGDyCQCTkb4N286UC6aue+2DBuI78 +[+] Bogus NAT_access_msg: no_colon_3_(NA), SPA packet: (NULL) +[+] Bogus NAT_access_msg: no_colon_3_1.1.1.p/12345, SPA packet: /EbTr/8VvXAaCiGXiNvAOO6e3AHjEXzCh0GbQSIQEp9OZ3gM+dBqkiyLEBfOX4rGWToE9ESHCOJrOIPp+3fYLNXtXiBGmTI4QZ5PFz5iBGmUwSs483RlrwyZ02QFiQAzPt81CJXSVlln4g5MN9uknZKEW7/TJalxja7y1hgeFvlYDdSv4/spzr +[+] Bogus NAT_access_msg: no_colon_3_1.1.1.2,,,,12345, SPA packet: 8XVjyZUM8PX02WNDoImtXJiX614ijBa3l3EaIldey9ucbh7PRDB6IDB3hRb0QePh8MgIIcuUDjgJfZO0Latu0Dd3zvHMijU/mrp+WSCiBibeMKgKq9Ao7IPDIGbk3LbafgWo79/yAmmvFAOXFCF2xlGOv2CRgtq/OjqG2uj48MEbrsER4n2Cn5 +[+] Bogus NAT_access_msg: no_colon_3_1.1.1.2,icmp/123, SPA packet: /lp+CfAv3RcdtyfCu7YG2WWlZ7LKI0iIB8Xwz61XHokEVszhVjf9aSX2CmUWGmSU0M0u427C8i1eRrnVgRPDETgsPVtBigchGWQB5KR2nYyRqz6spuK8GiDY6Lc9tlmK5YrIfSYVVSBfc6hSMVnWFpOmF/S7sulnzbKQ9VhVyWZlabKHWQjQz+ +[+] Bogus NAT_access_msg: no_colon_3_,,,, SPA packet: /jeu1WPZc0lf7UmgPXAhDGHADZYxQ/xEBZOtw0sfOCtPLwll3cDcfT5BN7yyWJvKZPjRd3yDe6jy754C7Sedgsotcp8ntg0hvnk1PLobJUA4AgRD5TXuO3j2PHwzUnnsOzfceUzqB6DyrPB7RsMbCV+vjkQ+eGags +[+] Bogus NAT_access_msg: no_colon_3_----, SPA packet: +5FYKJP0sDw5xc10wviK7/C4NluL3fkSbTkdXE1ppHV/0eIL9rDnZQ1tRZEKhiD5CXrFp4JS8d+9RJAHBAxrv5MwTWXojJ3YG0CBwKv8j9jJByvCVv/aBCx0XZG9v86YAFt3abzt8JVdwAlyUSJDXHmYO2QojSVYI +[+] Bogus NAT_access_msg: no_colon_3_1.3.4.5.5, SPA packet: 8R392gQRHOke16a6UiUZXWwJLvuaRzXHeYkExkEL1M62z16mMsL4Er9XsQNagsbt/WBbLwhJ39tlU6LchTqlj6bNY3N9Zjzo90LUAK3JlKqh5/O+gVCD0fPXYmVNNuBD2wYjFe+IUrkFWQGQOzh0KoP47yw9vUxooDJRLfjSa4TUezsn1wntUp +[+] Bogus NAT_access_msg: no_colon_3_1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: /i2MXlD+9lzKpp8WB014dxFJ8bwCMr7itT2vtMZwV8INerxs7MJdBO9EYzaSro6fCFLY5Ddw0y2dOnLPAx913+99cZEIBfnYjR2no/To/9gMPhabuvIvxF6ORGJakw7FJsr0w0fODEM1ZgIHPGyCjukFz44hUWz2eRnhInaRsEj7WSF1TiUwefFuvayL0jjylM+XnWF/NdTwk5xfubo8jibzZFNauivZRbJQfnA8GELv+UrtrTNETRQ5h8zYL2eT7K6cRVgBbCUyFcrhkGOtbl8CBAmDcNtgSYyVTXyRRN+9kQF7k8LzW13lGNE6MR80AX3mLjGK66swU4VYChpoHTaZrxl6wmpRc +[+] Bogus NAT_access_msg: no_colon_3_1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////22, SPA packet: 9WifcZD9ORgWzZEnZrVxa6Vlaq4s0xVvjDzw2QpELcUhUwiQ1rMb7uUplJlu4jBVcLpJ2Sn/iFqWE2SA3BdBhI/EMH7EfJlg+ynrhc3h90EWp5RBhFH1tEu1Vj5jNyRrjFrScWYUFjjqtKxGw8EBOZg3ic87ZvdjdmikhYdOsNCq/UwKLetxvwdG1izVwzBXpSdZfQqX871jsBXuNNhw4xd0NkB6YcKZmLfw8wNqIlb5nEpBTMhxhzvXVqbDc2bOLY6Cnn4GIVbYirtLfsBVc6ry8/ncICyFSGQIwACZGHM4wutWiRXc/39PbJArJDJXXQsnJdPnSfC0Rhd4z/NaaTyptKVDeVmFo +[+] Bogus NAT_access_msg: no_colon_3_1.2.3.4,rcp/22, SPA packet: 88mCQR9hxIUdwg/rJbpcXAVBUpsAwpVGtViOmbgkEXaI/fzU2vTKzyDfNqsh9D4W3xD0KqD+k7PaLVnd7GB+Glg6wIhOzge0egPtIRonHfUYsgEN85KAlQLI3TTm7/vIjvyWeueim0RzHriw0cpPKlZSDM/JoOweVZVc1GJsYCa/sdllSnCoDW +[+] Bogus NAT_access_msg: no_colon_3_1.2.3.4,udp/-1, SPA packet: 885TwUhI5MmM0Nzhu3Kaj2Z7OIZtSN4w+JujHZruVE5DyftBpDhOU3q21icLA1m77TbHTA36nlwehtawvh1EzvZ4GIPTwsbtRYX94fLDWpaYm+13ulc4sC48M8QE/M/+xeDVd8COrlhrlkoDmcNa3CZ66RXw5kESX2aq79ihvXh4ots/k+eXST +[+] Bogus NAT_access_msg: no_colon_3_1.2.3.4,tcp/-1, SPA packet: /txvKr0ocN5w6uG7fTga7l2vRnBlMb9ozybZsFvc6Oh3/4m8hgIsO5AEy9d9FKJJr0qPNhLDNnafy/eswaNuWEhBRd4tkxDViGOQpuGoj6oYLZZuiIz4OAnEfziMdQvLbLohkK+PKlYNxJIcInuIYfnhToG4KX/dMNatyiNeQy0XuipZWoc0tK +[+] Bogus NAT_access_msg: no_colon_3_1.2.3.4,icmp/-1, SPA packet: 9g+cwxJTUkNGkt+YmDFsgskH1RueamOu0cdRrTm9a/ZQ32/SXWnYKzDgJxJWSTFw2dRsIz0VAAN1pn+Klqn4z05L6CXEUeRlEiFRuu9zQN9hpfi1PFHOlmc4pF03ZAgWOL1Q33fkFm8b9KhfFh2GtdXv3mORAupAc05kDy1EhwTYUdIfF9QVG0 +[+] Bogus NAT_access_msg: no_colon_3_1.2.3(NA).4,tcp/22, SPA packet: 8GxHQlCfiuHl4jkxX8Lb2KUQKLaE8Nx9o7gOcJWb0/OILiZ2/NhPmwmSpuyjV2R9K4s+1aB790+frg/AG3EP0w+3jX10p3uzVhWUXy5Z2OzqzIgntvXrjotggrj0nwi2JmXfqF0m5rr88RyoodsBCCJECh7xg1hC3Z9OjolU2GY6pss+SlMzH4 +[+] Bogus NAT_access_msg: no_colon_3_1.2.3.(NA)4,tcp/22, SPA packet: 9fyNs8I9JcPfHXmQqBcV+3nBIVnp7wVtVFgR7raXHfxoXQhDaRRPhLLYNXJN1uouNJuL2uj3LVvFWkAgiMxV5y2sj/eipspddTP4GpAiskuIbmV3x6jIv9jI3o9Jav/buvDpfOMavIhE5mcAUDqihusMhPnnJetpqJTe5aebbE297cGpFrh5on +[+] Bogus NAT_access_msg: no_colon_3_1.2.3.4(NA),tcp/22, SPA packet: 8zqNRL1UAaUkKLVkfgL45u6CkxmRV1sOWNyTpRLXHLX2l3DwbPSG9Klo4Opaod8lRFS8TACdNnAgrN8ZEaGNmmYAISlRsMjXDjHgbtYlDLPNiOACDNX4DVpEtJekmHkD9Hfl115xN2ImP33R+5iuayEk6g1MbYw0vejP6HyPPVpRE4O8I/cWRL +[+] Bogus NAT_access_msg: no_colon_3_1.2.3.4,(NA)tcp/22, SPA packet: +GNb4TKE3MX7jiyXidlFJK8J6cJ69titaLlNfoTN1Ap5bgaMooqUVePEYaKxE+RCiMobdGfzx9/gdieD2X6g2tO5QQZraj0u0prVD7I3Vw9OgjA7W/IS+gWHSRsNJ9UzwwcVlxjJs1qVqoTd2fIi5TFDnGsLshBTPcxpPMbDdhgXbN8528UJpG +[+] Bogus NAT_access_msg: no_colon_3_1.2.3.4,t(NA)cp/22, SPA packet: +vpyppL/Mp55np1bkyMEgcFGtrkpGovtm6m9H0PLkQuOTAw21qaAElHY6UL5lLp9aCIj9E3HgXXdfN+qApFbr7+eUyVYavAODLs0m9GMaw0tqkknUnQGtL3CWVBws8yuKatSFvRK3tQBK4M11Usm+CWO/lFXTzlKzvMnFIYSiA7LML28YifTqY +[+] Bogus NAT_access_msg: no_colon_3_1.2.3.4,tc(NA)p/22, SPA packet: 8LPb+TWgNOViXOPqRB6R95OGb1SwRE1oTu+yg77WMXQTopyMkt1Q40BwfXQ3pFWtTyP80kLpQLbDqTxmkzv+FNNwGRUZtcMuExd55HT4xmnhGRtvFwzAjqo1MzBhd+dWIKkE98rsMlz5M/uPT03+RMdujWQEtvRioZRDAgzIKyXk4CIuP9FcDX +[+] Bogus NAT_access_msg: no_colon_3_1.2.3.4,tcp(NA)/22, SPA packet: /Mxq8x4d9zRCe0joJc8aCAuPXfEqdIJzucPYsXMYTedtN4PEb3+1NGoQifl3NsnWo400+ZucmijVirQ+nQ40QzEsDzDg/n1LMUWm0pJxIfZVN5io4KXTBNnor84+f8lNB2bhw5ttDQ+Yrsy3GXk0HIRyDfwYLVypHRHq2IFu1Nw03nrwcsfC0c +[+] Bogus NAT_access_msg: no_colon_3_1.2.3.4,tcp/(NA)22, SPA packet: /B89Gwwrtdl6fWzB0ZNc6hb1pZFOo7LpeNb6/5nNuC3CK03eckSCvc4KeIHkK+nCaeUvhIbJq/iLkJFKtXHaWRhw0FtDGAqIwTpR2DxKXSAQVgLvhEooFJewpGhl/P2DmuIHAnYZJkaVMahMRkNOrT6C+wGHgMxyKIwyfHoBww3HgNsDnYpTCM +[+] Bogus NAT_access_msg: no_colon_3_123.123.123(NA).123,tcp/22, SPA packet: /lF3zbo10zT46uCl4AJYuOr8F/xAA9M6qjmXJ+1zqxSbSB7s9XfPnrXDFAU++RouI6iQIR38xgnQzh9KLiT+2fxdp5SzDORqYzjvyNtjlrnTuhgdn9dlmvQE2h8XmHeeIY3aKKRwRG0M0dLyt4KOJs+ICCJi6GkvxE2syxzxBvLCx/zgyMNIHy +[+] Bogus NAT_access_msg: no_colon_3_123.123.123.(NA)123,tcp/22, SPA packet: /vtngzSJLNlCgIOucTiTVllzdn011tPhQm2B/lFesgxa6aidVUI92lAM05v5VYCkwsxUmv2fSAdAUR5rzKftwmFOiQmPsaQrhd5nSwBf9inFf64YdTsb8nfXIwYX0QRZyhqewFN4JddrfBE/Ewdm2VSy+9zdLcen7YqkXg6tOvWrbYsB3JGQMF +[+] Bogus NAT_access_msg: no_colon_3_123.123.123.1(NA)23,tcp/22, SPA packet: 9cC7L4Owmov1DlCBLYvtk/UJW68OIcB6oVxUKJ5DKxaoqTvtGz49O6Yn/IBvsB02Z/guI/GjBQ46y3K/D42pYf+qWa1TRpNj2ESvoGkqW0UQCuxs7gfsLGfRvAgpYNwF0Kw6z8ZkU8BhMkVN17Yf9dxUI6VpBA5mb0WfAhBvlDks3DizW9ed/Z +[+] Bogus NAT_access_msg: no_colon_3_123.123.123.12(NA)3,tcp/22, SPA packet: /31UE9mBtK5GsICmuY9HhB/oVxPKS/Eq2WADaynAvMLpl0PjtgODbhFfnHHbo7ZpW8PD6nveMbuQxx+VBz+ldanTJYY2AzEkky4fulNKwT1ZWdm4v4xdSotN5DlhOAxStvxrs4D0ypTP9bJZQXQ5h8uRkD7yxpIwK4PVwlDb0TDOIWlNZ7TeRR +[+] Bogus NAT_access_msg: no_colon_3_123.123.123.123(NA),tcp/22, SPA packet: 9D5WUz5eUBqJ2bMNVg9fEsjSQYe353T39d4szOl8clkdvHAkNMCgrtRF59wYjkAc1OQJZxlpawiW9gvkuLNOC8jUdVUFLB56zz2tesgAkKUpLFSUfAxCcK0cHw6JRxZGLNPJacBrMvb/yaBJ1pm6VxP+O8TB9FQiWZjYERwzDIaF9SBVdcxoTy +[+] Bogus NAT_access_msg: no_colon_3_123.123.123.123,(NA)tcp/22, SPA packet: 8S693Ir1ZcntyKn+XEy3wgBEpvdBT6eaarf6gWEjZ+uv2H6MdwoIsWhNMyHqt6vIcW0dcmziuyCeWCGRHWLQhZUH1d6vyoNBhnfqKiOtOkAfFpKqz2WjbeFOFcigAvV9QUFwhxTNFqWOnr6o/VKHSNAwaOW5tKMS9hWIv/WScODt0HW1FQM/4q +[+] Bogus NAT_access_msg: no_colon_3_123.123.123.123,t(NA)cp/22, SPA packet: 8aqnx0aX/i2J1sPO2oVuyQyrATKdcYkXW22zmDzl6F+uMup1oIpuCGq3NgbQG5GD/I40c55FVSvtnlOOsyioDkIsncGVKdIIU2VhFY0n8n2Y72M4rqdkp0Nl5z3XrnyiCgnJmiWo8G0Xf/pOBBjxHS5hn8RztIfmsdX/uWyEa17Tcbj+auVg7gQ0LnqpCJ4vRRcJ1C7IMukw +[+] Bogus NAT_access_msg: no_colon_3_123.123.123.123,tc(NA)p/22, SPA packet: /GAwX1YhzMqs95X0rmTvOSIMSSd/+PdKprypQ/c5tz1WhcqOlqo/HOkOxD11YlSM+MrPnRIHh8x4WUQZDTYgRWz+VYgjoH2Vs8tHzyVDi4KRmnWdEwZH/ByJ6zjoQVv6JooPqnkm5DnkQvXIfANH1wou9VvCn5DOmzPkJ4cW+ldS8LmNHqVNJfi/ZjoznoEGA3k/44xo5QAw +[+] Bogus NAT_access_msg: no_colon_3_123.123.123.123,tcp(NA)/22, SPA packet: /NifB0G4/iCUyEc/ZCT/9W8EF3pCW2DG8nl9sRDc7k8rOjgHou8hxwh7uoUHzf61UmUXLhq2Det/BP4vXcnza2PFozJxT8Qpt6k+Fo/AsZ/Sa6kITK/jzU5bCnFV/ZoFMlaNqnVlSr1A0f5ClF4yhFP288/wkubEjoGnn0/a0oXBdLmA69jjMNJQSWZRjmrqJT92ykitlisQ +[+] Bogus NAT_access_msg: no_colon_3_123.123.123.123,tcp/(NA)22, SPA packet: 8HmrQRrLQlIlGOyZdZfG89v5TVfZfjpXru9ro5TOFp/aBbc/1sA7lSen+Ka8q3gARN83bkJtuD4y0YhRH2+vRpAWFQ3I7joAKDdHQinPNk4t78BOSwE+GO7S7+RZc+QP1VuxEa1IbPNiBKs1J3TNTDPr0AhyJ5+Xx1zDIQZoHEAqsKdiDYdE3006wmFKBE/hEk20B5gtysVg +[+] Bogus NAT_access_msg: no_colon_3_1.2.3.4,t(NA)cp/22, SPA packet: 8ONR6tNN3lcCmMAG2aS5vroaTD4ZYjUC4CxCO2gKQ1AmDNzvQCgXda9vIhxaUIj4vGkYbesaKLHUePnP29+h7OkUU0WiLnw+QkC/3y1yRRYOcj4W83MZbGWb38UyXfZyIKlzhTFT19ja7+YU3CH3c0gM+S2iNAIMYvDf6aKm28pNLMiU1Ypie6 +[+] Bogus NAT_access_msg: no_colon_3_1.1.1.1,udp/1,tap/1,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: 8lGgUdcofJRv7W8Nmc6x9DYa1Z5aNQVhe8c73vGhp35lavXYDsisFo8739m/t7TlYdHYQtaTldAFUoAZCWYEPR3LS06d0eb3ouUKYbNkcGqvLC4eyEHoTjMm4qiSNQMRUv0nwbtHwvO3z2DyFgcD8jKJH72Boij83Li1YEDGVwSzi6I5tKLZYak5KADdyANuEohf6dnI+lEeEi18vjRiQcgOGc8bJJybo4nxst+WNXW4433oydl9AS +[+] Bogus NAT_access_msg: no_colon_3_1.1.1.1,udp/1,tcp/-11,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: 8MqlDeoDBjnDQD/5kdJ+Bmc9/9bk5w7jGJT88LfNa3vV0BDSDHYE5cdvZJpms4praUbOpBtRY3Yrdk0zjoRBwpUVrNhF241FBjOypQUdZL8lUV/hDOu5EqLPTAA/xu/vTngQI4BzU67qdFmRbuIjb90O/Jdi6D+wbqhJB0cSdqpoIeV+tZOO1xeQtVMfyFdsDdsEMehwju8CsLrTQhNsQzUfhkYtwVgWzp2UUADw+dmrYGVXD0L0XO +[+] Bogus NAT_access_msg: no_colon_3_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp/12345, SPA packet: 8rYTeWhFdSwDesqEyRgfqkoI2Lyhd0VIifcRNxcde2rOCvqqdNZavyuMqwt2gguwnHU49AxW6EU1Uzv+ivR6U7IIPtGCyHxCdT7FcZ/0PZkcuQ4bYFBNgpKpwIoN2m0N8jZtdtL3M311Y0jwZTVVHbN/ZLf2DW5Pkm4jtFaUhb9tUgF5XglJewhf1NIaqIVVYq9LRk0t4khp0VZIW31IKqYRqsqVGfuQyCtAip8f5MY4Z0ELed8Fkq +[+] Bogus NAT_access_msg: no_colon_3_1.1.1.1,udp/1,tcp/1,tcp/2,udp/3,tcp/4,tcp////12345, SPA packet: 8nWY528Jqtjay4SvcAHKOzrzeg5w5CJW6kv5sdxUtVaNgrmIwRuBfIA7l0TcdGzdCtZaNYzfAbMLNSWXm1FGewKNMozMNPKy+oYwbplwpmc+v0ss+CHzrmvnCxXUN8UxQ3R3pNyHKU4/5Qa0GLHEuwIEeOFicF7OZvTrJEIBAHjhg3+Drd9bIOGhRiuqzxwOnkB+1v4jCF6cVXAgkqX37m6oJEKqwgjK+AuN7JEOdG+41iJ+FcB8vA +[+] Bogus NAT_access_msg: no_colon_3_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: 9ThPMLsOgwKUIpl6gPAEDHcwAYsyNUjdKDMMUeQs37I0iS6RaCuSvur5euNRKFjFCGMEPpeAk3p2gOSKHsxi/4ybiCQ7rIr6pvwZ54Fm3ps16rwvW2w8VQxpfo5Khi0w31eeSOGT5UNnGxWxHs9MiB10qBtbe9iPziAOUA9hkoRQX+rAuAs+M4lTHv/vbFrh7c/4HS8ZGIppORjUTnW2d/DmonhY0BtSnjikTt05wVUiPaP8D+DSLu +[+] Bogus NAT_access_msg: no_colon_3_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: +8ZxHT9q2zZwtAxkuHnMCPOXtdG7eJAyq/7AZauHT2qaeaD3Vf0cESONjpjItKcVduppvzsk4y6eSoKuIVOdk5RjkCKlU1lJcVcTo7o5+F85wBDCcwwSu4VBaaBVp3vPxvFznl9U1fJhynDEhgVofj6AkebQBh86QKo4HGnzxvlj8/bzeEuxE9tA0erNH7jm/2DEBSfB4w5vEIWecFp3Iajdqt8qdWbaB6k9VrDFc300yrP1FbZFIl +[+] Bogus NAT_access_msg: no_colon_3_1.1.1.1,udp/1,tcp/1,tcp/2udp/3*tcp/4,tcp////12345, SPA packet: /DNeSqpc0vKKCWjHjAEQQwYGwvGj6X8YWkQQ+uNrilo3Za1sxrsgXMcrH7mPpcO1HLD2E8S5hD/tPoOf7SIxBHXqXQoyxFk/OW8QN/47HJCfvVnaMhIBfq7RTnSW3XWjeymXlDkaxWrPUzc/wwhDBqdtzgW1pifg+dndZTTYOcvvN3esZ1zkEWc/wKbuKNzvYor2M5kHMMVlDKuBESXsN4yNhAe6pel1i5XquZB7LlbuHkTGqVrZPF +[+] Bogus NAT_access_msg: no_colon_3_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcb/4,tcp////12345, SPA packet: 89n+z8miYkrizlq5g2EoVp6kI4HVpS4hbhisPfxpkGFBKOjlLklwweLqkL+QFeHQfTdrD72UgHeJCQKWeLGAzH0I5LpxanjtmGbhPC0AnkrvaWBj8PAaxO0u/9YrhVrnJJHEEw/BVBKn1om7fvgQnsRIXyI9zjE+1n0Cr/knCyxznOUFt/SNM4CEBHf4ylYEt21Jsu0cId2OHHc0OWaU9fSozjjUJ5uRp3au6IiWO8kfof1CR+fUDS +[+] Bogus NAT_access_msg: no_colon_3_1.1.1.1,udp/1,tcp/1tcp/2udp/3,tcp/4,tcp////12345, SPA packet: 8ABtOKg9AVRnKcJ4DXesXhZed+sAz5ASzk6a3tHcEeWnpwt19B+fM+tHpYxoE7uGVQQYH73oUqvyl3Bjz/RXtzZj2pOEbOJfwyz5wU962bsuc77xEwgKgQs1pLcku3BrKofze9M8jlGiRv4wj02KQloLAbqv87cDrZkmnWzW3ysx5JHT/5gTv+p3nWb1YgKOH/Jl8olOZynzMvLElEQ0V8Vbj4OxbTPTmWfkC6vTu1FanXLZ5yrZna +[+] Bogus NAT_access_msg: no_colon_3_123.123.123.123udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345////////////, SPA packet: 815IaeWhyuqwZoRO4nFHYb3d0CcMq8lmhs040JyaW2BskRns9DPFoZxCOa5z8Z5GutYKZJX33w+hcu+IJ3iaaN4N1y2gn1Vb23s34tUSUQJzHgitzLtmp103T0YJOYHbrhjgDGyGB/8A+PiSi+L5svaZozkZbnfi1fzWFNKVm7jc2O4sDv6ZRKzsjX+KOSRZOOqNCwZ4hrA6/uv1JAJXPzpAKiF5GS2bUUYc7JCFV10W5MrzwndoHFAY5a7TGc/LYpZF4U/g06Iav3cGwi8laI6vOdqGZcxfs +[+] Bogus cmd_msg: no_colon_3_-1, SPA packet: ++UV7/96eMK1qVDnmb9SiRowkCEpKnolEfTx5RFcRJo5NbBfKkc6vurlSZLne/xCOrNd1/6sHi0OF1Z6QTFGlNvG9xeq+CJQOoMtLx+Zd0nqFme4R+jZdCUUPENN1pChYDUZQOsVQ1GA +[+] Bogus cmd_msg: no_colon_3_1, SPA packet: 8zBfftWN5iB6QySBQIFix+MnJbCWbc/di5b4ycLA/HNzO8m3ee4PFxk5XxIArYHb95wRkDoteF5k/fIPjO+ElDS54NjJo5IM8VYY/iD75i1j5Tzjyudmy6gyb9ND9DUTcOPNNYsEQdJg +[+] Bogus cmd_msg: no_colon_3_A, SPA packet: 8OkentM5yW+JNuW6hDPP8CKOp1ylHkSKZxMd4d0LxoSk+gp8sBoWSU584UjUP8UCIKDVcM2XWvJM43XGHuaFeFvJPnIGgxMQ5xVbXXHxzTmB3INKgDBiyeXyqp1ocGY1TuofYKOZnzUg +[+] Bogus cmd_msg: no_colon_3_0, SPA packet: /DzAA9Tz02gaDjbMj0Nfp18AFU7MuZZGBucDR5mRfmoe6p1Wc/71FS6KoOhLnzbGLzXgJ0WtGKFxMrBULSdp9AUXyEmMU6idmKavEnrKRtdmLIrBsTMb7uPH9+GbQRACgABawY1klKtQ +[+] Bogus cmd_msg: no_colon_3_AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: /IhONNAuu3dvdBs6Yi5xUWlnnmeebeHD53blqxu0Xgv9sYQwQOCNCPKki6vEKv3ERC7sGho7xu5Nwn+0ZMvTAO9PKop8lS5OJWsu3Ep+w7c//Fk5A/AlJam+QaldYhCFpp7XE/ppX+znBcX8x4HxsDB6icZzz7CI4bGxXKjDc/GDt0jfpykDcMdiTJlEWoDUkVky+fIdKZolALlkEl3gMn0u6Dv2WEym08RL9aC3MQzK4CJRkihqoPnKOUNsUu5aH+2V/jjx0Bj5Q/kXBd3SpQyGbighrsdoMEBFNcabfy7kqYWZ6fhFvp9Jsf6QdYRdvHlanV1gmSxF+bBHGbwSTqy9X1tJA2jrexIEb3rVBO/VIz/zRuNOoUbh0/mt20E79qDv16GmjWDu5VpQBNPlfu24s6LAKNisr0w6MPzNb/nCUQO07HsVyhDbdurG/XZH6bfzySEL275GVouKEUfF/VLLxJaFzuPqXp2o+ZEKnjxt4vKHve9Vv9F4XpnrpTaFy1obRMN9IJnkVLouST0jBgyUAjjhIxVA0Ih7VJ4nvxF3wuFBxq+6WuYI3L+o1gpErF/M1H4zsOaM3kkF+A70Yum/62e+vcneaout56ZYjcayHjoPhk0ol5+LjjtApxgXXcjId7XO0YU0coX5kGf9If9AXP91Mb2mMpv2/pMiCUcWN6N8s2r0HBo91972zyj1eF4fzX2q05PfxrQYkS3r/ebJUqSERgLWGoqhNh9K5r6YRoo231Hg6LXzFX8fEVg0vjqZVHMp9SvFyhyqMWgOTb02GF14O3c5u3ApWPXZ3VgRelfqi2YGrNNuNahZXXxJcHsBGwAFcvO5BUrNyqnDVMC+dyxnQPL3TBRZDpXYDinxv6As4/oGa/Q8MeDSM4bRlQAdziGHzqxaRmcDGnWOXXkseIsv2iJyT+izE6Ej/pyLAGZktQCv/t69cOhu+anpeQFpI3z2zIv3WNGI0gaaK0Qs8yJnxXFSK5js4ktrgkKytuB5/V8g6VV90xptR0iLdns77hlMll0dC0doR15ihrwtEKPOaGP4b2rKtvOmDAofsQP8GSyN/Q+3+jRoXZ5y2slKqWAGFBo3Yp/dTV0GtLDn5ZbRrAYZTy7aMYb4mt0ffFjRKLLZ0rH5CW0+8lLSWwp3Van8psiz4h4aE5KLDH+vA/XjrSErvxIXjyoKmFrEB9rtCVMTtp6/vjg6t/T8qR6l+kx2eZCGUt28iy+Gnak+1LUIyrfr9vEKn5qfOs3Jb0lzPHb2yRUg2JRska/neaqFtYpJp0Pf4wRvF3Qn1mD75Jw+tFNCr65c8Fe1nzb2sZsYxLIQuwpX5m2cRbKRmFq/TBNNHH4rAoHeYNlnswFSagIOrKiziymXxVuYuHALcheu/7XjAEFc4fFzUGIWRM8Xm/gxkZZYBf2L1xWSfDl7lPQFDdmhg +[+] Bogus cmd_msg: no_colon_3_////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: 8bZEHQIsrXdBkivapLnx6JTlt4L/abK2TI9vPSza5atVWszf6v/R8yP30c0PzMpL714HbtcL9W5ZHCc/OriM4NEkPSTPXMPi9Cw89I1yU2DOSaNCYlU9M/40Yk15yoSmOn0vZ2jFoWAVL6kqoOCXRvOIz8FdtlydCp1tqjyaHdKR0Ck8LSnugI0Vsg6yaBFSHmkV14KeBUZr8hCLUx/9WJj4BdVzSJy0t5EsJ4BPvBRL8MxWtNQKtu22le0HSoz+8BtmbyOef+7+Qw1P+w0NXFEpa2uedl8TeHvERNXPdkVyvHzQ/xgI5448NE42ykRc0bN0GMPwGhrEFCGwuyqasw0svhQqPJdAMQBslFusM6/5omJrUmbPTJoBHUe9+/igH+1OSDOqfeBhm2zNkT6iNr/g/VtT8RYrneOjIWS7TNGI/Y8ce5iuVeGWRbZ419hOuGcPF4RfbIZz8W5sgCQlWopfMUWJd9ZX4mMzn8a+R2lKKRsm8oNAu/Sa6fYNiO4T6f2xB4pdzewXO/ZQw91cyrZY3HvJ98QnN4/TESLDMtbHeP/+hWIQwUk09mO8cLYR6fvl9DeP+L/2QKsl2HwPVKBssaHU4VecLdJarohhqmPRTaUEBrvKKEpn8WEQ+2U590qXhcQ04iVgAI4bXVPjZojt0UItZTHZ/onvFVo51WqZnmARqFVeqLI+ekXpFrbcrYr5pUVFxr11d5s2yCIMfgahKkhsjNwWH6NGCzcYPNrsFgrRDr/A2YD/fZe0I+V3lzId834XB68N5RXk4QOKPMlEKSvQcx/cjJdVjCppQ/cFdGy2qzR1odGxNjL2d4lAGLwUv74U6Y/vfyupQqScLwHc1tIJllRLgejiHTjF9z6iPL3dcD+7/QtWPQFTHdJ46xU5y/dVfvjIEYpTi/Wzqgcfa8vfWN2yP+2D9bHrGzvehaijZXXYT4Qg86C8/dh49pHBDnEzrPMCKI8+PJzKjVOX2qdUvlQc0slQ44ScRhvQcqn4fOVKbjvQJpkZU7Ng977JHdAI6mqd+FQdim/O7nletR2/44l8KSEhi2uMaJZ3+9DBUwmEPKa7E38a8diQND1F672hoMsZetkAnGpfy23F2xrQc6cKd/DQynwNyqGaGNw+HaBY7lEQuyrXIG1ZkT8uFYz6B/MY3T4oKImqhPdYrT01ioIaByI4ePq4HyE2P9nNz6tRJoIxka2X7usgiRXAmWZS/kbb4AD/7b5loC6EdRNH0xkwcYfGfmmRU2ARlTIWrDhWkzIhmS9F8vV0nogMC1FYTqlMzTkcPqsWKBmYiW9t4LTB2+QyQkf+DOUo71V8mtyrGSoNW+FvtvLCR4T+42TmC0+pBe0OxGZysdzjDr4zCy4oqh8/Fbld8IvoiBdppYLT0h4a9vurgQjqjWARVdfDm/0oq5cA1pxfzU7aGXtcPZyE8 +[+] Bogus cmd_msg: no_colon_3_%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%, SPA packet: 9iGMxNbplGh2/GrWpuBuhyLnkFyyrjnHoM0kCA9QdJ+ut3s6v1+gBWnq0xQiga9mqkxwbwdeawYv7zYGA2s2QvFbyjB7sDq630VcDIEsWEAIn1/D4JSPO7FJyhWtf/NQ59bFGM+3nixBYmNIs/k7I4rVryAIPaLkvqNk0Y0jGwr7+a3pM6EZ1TWoDUw2OqzL4VCooLCwvem1SdqXT30Oq6wmsKZmazSbhyjyVYhKmz5aiahiD+9Bltc494lCnf4swMhgROXQYIEAWL3EOiM6nRxIa33i+haYVhM5IdeOI6OgHm9g4uvGI/svR1kDqXwcxZYL+KM4CZ2spA9mF43Ye3M+6bZ/Dz8dUQVEHAwbuuVsqmmUl2XLBvKl5nr0mrfZFGp+FqjhvEu6ixyH8bnNR48QDpPhsdTVPoSteiRo97cJCTUgVKXB6OrJaoFSg2IyKJGeiZ9knInwME7+XbTjH816NfsF0LohjKaaYcPRNVNsckd9L/Ky494G9gsSzms9CyiMB++igMXq4Xa6aaNHj9WDV9TLXvZsiEsI6GHuGfPiIFOP6og9J3bWvDIrzmCDAvYn6anh082W0uQ01lmalxYa3uIDRKf5Avy+1qgiyRJ76cl69Js2K5SxWl3c6iO0p7o0ICTC9lH/8vZ+oUDTiDDHAZDlB1R45FuDDrVzO9MSOrbbWI1kBU+pIOjPJgdb+axsP3La6QRAPj/A4x4WmpQBiO/o08VNTG8QvRZyLSiqT92YtudJaJyZMQwTZIMxwbiuPwkeylRFBWewDYjCS5IVobadanTl7vznv2OsYeuZgssZeBkc8jDMLm1pPNokUIL0otuHO0ltiAn625a8QDm9igrgyQKMEM4X39bxBlH9lYkzJUZ/FOIO8Xdr1dvUAGk0Yw71gR0DylOt55Ghexw5bJgKFqX+fjucaQCezbz6vBSZb2QSUC8oqDaqmTuLQTiBqqGeHm4kpqMkQHaGUDNxEj0oQfAxU/mYXtoiBSGDRY6me+tiRKI2GyRQnxA1hX6hltwqnLRLNrjWFhu9d/PfDBd5ciimQ9tDabeRx0gBxMogAQbwD+jJvQx0S/bocyA2jH81W9P5NdU4OV98g+qaP0J4SAbAEDnHJqVMl8df6QitU4QhFkSBUOHODwNm0rJKY1HT/loYSXtb7YB/1dOq0+QEP2gH2838NQpsu19Pedu9tILZ+WezXEvYHizlXFe7SlU0qzZ0VcpfQlxrBhCg6j0IrtL9oVn5SEF23lUmO17TevC8wwb0IGaMaB1ujXlplqLau1NYtuJkfp3vILr1Bvq7FgMwYN9ePnfb3PVUhaaBDt0yJKK//ybjtVjVNIxGP3kYv3lqJik9tFgcQ4BBj61tK/GgFLzWm0in49sFdSnlXeDOeEMQKXfObMjCd4K+UylTtJzXWs4jjZJerkflH5GjSaSYg +[+] Bogus cmd_msg: no_colon_3_::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::, SPA packet: +anPoZblyIXFfQXHbLp1UQs+i7d79MMUnNZDWG+I8zoTbSjsiX6N+kBZ9yP6nezG0Ys8axvvawMoNYpw1p+DaE36JeAHTU1nCazwcVbyyzlKmtNlI4zFEzhTRn5bTKlq608HwJTZ215xIqqz8hktja+VbRQhdGiwrAv72o6QhGY9XaYE+Zr9rxBkf6mEkES/6/JnS4YhZ5+HQ12MNe5M0zjY1Zd5HSMI0Ce4IC/JWO37jAfV4+d+IBej2pFMio/guBUM1F9Uvkd5JqWuZ56IpnH85tJJDeMYs/pz+qk4N6GdO3bIB2OgEHl6vwcJknIXHuM2FU/0djHq2aSYbLHgDKcFAub8Tuhm61W53hUCw0zxDFW1iVNwRGb2IJBY2ZGzUDVjFzdx02f2myE0Gc/+TxQ8XDfUk9BDnWDEvmoIzf/0Wqafl+Q8AHj8Fbe0V9ey5e+V6if+HxIK9rw7yE8EKAYZYxUMgQW1PJYfHqgzBLykj568qeUYJhdKQigE/kYW57bVL73W0PXY2zF9jo8NhLt049a4hpZ8/P1IKRTR53y0e6/hEQAspwAfrLN63Q7uFKAp8ckSLvTw4PILBRwS9NxBUEm53IjOSZu696Miv3iqJBDDFY2ZN4m3ZNEkd8elr2zPcf09R6LNFmxrZee1rYG4p7tNZyMcKSfCtZDv3ufGKpm2DpoBYTAfZfO0C7JoR3Zq/DUayBboIn/bD0UhJWUxI7rAVueqn524y6V7ZLUsfEyS+iIKEITeucq3QfbtGWfn2BiwQIEyPFMgWsqeFALCKO7qhAYdd/TEL62SYVJg7V1caH2VSeoQ2w5Mek1fhYYuoR+iwj5Xn7JmMSNWsAUWU66sxVnQBJMW8Kke+Iw+oQGBiJvbaR9NQUWdwUnMSKXkCzV7x0yFn5TnDmTSF3f+iMNhhx2dCsbz5kKyJAe9i4Nw3ZCR6Wge4bcDEoevnQgOI+xNHDejaazy4txMkiFdwlYfGzYeivihOu8tQBH1mdreuLbg1A8xS/UyqYMDAGSFp/Co3AZYRCgP0LxJX6sd0GvvY4l9t6jn+JApSANXeL4LccXvhHzPh30JCHnZYiW76ZWg95r6loEQ7v5WRhXl3K6GtWk3oueD9Y6pomLBMuMmBSsMZBJmC6BggljgZiiMaqWsMC3Dj9CNTzItotAB0glvl5NUMt7TCSmJUnYX5xM85Uu4byf+6cN1zwgY6vnvFYsIUeKPi/068Schg3B05uCKb7gRdvihneqB5L7DpAUHwPW1PareY17YayvHoKpS3ppUE0lyUFwYCwELb1FHDqw56ghXBWw7S3vEuxiSKYlUE+pWGeOdPXadOZc5PLHlS5x68jOgD1cZePWblCFcHdkR0ssosQaBuJXqXwjorMoSLICU2kn7pbDk4uLPWSNSuBk4PY4z9x4CBE6Mga61Kak4KSGUs +[+] Bogus cmd_msg: no_colon_3_(NA), SPA packet: (NULL) +[+] Bogus cmd_msg: no_colon_3_,,,, SPA packet: +UbG0ugbZIv7q7tPkTZPNQt2oEcUMtUfJAhPclSKiRwZV5FfW9CHo5VGxhiEKB+pObomv2zgwuIs8UY9oTnYpiPOA72oA2e+YTHqB99DXjz2qIyDjAWVKAtbLL+PtRFaCUxD4NdhWHKg +[+] Bogus cmd_msg: no_colon_3_----, SPA packet: 9IxotXWJC1wLwUvNAx7jKr5JrJ5B2256WdY0rFF9YOsv/vhPXYJG00wY8VNEmfmE1BuIdjynnr+XqRgKgPK+q6KHVyZ4Aaay5rhuM6Tq8FkclYckGg8UrzFXj7ntHBRYBFpUMIvq86lg +[+] Bogus cmd_msg: no_colon_3_1.3.4.5.5, SPA packet: /D5TgJ1p1LrW/QiWrFhdDVU8/tzEhcRgVYzJJUT86eApXDUDxB0cyRHK8oNbU6dcXpr5LHYg4CvWiDJVmY7IIixcW4mrKukqcXoGG5qeng3mDSf+pRIrTafe2MmlFAlWlLT3MvcTiVDr8k9s/kTGBj+aRfxNlt7Uc +[+] Bogus cmd_msg: no_colon_3_999.3.4.5, SPA packet: 9HfvIssWiQ7FNI6VhKS8EGvMNhaFduJOhxcIk20bRtHY/x/RNynKkdJ82YdcfRRrVU1FAXQ8rsHdK/zi0aQnCFy13XDZAvEvoICPRb/bXFWKPC/rbrvYlEitFyzHTfb5G/wa0a/ErajLu2XbmCCqfSuSx82ZX24kk +[+] Bogus cmd_msg: no_colon_3_1.,, SPA packet: 9ewfnTzqYcHLnQlEdOfEFaqzFaGfXbCdjLpkGSSc2BQFAfsbCUhByqnbS2BUY8NNaTYRqh9/qnT7u36s/fA7EyAL5Isjq1Pyu4Kgxb+fu/OsQTQg4PrxTbySsQNn0Bm0wCKRfmtq3OMA +[+] Bogus cmd_msg: no_colon_3_1.2.,, SPA packet: 9/c2RQ4NQTI9+FOj8NJCmXsh2pG/Og8LL6Sx3I4wfSrInrZYT3qhHbZAwNFUEjMV+VAl5Vnthb5J2ZE6sRIDE7d4gRijgfebmAG5D/+ChryoqNt1A590sFA+A3fKe6/wKK+hDcylQTZQ +[+] Bogus cmd_msg: no_colon_3_1.2.3.,, SPA packet: /d6FeOXzIX7TTzEO3MfBBAdHQGTWzg9RmeuvJ+eq95SQghasn9KOWCeXaFDI7KJWvtuy4qHPauzDqN05I1lnNZyytMx/MW2hIylUOjb1KFn7UCpZIrJsqB4JEm/VaNbIOwq+m06UIBNw +[+] Bogus cmd_msg: no_colon_3_1.2.3.4, SPA packet: 8zjMcLQfLH0Y5fB9JFzo7k/ZOQlQ3kVeK07xmt46dBZexU2wxWpSw80reg70oWDj5pCqRhJPEmZ8L2HFiV721bfSTmhsrK+lgqxiZcfQI8RYUncpzsYcR/31yPr4ZIePAj/upEWb+iaQ +[+] Bogus cmd_msg: no_colon_3_123.123.123.123, SPA packet: 8ZHQsEl6XNSURaoZAaP/ZohrnPoLE5nWqBRWjKo/c8N0Dso6tUZAPsdErU9ED3QK4hGC8yL7uPifQO2AEOneWq2indf9fWg99QMwokbaS0pM+GG3X8EPE0CuOSEiyEgvPVIHGAMeevkZL/xwrHhwMwSjfezdmfFNA +[+] Bogus cmd_msg: no_colon_3_1.2.3.4,, SPA packet: 8XTt+4yzKzYKOv3wOiCHhgCOSCle2XYkVjz8rzhetM6EHvBtDPHddbQFKbchE95hJY8hc3gSSITbYp2rVgKFs26D5Q/mkmzKXCNY2zqk5W5ewcNVhjmJxS5IQd6lpz8LKBgC9wNo9A+RF1GH12NUOgY0dXTu3Oq/g +[+] Bogus cmd_msg: no_colon_3_1.2.3.4., SPA packet: +LdQm9zZ6g/4xdb6i38SAbl3Nr55JNe0T6JqMej6HFEROJLCziPhWNArUrt3ehMedMg2c7XdcdT8e914/AXLWsW0tD66kvZgoAQT6IeQiecrhJONmsYlHnfzPQ4PuuYIhxpUUBasHcv5bOx/IlOXJEVmOR8FACje4 +[+] Bogus cmd_msg: no_colon_3_123.123.123.123,AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: 9kP/lyEfGyCH6NF+T4wvsrkVWTQgsIauXgDPvH+dSKMn20PQJNqBXFAwggK/eHgqd3FPrswQykLslSPtAVTL48+FV3gFwU65lPL8qH0lc1fW1+DvvkTO26zLbKTI/5wycj4eVE+dgQ5Bcuq0vNBnTAb6EHsGPBYri4cOOoH1vjxm10H8GtJDw/Yq3QjqdkjC1ph+vMu+pL/9gumUtoTPvBuZLSwosczc749OJ1qjyhx5mMKddh7OtPsfJQg0AC79clqj/4BJqjb007dY990slpmjSADDgrbdyrT5LBVptR63F8OVFvCttvRXvOz3uxvZFPhYYaCMrCeQ0lwXp+ftkrLoFeHPh/tXvPbtXuld4DzKznpZiQ/zXf6q/EAgyNKTCwjmJ025lDbF1OKzyPyl3rras39qd0pVmGfkak2PzoSuPxqeCbWDakQbx51ZDhC4fJi89zskKEQuWclA5TRsO9dvnlEiGUf3l7lnjQt16SQCaxzRTEs+IVcVx3vitrA+Ll9f+uqPw6Iq1VV4fhTH2x5g0PLwp7nQ3yBhkcT9O438wJZA6V1qCChrnGPmBPPAYt1tBGrdttyixwQ3XkMPrU/vI4Di3BvkzgYRpyladGQLHTZd5Bur2aNY0Y3yH5blQz6eHLOWxmPwJ8l2gJvdRPTbVHW3NX81ziMqdCpRFBI/6a5bYgxNeUl93vkRG5lBqit5irl2VoNB1Oar4GjfvPr+iP0gR1IBU8FVJKFIWx+1V6e6BqOFjIjze1ox3pu9c8a94N/8brQCMUk6mMG6/gNT60VRGzOSipWNb+3hTa/AKtv3nRj5qMiwWBWRznmDQB6xcgDDnPtVyguZbLqH0iVrcpEesWjFfVV9/i8Bh5XWY4Pz5tgd+iIBnutQQvaRlJecbISnnW2tLkj1KvEF4JyHbJClqnkiNoZLaJDKBgX4EeCefs6wayOm7oBJ5lgld9pZD6ZxZq2AMhoyKP2uvNj9MSD4U+mqVVugF5THWA1WucOAJTka3qpbNlQ56enuiJjSq5K8AVdsVkOhdJCIhUrubfVqMDN0ZYAfVn5X1Q0pWPq+CoY9zEoMOBzDvYuCtVeFRvdamA9FcaYWDl/5KvkFxuyEMRO7haJ4ot9QplBcVSqFC6BjKSFvGgeC3Op0dpXVsljo91pHmIDYvs8aa/NcVuFg/idOn3hZ1t8UyIxwGu8SlmPMNhHAdHJE/HAjVluJhKODUY7CInJBLrvf9nYReyp3xQc3Cy+bcjYpJc2QlBmKZMSZA3BP+kRr2b+8n/yMGkDrnQZYYVVXJTGPCiriDKHLcXy7HeyZKH4RlJfwfh1ovXM8Uh//IR36r0MJ4lLXGFo/g7G1l1HFb5LQmXQNRNnrzCkT+d0u4f2rGn3m2IHDgNVCYmppMUQIhWvoN6usSjHU2e0YvfloQ85WEhBoovDLHc03s +[+] Bogus msg_type: no_colon_3_-1, SPA packet: +pHVL+r2JeiAeeysAV7AWagGKItRrYvJ/hBvm9ghysmNIAHEbDjALB4LxxkKx+W//P4mQf+pKMQT+cQzfaji0mEqeVEnyaBUXsknOQoZMMWuvwVfZ/+173Q5FTWkXG1yHf23raFLty5pIreOh7oOK3DOqTOWnqqBQ +[+] Bogus msg_type: no_colon_3_-2, SPA packet: 902LWyNQxJ+IOGEL8SxUglN0ZvoqFIzd8K24wGrO0mgP+pUsc7q1fDQigVdxBOHk0MCRPNYNQK5PMu8GHqo9Rf7H9A37kWQzR6g0lkMbteKUhknSYcu3LdNr1eqP/5jFbW/zkXZuFL+8GJUhTQnUYhijCsxlwgI0Y +[+] Bogus msg_type: no_colon_3_255, SPA packet: 9P3mxC8us9Eey8jjbnfnk9nhhVTe5CqkI/t0+pGUGE3Y1HoUj99tnbypNNvaXoINcEfE84hmcTpe8ILJE62sod335zDZacimxqHVgRTXhRDmbhGmMo3XviBtJG8WZNHaW9URJ8ek07dP4TAJB9LURCG+ksBGLQivc +[+] Bogus user: no_colon_4_AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: +gu7lRRb7DPA1TtH+x7GJP/7Dx9o1EBllnHb2LQXosoD5AIWiJs+SqMVAjIl3kuLTEt66ewWuhqtAv6uGYbq6CoQddcsen1ZZJPUvTPnDTxY80+Yv1MMd2HdGa8Ki0i4GOO4k/1lxy9ieDCiKwGf2VdjLrdz5R4C4+CS86hfSnBPQuAGpK4Ya3lLS8gRZT2Yn6sDvzxICGA/lTmSTHAI63/g39JvWw8YNtYvc/EIm8oKgWZvePCcg4QPST8cy8X2CWEpCAbtwEIQ +[+] Bogus user: no_colon_4_-1, SPA packet: 9FRMIsrG5dJ6HRFquXi6BJ4HfVlSnxjOdFn1sbiavesQhAtVmDXdhMnRRHNAHdBySC88BlWNntkcr4rArr4DdU0gQDo+KY8O2iBPNHVSngUAfFtggXcFYS3HHxqVD3AXbd0YehHdocZD2ih0QTmqDVot0mhfyZ5Y4 +[+] Bogus user: no_colon_4_-1, SPA packet: /nZ09wW4px0GnwaaLGGsvs/j2IidU0drxYdKEJIR0K8wtQWwPQXSPH2pVSwMJM0t6L9OtAj1YloZoob7SC+1DVknerp0XkUMQ+fTg6asJtZoArgQygLl2V5wTST3vcHFhj73qDUflm3dMEwtYmv8ajGIV93xoehhM +[+] Bogus user: no_colon_4_123%123, SPA packet: 9l5R6L9aJl9qWO0JGWrKeV0jBlG84XJepU1Ey63E/Lzrz/CFnTihaFOmTMJqD5MjlIKAXOcEswRj5ukr6cItiUDgs/+i0bcDzR0jMzNvXWJsVvH13TQ80T/VZQMM3KH7yJEJpOQN3DKFc4R+yAEPq1K9pXyN5Ied0 +[+] Bogus user: no_colon_4_123.123, SPA packet: +plKbhzQli21+0/HnfQbbXa/oWD3HFhIfBXkxMcM8LHMUN4dVxx7ff5voRXg4ZcxjIF+CU9RKhzpbCRZFQgbt4pwdPEnx9UUmN6BVXJALelQeGtXhl3pgTLBiZjOqJwJqtqfaypOSqNlXjjsXqv6cNjmLEiN94x1s +[+] Bogus user: no_colon_4_123$123, SPA packet: 9ItXUjU2WD5s7PLbSgLCbevp5CoM4l7vs1jE1t3C8qLo+5ztgZGqsDcVcAlEvR22LDm7ASlR0IxsDfN1FwYsgplUVjd1yxpSEV42NBkoVUqoAMIvmfFuUh5DXFGLJm+djkzno4v9jvfG1yrrQqymOn+MGyiHQPALc +[+] Bogus user: no_colon_4_-user, SPA packet: 8DKd3sg3iqHD2HH+c3AloiAdRBLjV8UsGlFRJ+0E8utgGWFAMski/u4eM2PLdNKIAYpMxZEm8uisZsRXkZh0lo+4KEl5inOxm8BMg0fO9K85KTzbXHnBtU0fY/xKL0eK8axwmPfvkyRigySjwGEh7sKw0RAtPDhFk +[+] Bogus user: no_colon_4_-User, SPA packet: 9EABXcbZzX+X6jCshQqAvi9JgZBRtc9LQOfz8lWOjrYvKUJGSH4BTQAYnvv+Ia+aQ+Sds8nKsvq4Z4EsKVcnesexM8PiXJ81Jqu0Lmn5KALq2CcbUYAG+3cY822nEe5U2PtgFIAPn0q/BxK4T7n3Nt6Z4KCx0xy4Q +[+] Bogus user: no_colon_4_,User, SPA packet: 9sNOxMx9nA76vOQeiX2J1Sq5F9gcfVN6KXAx+iJIb6FB0amtwITdVz6FBvZdWha/VfgdqOLmDLlspy9SUV3pRRMHjA9McXQqQyWKTTjZhMwluS6aEsCSbP+irfIyVOXdYOF5+v5HUX3JvQyuCWngw6PV8fw3TdC58 +[+] Bogus user: no_colon_4_part1 part2, SPA packet: /ukSQrP6/yoGC3TS/gBndG3ix5Clt10t4mPmYJc+tUz+WJ+c3j2O0Zt/Ies6EL0JO9+BYyIih3SN3D4KiKLLS3ZSf7j20qtJtjMoNSYMFThUj+LRsYic7MR1RZqUvMqly5hzhdS1L9hkBxPU/pd2OogZ7ojIb9a6TzRjthQdgUGMWmieJGQQCO +[+] Bogus user: no_colon_4_a:b, SPA packet: 9AjdVc5uwW9dI3c5ELk8WOkLKFYL364ATe4P7QzJWEL2Vit9+y0sHpI7U9yCfPdyaFMmoC1oAu5LsvY1yjK1h2HGGd6vUDScuyHdqprX4mHFBOlSQ+1o62sDiqL44zZITPNED6UrXFnJDWLc1Z0WxAJ8/ZlCJE3DA +[+] Bogus access_msg: no_colon_4_1.2.3.4, SPA packet: /khNzbvh+sctaaS8eM/r8f8Tyu2yKI10TZuS6Gu08Z6ijfgnFSzS14zm/wMXNPFa/GPx87PJJgvDsV1h8j+bOAfHAJxwtRNvqB7H4ot5DZlH061cB+Mf7Ad81mVHTA1nYt/456T0H5YQ +[+] Bogus access_msg: no_colon_4_1.2.3.4., SPA packet: +sE8lmyxJ4ZJF+wqzVlb2LeTIWuFqeK7OkHNgh4rQ3YMPyMLeycb70TWQbAZAjjqSAeQ1yp+5MoLlXu3JOwwEInPlJ/FnJDySyeVnjWjfS0GY1EuOi7FDcrz8xuKCHCJ7c0ARwFd6oFyGRzg0AAy1Ov+nIsmh1f8M +[+] Bogus access_msg: no_colon_4_123.123.123.123, SPA packet: 9rl1iyq+Db9pA+HYMpHHLc0fzQsGclYZWaqaEvoPrEB6QWr2EMHkGQAEob3S/6uurLJS++VHTC7BRpu80fT82V8uu5wCtTQ4IdaCv9o7X3EgHhmaTlrhw+/GopqeTNYFnHOpLls7lNU+CuLHswx019mZchJ77J/q4 +[+] Bogus access_msg: no_colon_4_923.123.123.123, SPA packet: /as8Z638wF2PqXiTwNWSvl6UalEYFAhT2CdBlxl5Y74TUOuaL/BuizqkP6XySKCZbYBwtLi/zkOOmRBil3vtzOP/iP9QiOchPwnZyXMSPvg6RtDA5jyLEwUOyokagd/a2N6OTJ6xqDENAG3DI0NNyGBEiob3+WQRE +[+] Bogus access_msg: no_colon_4_123.123.123.123., SPA packet: 8IUtaQY8KL33Co103I81k55R0pg5sN0F2ZWE5Pk3hWJ+36HcSWxoQyKvahWvQyvamTZfIDNi9RCdJQ6KG0c9XHs9TpDGaNMCKschbFVZvRTsquIZQHR5SFZJaH0httqROJ//CEJYsvi7dgIy8VOEzablosfwuKnxc +[+] Bogus access_msg: no_colon_4_999.999.999.999, SPA packet: +4Riy7ymmc1MGt+RkmRglJXv3h8cbmbRyeyf8btV6EDgplU3sb74GAoeBpGXs1mzEzkVu33npzPiHVZ6biTJswvRtxS2ANTz2lxw8zUzXT1TANLRDaFtCNUBI3gfGIjIbcm+HNbKGz7CV2rZSacWOo8Wl0EK62pBE +[+] Bogus access_msg: no_colon_4_1.2.3.4,tcp/2a2, SPA packet: 9OT9PIVaC050ySYaDKIOsFrC7rymL+9CMViZpazQrLQrmVTW7flaJwSWpNNjTaKjuF+ZU8VFhgUaHUYTfsZgnvUEDKwnX+zisPVRfRuknP2Z1dFoe8YXs38/quPLtBrY9eGp2X4zWntL06G0lXCaLIW0Kj4wHZfwc +[+] Bogus access_msg: no_colon_4_1.2.3.4,tcp/22,, SPA packet: 955BKHmhNTkWnena4bch+J20Uc1I3AoL9YCwGn6nuxVj+QvIq4sEBj+js8zHJfGNrT9X+bh4SnGgMdqngHO6OASBnEqCDTEWw7l9fSK4ILgUsUKNIU997tCRR3Vot9xjVRp8Yq+PVuMrdeOpzlQ6VDYS8fYKP5/RU +[+] Bogus access_msg: no_colon_4_1.2.3.4,tcp/123456, SPA packet: /pH6udseDNZYZvc0QAXtzCLTuLZYN1+ZfQHxflhbIrAqMkAoPjtNKrz+LtpThDjpnWKIPKFJp6ths/R+sGA45kzzBEy5s3xke470SVtSV4Rc0pJEgaPKamfDvCj2KqrtV/82awyYIhjVFyU2E/nx0H8jIqqv3CP90 +[+] Bogus access_msg: no_colon_4_1.2.3.4,tcp/1234569999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999, SPA packet: 9KSGdTcdbVeZRYn391gH9p6UbY8PJuoWUOxQ0rBbxkbP/EMXtHtPPMCgx+XvKj/NbFbTPi7A4MsnNlo7Tr44zcuexWf6mAy+f+4wJHad2FmPa5AVgr7MFOsdvN/AmVpQ3HTd/RZBHP2F/y25ApA4vIvmmQUn/rJfXSGAIDeDqyMKoPLraAFeVNzK5r0jekZ8pPomC2YAk+bwXIrxTr9137RzENRbpEmd7unpZJlPSvdKJzP02BDsFj7IdJ/CJeA8O0uhjfCvCYouYE+cvLd6hAOX9j+5W1kXlvJMq7MyfVE4qZmybZ+hDjRHhq99xaYYnJvW8AAlJ2403ur/PHa2LY8QxY8Ek+MFs +[+] Bogus access_msg: no_colon_4_1.2.3.4,tcp//22, SPA packet: 8HsZe504hFMkfd8AeJhH2qJk2Kv2Aq/YW3MDwfCmeiA+bChny0D0mSly0ecUVc84/bsoADV4ivGmrbc2XWJZS7VOfnYSaKInAIg2zTsS/fzOl0dC72otwXbvQGsEOOzMd5lHTPz3CYPwX1wBP5YYDTWkv43S086rI +[+] Bogus access_msg: no_colon_4_1.2.3.4,tcp/22/, SPA packet: +ILyoi2o055iE6T90SFy99wI/q4mF6vfX/a8J4OEN+mNBXb4qSdjdBl8EgDaEcT1g8ukEt7Z/pWN1Z29iV5YkuzQDZEj13RhwXgIaZkAfZXpVmUOQ+La0gVbWf6SgnxJqCPLwhhi1+j9ljZ+ipPswaTUGXdhM+VbM +[+] Bogus access_msg: no_colon_4_a23.123.123.123,tcp/12345, SPA packet: /BoUmxyud8BXLWE9ZH9FcXMeJMEEDfUDKBal1lcyo/1EYkOvEHPHyTXTEehWKt5J14aX2chV2TqUmjF1iAmCIGAw7h+0RgYD8atBuvyC+4nJd8K9JGl17YSW1OEyLQtzV+Rvw3n1Z/Fby2vuR/phEzU2PnjFRRg99nPO3tEN+FHHJFoGqUHxEv +[+] Bogus access_msg: no_colon_4_999.999.999.999,tcp/22, SPA packet: +uqCEbrx/+qgoQgem82jv/uUaVFDplP8CVcdzk6yn6gN3pQb+VEyhD8NTJD/gr4qOIkMnp4SD0T3soHFCRZWzgf7AwpIV48E7REBkLaOvtDe1dTOPad9ixm5PIv3g0SNW05fl17Metx9vBaxsJYxx5jGuVc/8h3gG6N+fGMMR3Wq3jWoPbeTsF +[+] Bogus access_msg: no_colon_4_999.1.1.1,tcp/22, SPA packet: 9puwTku/NRCuGLWpE7Jy4tDIXfUZ2tItuh2I/Utz1CHyp4ia43og8UsUTDJE+qQkLsUIE/Q35THn9ZoDE7uoel8PZ3eB1t4K6js7/JF8ciPn3JnX5wq0DBX4GHsCApUZmIm39wp35hNha/Je7WEkbXcfEllYP8v70 +[+] Bogus access_msg: no_colon_4_-1, SPA packet: 9DM85i2OXcs83V+lpKxZnhRFvEBQaGtpC4va9p4xRNKvt9HxIsXSyaWExx5YSQB6zzLh9QX5d8yOGx0UDgf/N/C6SevbshpV7WIOPrw7/KaDKobsXPEx4uXcNysBKsxKNphn0yhbdt8g +[+] Bogus access_msg: no_colon_4_1, SPA packet: 8dete+BlSfjCvoXW6ZjMqU9JijNxavmJO8hYo3aABnsyqwQR2wKFLUNtU5yA5O1mv3o9E22miAYiMMGU8vejnKPVIFxE9VCQmW62c6a5DDsPHBULGtYSsfXLBbXuoZctBVPIIbszg3fQ +[+] Bogus access_msg: no_colon_4_A, SPA packet: +3Iihay5B7NrQ5Ep17AmXi1N6UgrgjdIv2S7i6JIjPjbtT05EAJ/pxLNi7kUvyjZvCvEYU4Cms1mjk2TnCCBrQi8E5ONVM+FG6CU0V0PWJjUSY8NS1sW5lxSLV2+qQ/0HOauFjY0cKdQ +[+] Bogus access_msg: no_colon_4_0, SPA packet: /kGBCjTGk/WUs7at5Pq8kUHN/U2o99IIdii4hur8YjFpnT4wKBv0mV/IjW3UZBDBgJyxvYFchSb/l5jz4Ejm/UmI0gp0gJ7ahd3jhWthtYD/ryAaOpaJDK3v2gDDhjBnjpAw1y7gLylg +[+] Bogus access_msg: no_colon_4_AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: /XLwl45cFc5fHD+Lhdv6kcpDttYUhNfOnWt2fjSI5BhJ/gkWh03IQ2LD9qTXh2b9u7UlrfNr1e0lW+nQ7ltgKFiaANeRwm/U1Qhh7QgRYxbY6KTmq01qFamwqA0T8IrbU9nmytRpBk+vfXPVVU1WJu3z+vs1mKziT/r+01hZFWaIdl4BqA/NMeQUaplbamettErmLpp3oa5Ece6ROpE3bXncaEJf/hxs4v84AU9EgETWDxTrjB/TMhFaaBPMUm0fAvdD6rmiS5ijpKDCB+C9g3mY75UFM62vT2rqXKOAzQ1BezyeSB97mUvlDPABI58bmd75N5icTIfC82O9TdXNjg6/jpAeT8K4+X2ESdU6LqhLCCplA60uDbVeMWXlSjeYgmBGIn4uCsfBgBNEWs+hZMMdGg8dT+wfraGUZ1xaf4aef6QouLKpLTIVNXFNUh1+Px0DdiwQnL1R9DkTUfClfGXLjqdJeo2CDDB8XZ3OVKEJyV3XsmaIZ6EwaLCCL3OW0ktov6GMTv8hBj+4QtqB7LJatw3zTCbiyA8HiUhXaezi7uGH9jHEYY9TPq4NB/kb83/yF5BUe4LR1tv61mAjFYPzLkZf75iidv1xXm2XhwLDr46m7peLmAhJDY9DRTZM642lWWmOCx+jTbYlE3I03u4yQaedEWAhzuQDCSCXeNe7bQAmPraBR/mOCocoBmqlnjghB6Vs/7EJaCoprZqdSt4Q3xOrjRSreYQytPkWhDvsA1c6E1l9yRIqFfTPKd5UCWAMzP4BhTHCIHfwN4ElQeYIMH1lCdnLLt/R8LCC4p0hc+JyCiEEs5QptAWLE1zFQyMYCy4dZS5uH9XMEZFldIxFH1b51dOjBeYrg3kSXC+8SPiNuQY7dsxl2xKHWZkrkNo0+hucd/4YZXChFTSywBT4lxvwKDNtSueo4+sfI5QanzR6wYheczz8ieD7+g1uQUcPXmRKZDvMV/xWoHxR5eqiCeYOKXsj2eDuIyIXzRzCDK2h91Jzn+aGu2hm4E/Sh1FmSPjG+Dgem33MxHILQz/HVvA9ZUyh8YZZv8xZIZ5ueqecMLdjMjdEztThhIXs/c/qt1kSOFOVRdwPHVfg/wzL0vyVoHWh58DJqViEOE4jp+4W35FXyf3bNyoVO86i3TTiZqjC1A9sruKcZBkxrPL95eII1/GDZH0L4JsfFptvX7dWvaTEjPMnrVNHE0Rnr6So35YKqQ+K3NXqsykYj7JGuz9kGPrLhQrse5PbifpN55Huzx6eheS5YU/n2UnxN+elbaYzCqbz0G0Qxd5O3Zbcpdv6s+yPVtIQMYGmYH9r6oe5QiGTAc7Ic6NRSeKdfhvbuIO9ODg7dTT0RwA5NSh+osu/woD8P7M3lpKoZRTlr9KJmhVm+7Rt1wVIo2wBWoFRCYO2cg7FO0EpQgCLlSsDWOFVNRRqM +[+] Bogus access_msg: no_colon_4_////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: 8LzZrw2W+07eejlO75qoZ8eBoWe1XRYlj/IxYElCER+eKaftoLGE6wh8AVvlgLeuG6s7zGJH6SJUUAVa2jviBlssFWgRgsOfSPdGSHONSzftFsTUbdOQBhA9zq6KIB7RAIjpWUFDhVTq6ixi8GweIm/tv9zXgq1eOy29/e25svvhKKDIIj9x/ThxFIeG/zLwFGJernu6tP1OoTsNkzXvjQzJpABC1vsBuyZfP9IfI+kBz1MbKs/TvMDaaiMllUvD/O2207G4dd6EKVTvRZlw10I2bh21SGIAYLUdukbD4Y9BgonsC56f5udSyLgC2EvmhC8lz607yQbza+gAL6Xvr/+PO2LzLwrTTqREwZFTL9yxsGuGL2ZyYEvntKe+rxieGYJinKZiGNgvdDId+aclWdnsI/8chNdPCr2wavb+1AfhQMy1siTfE0bCVFC/2kFjlBa/bMZcz8G945FpAHxbz5PvKEPk67QZsaTvmTQ/xTRMg0ERKYFNxS8e/VBiw0T9DsMvEWFjHh6bCKxiIR7lsGN0XUtbtRjWXsW+iUtSOgM0Ti/jik8UnuXRe1psjSXZqEEMHXnGCM8yIGgzIkWAju/M6L4Nikp2F00a5PAgunFdIz8uSmB/yH6xX7QAB6pnhULVLJsJSK+fqzD4/3pqqx9VqXQ5skn6EFmHEcw/f9LP7jfHKv6WPhVXk/2WdQjXVblf9QKGUuKjd9RHPCKz/o5eUUPdsisLd1p9eeucsWxRNF+NgQHbsCiAnNbY3okqdoOshy1IeZ8ImyxjjzkEAgT/A3NsrHeiPiNbj+zGHfritfJaxtvB7dTldUJ60U3o958yUCgnd1nF7YvBGzxBLTyECQw6+xw6nibfJ51pWhdGm9YQxbQEYzPOB32/5eMHv+ZPgRnGGsT+IV1FEzE2TeA+BBBUyTf3GOEaLiLgst5arcU6RW+4BE8rITqZQsRxT+jobjyBO1ELrujIOkydeYwCh0klP73HuRzMAcEa6lDUtccpl0i9Detq7QX1LNQbeenPVYBauvvAtzEXJ3aM7XDKWSo+4TmZcCQGBiB1gqlyBbuFaqFzSz6RHN6UfaUohv6fGsrbaUhhgnVakFGv4qkqzKVR5EHQH+uhrsajpmaZt253JxFYjFOMwPBxjjWhOT/+HWhY2242pFb8n8dS0HfNOtMChGVA1j5Uce8o7ad8YaGRjjLzib7qtRNzGeTpHVmh7jq3FxbRVdQ0QnwhbeHwxyh8gj+fis9AHjUHE2tdmazItPXet/kzVSMqlqqSiaHp7CVE88Izz2LSHfnntXZGoceEVTqdm0rT5b0nWTyq93QvYW1lq0u7JDtaaANDOhcWQ22oGd3AZ78qXbwnlN46DieF5ElX805v9PypnD39mWJInDHUw7cW9vUGVJy6acPCnB22IOPEnU7t56CnZ4l5S8E2MI/u4 +[+] Bogus access_msg: no_colon_4_%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%, SPA packet: 8LPGeoyGjgbhz7wwRp7nRU7PuUZFzol4+Y87BiaJrD6sTkX5Jr4ck9kxNpatoKlWNKXX8YVz0eGvR+sVKqcM0Xofscd5aOkoOp1fwT38Evw4pQiQ65zwaJWiJUICZYkpwIksMVaj0Jt87zsHXSU0WQkHXs79SGJrjl/i1cmDT5Zjm1QMGm7tw/JTxNM0b/mWx6lsU2VUxrlYknSKS2Xa9heWzoQD8xS+ehxxDTYGiu4yM8w8TvMJHW18RFo9aVXSV4QqTa1mrxSa7Jllm0n/kfHRHE2Fa5E0BuS5ZOPb2UWTrszZwalj3a2xnZ4WPdRtfo63BnArDlB1+nqAQbcvGyW6iE3mi/d0xJ27yccCouV94bEjsi17kVV8cCMZazeFohsOo0ZW1w89JXBavbQXeBJjPcc5XmtLOdzEAgJaJ38zQxRj1DBjoRBJv1tYrRADcqlzU2qJuFCa8GBxMOaHeWeyv2W38qCCEjfHp7kBfvOq3k78+AYeEn2mqJG4pC2prSWlZxuRcilMrQfiXGn3vUgNwqym/00M8QQxYtpMz9KCmNnhn3j4PLIEZKKpibQSPIDlDn2Sdm6vJiQ8233r94YXk6nHq6z3rCdsvy2mc6pQ/OUiUIiOVfO236bBqR1R5kANsOxaMemXElh2xIJXOnWAgPXCh5wZb28mUVKzEmtL+rXAjAMhn6gpmkQBsrJl+7NyS1OOPopQgjSFpWESeOR6cGH8yswK0R3ggY7yzRLScA21pRTNzksSmJVZewkyRseffLiP0nPJ3aLLqIZbPnI2P6H9cKLkpkZ8SgIicZJn1u6YCkwmpWJkpxZqxizTcV5mFWDim3G6dxTo/CEqaDwEJJpBDgCAczY+UI9/3S6UKYj76NCMYaS2anRwX+69poD36038oul6r0+ebGmLuMG53LMXGGvm31hficho9vlUXWXa5LeO+6UuWCQzYcSCJLtUi6MZW7F5A/RELg2mtvD0U6+6ImldJPlt9BzVZ0kPAQsCUXHmmgwKrm0XRjM1OGEL2L1/+OJpgQkrTnhaVw7E6iR3il3NixFpWKp5/o/224LYwjj/iNvGr8qH9kr/zYXcuDEQMEXZrK0aJN1re4CDDZz+UMnTBdPOxURLGVpNpSbpmOhVzztiJD59H4os+yW+MiwY5KPkfLgNtC6LhoX0cu9WUrecgg3W3uTo8pklHX6g7s20jl/dBAreh8Ljoc4ihVMTd7byzT5g8cyGoAQoQORRxY5v3p4fI8bgehLALmZmYel5jtF9RFm0hKE+LT1gHxUqhpP69WLe2po/K+zz2isgHSFlGzcbWT+F+kRJiPwD5lVO/7WaMhVaUffqVqOUZh9E/zu6/vm9uDYZF07q+0kkfL4w1vt82FDQk884xj6J2gPQ4myJUitdoiSD2QiAvnCeeXxU+hrAme0EE8XhqB03Baow8 +[+] Bogus access_msg: no_colon_4_::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::, SPA packet: 9PgldVqpMBTPx7HDQH9y3+V6bNvoL2ochfZgqabIUHpn1suYJ6h2HU5mxWsLj02Z0UC2yabZ1bwTIUYGgEHZ3qc9srIZka9OwfhqFIj87wcuk9vwgjv6nlz22/Ju7UBScYD94iQjBldJS3AcXZBpH7a655I9EQOo/tk5nIFEOWeR4+iyXlG3pKqHJAUo1TyelUCQxQMwvksx1yP6VduCxJhScOQXWNb8XM2XmyEnQli0MxxezM8WAVH389ZHr2GSviYNWFZtUfhfNvmhd1x20FSsw79uujKhd+CcfE9fn6muUJBi2EalNa8YUvPAGKzHBivDSqdS1VynVLhcrNBgf/xQCXqu3hWXLPxKogFfUFo2bZHPEI065/lLeNgHK7bVIeWmTJTVndiUYhdLprEKey8MOy9/FJ8qzm0gCB5hakQgv8S/qFntu4gks0z8ZAHDK5YzTyYwQGk5+uCzQ8v8vKk1MNKWcAVi3ZfvCAhzTtfHtQH0qUPwOiPv0vMIdWrhc1vPLhwAHTbaGEzcDSPYgMh/bim0eZJO0sCqv/Fd0hZaGu9DGLRx2wuFSd7I8YOjR0gh4hkXsLT/ga3NeCxB+OMQGiNi8o3s4wdybZI6GC293wImGCJUnzZGJmn047mBN9oE1adXrb/p39w+GzyJ90T5TWywmgBfKXT0FjlwnnBrQ6iXQSSln/8GrSd11bxTzOzpVUYUCXLtBi96ShvrEINRBK5eEGlDNhKSYDAGx7/7tI63N02YvLPAddsLSvb1T0O+99oDtVaMI1lkqso/Y/TiAup5mDnNNzh4P4SmDkcTWcG4vJnOpo8tvWRRVmx8GU/ZBdFL8Tpy12jJEpqqKff0C05b3XC3vezwB/XWAf5pv7y103bITyEF/TzzcEnM/HltHZ2yETWNe9BTyvsau5vQsLlf07gvsDxWz4RWvw80VmGsRfs2QclIkWAgG9q15XdTc95jkEDaTKg1oNw4vS401pkg1NDhbwsc6+n2icsOMfWODHVFldh7GUBBILcAE7O+U2xuxYY6kiPeG2ehCaPnnnyUiWm7Haj+siG06H782tFLHAZ08bhcspU06AmM/nl8WHYA0qhzhhxme18N/Sv02Q3WEn/0pyx0yEZLvhOT0E9y0SQfR5y2UO2YbtxXwj95NVgjbTVUDfkBuBy8ksPQekdG4tUjRXt2FNErepTy0yqBBGptH2WrSRFhSN2moAnOXhDWrjH8pvpTIVhm3/Z6XSYCHkOP5RlBW7VRW/Fga0X6xv+FqNMEL8GfRpH7GuHpKRNlSYFFe5zB8J4R0ZO/+qg9vQ6fUzMWXaG4ChaqM5qA4f0TbZFhLQHxvwRCEi3a4CnDt94HlyzUmrOlCXNebYxp7lSy+xrq+SpQGMzOzpLL/7TJuXBpbpFrb/89W5fbOpCebPkxQdrRXgzgPwS9zIaBkZfKM +[+] Bogus access_msg: no_colon_4_(NA), SPA packet: (NULL) +[+] Bogus access_msg: no_colon_4_1.1.1.p/12345, SPA packet: +Gg6YIhObkAWzJQRQfoMNflLtz/+IQp9e2ncK5oxFby2iJ4CEI41KD6pVFwt0KtniLPyMf5VLBQsvEYG0M6f+NFWYUAj7hbeDVGjsiawJotGM+XKwZBaPiyda/ZI0hsDVVYgI/xRB8cW8sWXoUYWBMUZtaAy+DEX4 +[+] Bogus access_msg: no_colon_4_1.1.1.2,,,,12345, SPA packet: /6wm0cp0h5OGOzDd33E7pPr/Ddhf3oBEiLPbkgdC+51iopJIOoKhiLNze8tYSX6Obt3oUnp/WhwTgw0dze71a/jcgIK4S/RAA3pZ+mg0f1JZi4wimCFZ7g82pQeJnCai3e/SmmOlaNSUoA3O+lQ75ULOkLfTHqzkE +[+] Bogus access_msg: no_colon_4_1.1.1.2,icmp/123, SPA packet: 9FM85R7aMtc5lD6XpxBBg3TqlmgoDn9YqAKejssP5QqzdXW1QDIIzGBYWvHlvPJ8SvjfQzIeH5YT9lpCxApg5vvc1pt4FmCUhgKkmv9V25lu2Ew5QYsAxW2BffbrQO8p6uSmf2H3sS4GWH87Y1boXXPiOhedpiJaM +[+] Bogus access_msg: no_colon_4_,,,, SPA packet: /aQ/EMW7odr0pWI35QqdFgA85XVTVr76PtvX4zDtlDpzHWjyqp12Nb9XUlRoqtu/mfKLH35O0hy3JoFPKZZP0eOJkKi3ZczfL+cbd0inYrzF1ttbKg8hiGczhe2cB17X9Z5UJSpo0Rjw +[+] Bogus access_msg: no_colon_4_----, SPA packet: 98vL4OY6VRz6oIlkrmB+IbUH5BsFXySAaomszbp37aeFT8XKtiB/oMBLLSrIig2gAIwXasdPj8jxX7jvpehulcX01zOCIIfz+/zT0Os56Nighuxdu831BJtAS8K5GYFKM+XVg4k+U1Xw +[+] Bogus access_msg: no_colon_4_1.3.4.5.5, SPA packet: 8Mz1hRd2YosLOzgNKJt/RCIwreDE+R0QMlrKlp8RCkPTYzeQ04G87AjoCATJ/xB9qzgONjgcZHKF8zM9p3kllAg75MlVHU6GNQJ2AcSEGRXYaRLNZpf24CltL30c5m6Meu5q6itsbTeEdBImqqYMX0ryHIjD9V9H4 +[+] Bogus access_msg: no_colon_4_1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: /1MbaA0moEjYyGsCPbxbk2ur/GCjgrtdDX1Fz9V4070yA5Q5S0ftBNogoKxgCLFbPNUqHQNMlnLkkBKRaKWZwtpoG8bc41bKBChjHxWomwrLywO/+vwuyWY4nBMViT6l8lKaEfYXouYF2C2od0ruEvfwPV7vJkr/IHmR+sc4T+20MLZw/3QtNoBcZOKCuJ6YrtY9cdiw3PCEi3u8G+ZWK+Fpz/W+Yc2ic8lQjCFsq1Rwzo4DmRBvRplsYmgfXF3b+c3T/oOT+D/58/KjPekCpPKnjDz+K0MxrhJracEkN88PeXN2k7ltqnnRb6l+hLLRdvS1/tZrDTDQ +[+] Bogus access_msg: no_colon_4_1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////22, SPA packet: 9/MeonirgeZIRzv0m4JdXjyJ4o1rNyqU9o7iuzlBi9NJ/YLn42//+cmJNt7EwWZ/oWX3cFrY/h++vYjQkWnd/nZiwKov4A075dizNeu3bKcadJLZTkdLFabXQdMWM1c61Wo9QyH8+5JreSUPl4O7OPK3HjZbXNXxDTIXhblyHDKA+EOUVmF7j1t+aggTTTxVDWupVWqIueE7+jX0E9P1oSFawqTadaf5dgRTUZnj/jpQocJeHmQ/xxcYc0URzYhOKAknn483fbFO/GVDi50D/8v8CTE8o45gh4AcJ12dD3MANu+HtYJsFUKTvrblf+vRyrHMuf3/NwYg +[+] Bogus access_msg: no_colon_4_1.2.3.4,rcp/22, SPA packet: 82uwQzpgnwMKyacCM9hWHU4lefIMkuvr5gZzqzjrCc4Kss9g28Ninxbm79/LdyS4qad3BkDFo6HcDlySmiVyPFFwFyK64Jo5rBcFsnxBIxnrN1XvVOva5SPraNtcPRX9YSb7HhF2CqWMOEMh9jLdaYqHqlZwJeb/c +[+] Bogus access_msg: no_colon_4_1.2.3.4,udp/-1, SPA packet: /JQBnc+XJo6B6BHTNszcsU6XQ4i7C4XHUJsvB+hu0g5cz3YUBeNdoFTXzbSHbT9ssSuB3S3cpuZRs/l5AquFKKGn3szO0RRoRu15cFSl7ldpx79uuCHOByD15qrN8oIdEKNHDz0pem4yabyENOwk9oTn/Rlu5EEyU +[+] Bogus access_msg: no_colon_4_1.2.3.4,tcp/-1, SPA packet: 8SAkq65OmnhdIbwiC/Wd555R9dG+5//mWNpE0MZIYwa/rhn9ou/PU2tczUfOoqel/wvOD4VYHcmb5mGwO5aoX7X9cz/qpan+qBDt5WDxVhBAsLQUWdAefLWwTqMt+6C4y6iIbnrMdeGohakNbyx7M+oUJsv3OjQYQ +[+] Bogus access_msg: no_colon_4_1.2.3.4,icmp/-1, SPA packet: /vr5mAhsvURZAybcv86OgqWe19VUGr7WKxseLOI8rfjO/SzH2EpVKkj+09WkpSqdeFJfsDzDru0U5Qmlr5s2POsL44smU++Z22jqkwcO/PRbPA7s/qdZgtaqqoHjlDTqGem5+z52ThCJWZ2/NS8IM58fWn870puvI +[+] Bogus access_msg: no_colon_4_1.2.3(NA).4,tcp/22, SPA packet: 9EvU0v8bbryDoKzuveR7MN6K7LGoJfZJ9H9puXEuoXDWENyxFdWmr6jrAWNOWxdXLcXq5jFiEsGYc2V0Fw1s/rwecrpemq64jXvd0gf2qCGjx1LQo8hrsrJ6H+pnzP5Lj6lPb79dEQWg +[+] Bogus access_msg: no_colon_4_1.2.3.(NA)4,tcp/22, SPA packet: 9M+2XsF8v9QLe+jcwpxtj09CKCyybY0xS3wLmUNUbI50MGLnamWF2kDJfaGGKVcHmBI4SrBu/tgEgx85rCgGcZLjS/UGfkD1dZPI+aUXEdKlxp2+DIqP5KbKbTZQhU4EE48kRzQ7psMw +[+] Bogus access_msg: no_colon_4_1.2.3.4(NA),tcp/22, SPA packet: 9+VCwARDi1avQsVcCu1cwal93ewvBpHg90YtM3BUjLHAWvQJUZQiN06ksvKvrqkcnVmJE9u6bcsopjUuLnSY5sv29P9nKIZdEmgTElVG3OtB/NCPdNZk7NaAJl0WCB6v9Mn8TRYqRA5A +[+] Bogus access_msg: no_colon_4_1.2.3.4,(NA)tcp/22, SPA packet: 9eRN3IufKepc7HJc4osMPrmrAMkfjaokQ8AOrB3ngcAQKDY4Ibz88ZBsOvI500w+2jDjVYnJLkSGLBavsQTOEYgE6oMNbpj7ORSIpaUIijA69vtunKu2wxv/TnoJtjLfChIm6RsuvsNW3cPYGs5IH60rFTWUvvamY +[+] Bogus access_msg: no_colon_4_1.2.3.4,t(NA)cp/22, SPA packet: 97Pcjy0zXQp6a2Mlki5R90nHT7bXyheknEjHFV7wgnoXY3k0K1UpsLBMH6fOgApeUgQN4Xxl/weJNR+jOugZGE8Zple7eNJaq8Po0MoruCQ1CJ4H00NCNSWxIuFHQCjuRK6NC+GW9PBcTfbgXGlrmaXrue96QwMo8 +[+] Bogus access_msg: no_colon_4_1.2.3.4,tc(NA)p/22, SPA packet: +8ZMs2C8FGdect1ciQtZuT7fseVcfdZ1D50iaTAJeeXfmKEL+OiD/KgeEg+0YTmN3UOr+ygWHsh+vmLflbrU+yshOjAI+So35ufVSS+WWvMWKejFPA2g9bEJgW78mqv8Wwsv8sxomtMCOzDStggpGH8cCDC9/+Rhg +[+] Bogus access_msg: no_colon_4_1.2.3.4,tcp(NA)/22, SPA packet: 82YTT43pwHMZhWP5E4cmJUaaVmHjkm0mKCSSikH5i2xS1o/E6b+26KW3odEScdry6Nh++Dyf969bdB4j+UokToyXLKdq22X+JUEljQf/61oH3WBMTXK/083LLaolFhrY/GhHXSD1JBprflJWaOEkk7qTMx0B4jIK4 +[+] Bogus access_msg: no_colon_4_1.2.3.4,tcp/(NA)22, SPA packet: 8sCBKBGFmdr2BbacqZJUU1Vp3jhIYhz1NbqCXUL6KnTLXGo0dYgTKjM5wiB8r0hxeN213q2n8Xb/W0qFJg6ydMQ4wG3PL1i+/BJgjA1BxL3036Rp2ABpI/jdjYACkIx3SarjRJ3NydEqWd/jm1mtIQQfetugC4WQ4 +[+] Bogus access_msg: no_colon_4_123.123.123(NA).123,tcp/22, SPA packet: /gmXJkFYsQxrmt2moLeq6Rl5RF8ZTFtAWVXw1m6CQmbeGXxRdQrqCRfPIBx/26Jce12swJXnzTHDE3GDLhHYnS8SOk5n9vj+TLgGt2nHxEJ9EKl5EpnN7J8pOL5MIiTAQC7cctyhGPMuMhbjXznoDgoAPt2noCXEc +[+] Bogus access_msg: no_colon_4_123.123.123.(NA)123,tcp/22, SPA packet: 8FyIfkPy4V3nS1vXgjYvGfYTP7aYnN2GcabXpjVDRHXO/sdZO7ki7jvRRQMt7Ags7zQo/3A/bKuDHOL0fKLPPKZ9w/etcSE4L4tC8sarz+sudnyh3AlX54Qc42sR7Y9kuSfUWgHttHbBoRJhuQe/beLBtr/Nk7iaw +[+] Bogus access_msg: no_colon_4_123.123.123.1(NA)23,tcp/22, SPA packet: +Z9fqWZFCRvsRT8wUL6yy3a+Ya8/sf/xkoHxY2K+hKARXUcRUyQ0P+3+IqPKWGKe59A/LJkzPX48T7ghOV7NpZl8HkkMtfHNi5UrNt+Pg8WH11JWckmXUqTeJw5OLJTlJ9n1OVyhE2OuOnm0vWk9F1As8UgIrUWEU +[+] Bogus access_msg: no_colon_4_123.123.123.12(NA)3,tcp/22, SPA packet: 8mV7D50Q7JJ1ypqRE+ux4WhV/5mf0k35bMACOeipK617111rX8rHFi0L3UysWADpHYKQ5xk3nrofUx0kjZCsIYGji3KSL9ZYrimLywuwMOldZs8dOcpqHjWlOKXv462+2qdY+dmoe1HhTY0B4kLiAjkpetcZbZ9/Y +[+] Bogus access_msg: no_colon_4_123.123.123.123(NA),tcp/22, SPA packet: 8Yitn8joUdmiqgEPY5RMIt6bi6f0c12U8MaWyYVJvki0NCXICX0McG9OvXW8rmZwLAWc5E4ingK4M/UE+UhY6q9kI03AYT2N6NHdy33iUrSbUnI0crK1+LkAHzAI9Qs2vxzqTChb+ofVvljbKFkwIS3w7jW2vwa9E +[+] Bogus access_msg: no_colon_4_123.123.123.123,(NA)tcp/22, SPA packet: /RID0TV6ndiIqpNwyxP53/vx30JYVQOcGAMrDA6ST6Si2PpqHqxLPgKf4orLYbuOJ2pZ/zEdzHi8u/U0DUVfnBhQMZtXHZna3VME6gD77Z4lHPTC/3O4qRRat5PJGuWvjbyWv40qBu02qiD83wV4FEIgOUn6zpAjE +[+] Bogus access_msg: no_colon_4_123.123.123.123,t(NA)cp/22, SPA packet: 9nuckhLrFnFNXBgt2PaJIgSox5ZNk4jhbIpi7PTGvtMgJF1A5Man3O6U/fH5vdg4/xT02mge13QqajIGJrgIG6hdzJL1NblReci83LdUzQaMA7UWKnPJjJJzBepYx+Cvz206HtJnk089tbjZeFlMB7sUw284db6iM +[+] Bogus access_msg: no_colon_4_123.123.123.123,tc(NA)p/22, SPA packet: 9QDFjrE6FypBXGAo3DkmWx7T9nyTRwzxIIGy8ZuYRSIcDAGBUDClKBfAkqKwcs/BUuCPmuZZPhGd55gc99jd0xeytyL3/4XzavBqAO3iGjreZYM2Tc0s7VkSY3J4d5JvQcgB3Wbx4jdqp/RgP0535m4i0VYIFzLn8 +[+] Bogus access_msg: no_colon_4_123.123.123.123,tcp(NA)/22, SPA packet: /EjbEhR29m3oZUtFoIKQAnaUpgyfilX6+cELgYAEAYsPVr8She1/tw1D0vr8wdH1S7A5f9Kuu9JX1RASEJ4E74f2tXrUTHqOTp837yy8l9rsnUdqJeFQhvWmaCS3KO6QiK1bg5HCvu4M9Xe3Qgb53ByCEWU6OulLE +[+] Bogus access_msg: no_colon_4_123.123.123.123,tcp/(NA)22, SPA packet: 8vr8zbx2ZBFOygKajD4hIgtHNR/LVU8lH8/6Bs2PJSIYge+oLiAra0tFenK8LT0gzUBxvMANqzyRMQt3BC4XPkTonEwzWAnY/1HH/b8Vf1qSI2JRQSxiI3LHIAAgf8bY1XdnnMibBHx5R2qptSevd44G+OCXNqZ+E3Q6LO/68Ncue93qmmzBmJ +[+] Bogus access_msg: no_colon_4_1.2.3.4,t(NA)cp/22, SPA packet: 9DvJdHWxyg4Tpn7HgK0VCZncXikGlT+h0Iwkj1YCosq0y5m5Cms/61jt86B0ti32zriS3HEJSSNNwdDSwSlJ++0tg2fxGUj1Ksb5rJ16DgE2QFuD116Uhfz0ZLc8BvuaUkqW7O++E3D6hq1W1Ruxn/VYXVyu56OfY +[+] Bogus access_msg: no_colon_4_1.1.1.1,udp/1,tap/1,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: /6uo3uyMJCOQQVDZbunkKnX6tC/8K6Qj0bhYxfXtunakQqnAYgLLmNw1LTbVA8/XygIFQ2AtG1JWL4JbgQIC6Fup8y3z119P2m4RdSpPxnTj0K/gTsG4rZrZA6RedGLELpGptxzNrEl7NtUYSMIw2aunMclVhYd2vv+VnMhsxBGvNrpcmDXN/FKD7MLYKErbMA4EnCpXqZ0jM3ZtXAKiljVqObnVRMHz8 +[+] Bogus access_msg: no_colon_4_1.1.1.1,udp/1,tcp/-11,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: 9xMODRSQmzvcU71Xv3ZIT4ISAAS+oVOm0AVOc21QwbL9L/Qlq7e/Y2uT6c6QJsVLEAfb3joGUm+fZETESfa3joPrnDrJBHixXALy5+8EUyNPPpP9hYp0rymzKLedS4NNM9RtViK4wnvezE+YqPPqRnhb8/1mlrvgBC2krFBTDS/w7IMzZaDzoJ3Xzg8LpI5UbMoGSyoYFEFc+oTVO+3MGNyEETqnLDhp8 +[+] Bogus access_msg: no_colon_4_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp/12345, SPA packet: 8y63it6HqOb8zhnMV8i9DO4SsbjblMgnmE1qRlzwo/vvYnPLmS1hYl7blCiAYO1Y9wBJeiQJdey7SFnF7tHX0MtWQUyh7Vh1X/mmmv0MjB/jfzddPRIt/87CTn5eDaoNwFn6hLM6HUZ9drvhoaZIL2a+4NWisAOGN1wkNw0BsrlLZHhbqo6ekX7IByTt88aSnDEBnbcXRVKTJ5Fp33XVYPcQjmar7Ucyo +[+] Bogus access_msg: no_colon_4_1.1.1.1,udp/1,tcp/1,tcp/2,udp/3,tcp/4,tcp////12345, SPA packet: +jH2tHo1OKpsOIkd1xAHxb8hnhkxvGnZv9pwnXW1gYI5ie7MU3jFpMCv0IJPra0hAyK43jzG0iBU8eF7O3xydmyiMtBmDxaWfFl0SRF2GhURptcSZh6/1OhFWNgJGf5FxNhCYmDhsYgvRTiOkEmfgXR190YURW1i0dQGuUcjSiKu+m1fPAiIO4iwMgx0rGBQMjp78qwP6wRkY9DNjy+XTT+g5TI4lnsQE +[+] Bogus access_msg: no_colon_4_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: 8GYWeCO2inC+nes4+Dg0nJFwLH44G3kt2iNpcFyQla2TgLwk089iMpQozCUeTAoLcqAR7EivZ7H4JF86OV5KHr2svy61AAnHldkC6tdoP14L5pxul+htrp2sBCm3gvvmMCUtcsgAMqxHnhj9vFbNpyGhXBDrMIZN+T+lKXLMQQTcKtYYtnlzFVpLizL8nCC26E/4Ng1wlW1wMgHGbmZYh5KvNQjgQN98Q +[+] Bogus access_msg: no_colon_4_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: 941X49yHdLnO32IlBpuMmb0LWDz4KqcQoCbUwNkq4MCp7Fw5DY/eO2NsEyKUEIr/d5IRuE21kUo4jGgFep4QlBlVz2jDq6zojJH69v3exsXv3K24a80vgmegOZwjqKBLaFrzzipWgXHuyaweS6ltTKZ174x/8kRAvwfPxyJEo8z6uy050WiXvbft9T7adgdYAjTDEeVmuZOK7Na/HwIQACkchcTu8G+v8 +[+] Bogus access_msg: no_colon_4_1.1.1.1,udp/1,tcp/1,tcp/2udp/3*tcp/4,tcp////12345, SPA packet: +/A7ND6Of9zoXQYJ8FvhVZQN385jhU0QBJxaXSCTRpy5pm+066a1ZcON0NDJhjN5EH6P8Eq7TKhBUdHblPnobVnQeXaVkqcoz7mjjQ31BCJFpCUSjwo53c9+AUcRdhPi+Q10XFYK4xfgtxbgpg6zF6t9J3MkO+RApORxxC5xwWFFvyE6lPyWCixa8XnSTmXda7obFwLy2zw4yNDZnIf3jLfzUBtMLL2fI +[+] Bogus access_msg: no_colon_4_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcb/4,tcp////12345, SPA packet: 9PgzlvOkyd1SCT5ljcq6i9F/+ulaNctUxDvcxwFrAJafNebREQnbnM1zONifPkmwnCz48JRtS2EKM8pIBrI98LaDE+udh/boR4Na+z9yYM5Fk/hYpSwy+IO8rg4qOqO66FCNYgIDcuXOun7q5UEcRP3TvNl+26FxlAEPgL1toZl72lwGYdaIeQv8gntDPSzJG9vhnNkTWlY0UCWMSoythotfYL54Qn5eI +[+] Bogus access_msg: no_colon_4_1.1.1.1,udp/1,tcp/1tcp/2udp/3,tcp/4,tcp////12345, SPA packet: 9hjoRr77brl1xdXPi5301M8SBXkxRYzmebcsCay3IMpyVF/Gwy6Y71GloLEKPOBs28STp8PL++CxIh6YSHQQx6zZ5akwhc1hF7YDYzemm7oL0dIgILXZTgj8Q3G9HC18QKGJlRmt1Q/PsfURIdJv8l3tU2d9XWdCDlqGWCLBOio/sPYFwj+YBEIuVlvt9yJyFWvS6IMV1pqd5CUZjQAYvXO+eIUKzHzeY +[+] Bogus access_msg: no_colon_4_123.123.123.123udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345////////////, SPA packet: 89/uaMxe/7kExgVwyJVt7uKcOd8E3sAlw+2pyXDcRMso0rdZIV7w4WuKkQFF+4U/D3vqkZkNtim135FNCpt+D/DTHJqLi9+taDsx6wE5VnmCwgXSwqAhEBmsUoy9zcV9KxG01hojVRp4Vg92ZNY4sN2qHRD2vWCEIKQ0IqFi51G+uojwmkjdOmeRle8vNso+TLxePCLmNlVuaQOfGTKQki5Ji6kcvJOTal4XaqzW5ft2Zs0coxPWcNNYURsx8XloNaTmXr82Enmw +[+] Bogus access_msg: no_colon_4_1.2.3.4,22, SPA packet: +wi7y615WKdtRSsWA0yrNLDKPCi1K7ilgF1hBZTs/nEV4cF4Io5eTU9k1RI12SssWFqtMffFe8OClFKIr4fIyhP2qcm3cZ5e85rKwT1NYUEoeGibt6MTFjpaZ9EVIzbdYAlcSoOhIqtTLQtnr7KXd55g/n29kB1hQ +[+] Bogus access_msg: no_colon_4_123.123.123.123,12345, SPA packet: /SA8K527u/VfA569XSaFuxzB5d5i2etasJ/JflFJZ5zhYBhoCXAt5wKd4luPwwwvu99YtJ2TZZcEZRuh7Ciy7DYxqUDCiMne+4SXuJgn7Ir/ltS7AoU1ksbLnNV9jrXzxA4bFpYILKmwza7EdUvOWBiMUtrlf4p3xUgoCwzdBpvnKsqiXcGTek +[+] Bogus access_msg: no_colon_4_1.1.1.2,12345, SPA packet: +7NlKOKx40NWoXG/EmkNyLzNFx0/qnsibRpk40Is9yiE028cnmgt/O/2e9G+iUiJo64a/Pe9AVIm5NZVFTGwtQ92+aMEG1HBYbZnrdFOvEiXm2ahrgYR9PJj1TDTk228Of0j/oMq2KDXlhIqSnVXKa9KuCA6V6KCU +[+] Bogus access_msg: no_colon_4_67, SPA packet: /KH7XUNsDAeh3uqm47qPmSj4KuJHphfUPn7wsKkti52cDNng0KCpRe/pK8LC1Lk+sByrx1ahW+TG5i8FE6oZPc+63Okx3uAEO6zP8O8nlqe3WR8NlMA/n3Mzyn1PqegRpdazg7SgzX5g +[+] Bogus NAT_access_msg: no_colon_4_1.2.3.4, SPA packet: +fFGzRFJsj54aPeSdgwzHhKU6ytoq+WECvJ4jU/fyFJWr+zQiC92834WIB9alYcd+8ibLr8XUDh17PeiiDSPdJuiDCDVjNwGMlvkVjg9WQnp3IMhD5QcXngtC8lERxfMlczRKrW7haQXodsDE6CqvqM1cJEVpAcTmYnFxj+/uuZaqrxTWjAXcv +[+] Bogus NAT_access_msg: no_colon_4_1.2.3.4., SPA packet: 83bWHUJDhBSHeSPulQ6GrRjUP1PLC6wb73vq3dIx27CKtP1qjdOmNZWHUdHE+nqPTLLfTxNl6kSFFAHFLgqwr6jNHPohC19FKDnbknkAhSMXPSCjeU90dVRrQsHClOsUkpQ53QLl/RXvc8dLUIDMeMrdoCzrDldz7mtVjh0ub5SP1vRN3xKysc +[+] Bogus NAT_access_msg: no_colon_4_123.123.123.123, SPA packet: 9gSuxWhw9zwe0q/JENy8Ekf6WDUWDGOYz7WKGafKdBH1yr9nMWeloqXHm6khqlreCl6Pe3++fAj/YAcdXry1PS7oQSg7aTQ2NwTD+ZZDhiWgcpK004RzrjZcgLrIDMFR5q36xVUTlNcvV3Bifpnh51Ywcousyj7iD1OGLBT6HQ/V9g2zTr8odS +[+] Bogus NAT_access_msg: no_colon_4_923.123.123.123, SPA packet: 9WJY0xkFoDqnJUz1V83cj62cPQr2WkAZ5x4EXoh3Dx/Cy1RrnEankKr2+9Ign1+nZQwEkUHFcS46i03ozu10g5nBisQvftfeylrjIJK4GuVAB50QpJxYPHUbaYW/PhSfTW812D37A69SOGKt74jVRkasr6HfZCDkbAu5VqKf93JpELXXrDrP/2 +[+] Bogus NAT_access_msg: no_colon_4_123.123.123.123., SPA packet: 93UfBDR15CnycD/YcqKSmKgqZeO+nm2GxV2hUowUirK0TCwSOVnlsEXNmPdOMpQ0ZxYhsIs4+sAz/mF5k8Qh2bRYy2M1icYajfOUZql8wOdIjGv5yNbl4Gl+u+3wR74ViWL7kxcKh7fo40K5plcXh7/yXE/W3L9s8JggJNhqkEudYALcF2n8rc +[+] Bogus NAT_access_msg: no_colon_4_999.999.999.999, SPA packet: /HzPXKZsIQM1i9R8ZXAv+KY1dpGmUI49U7Z0AIcKsOh8qo2W9TqXvTwsM3mZKT00ILf4zJpycSgXSIuCrSAJ5wFew7LnDlrItNiC50HDqDOBQsI6G0sy+642yXzKoQ7xOuqh41BkZvSOJt6lhKpYyI0ZkGLVVYZLUG05Hj0lkpQ7i1ExQ9NAOj +[+] Bogus NAT_access_msg: no_colon_4_1.2.3.4,tcp/2a2, SPA packet: 8FNnmKhvwARfZqdyZTTS1eGsBM+NVwBhKrRBln/x7VxF3HdjP1UGIOPtNNApsj63bTGUcqbUFtukKyjEqlArzBDw5pD5i6grJVVwxslFcPmvTN/xbuaFsDz9e8WxDhzvOOdmIv1+UQI+pl0GeRN+dJz6cSfExE698bz+u6AezULqdb1wv89Qu3 +[+] Bogus NAT_access_msg: no_colon_4_1.2.3.4,tcp/22,, SPA packet: /q4RlVOSy7K6S/k3hpKwiqZb1Pbi4Sg2YoHU2C3+ISEmcwFIOQaHdagZX2ceAZMKX49NIzNGcbjYWggEVZnpwuoafgOEfESGGyn5qaRBnVfmbIe29F/k1FftYVVG66aaZC80SdpjUZG+8Rd4rAo1Zg+x2hIgwnLNeCr/o2I87N76FWoOA4tz4v +[+] Bogus NAT_access_msg: no_colon_4_1.2.3.4,tcp/123456, SPA packet: +sXQ6G203XT7zMHYs4mAjv4gRTrN+Qk7n5Fq7u8UeCHJfojMAnMrrhDx9mIY7zm1zxDH3z1pDdvS7CTqmbW+XWyAKjI1bsPCtLRdx8Re020SxmS/hDHCWRLK0TslKT1gjdV26TbW8f3DrHYXWl+qyzLpa7Ouo5H+abNWnZb/1UH22dLcs/3B775bS38LVcOEmrmuIXraPd4w +[+] Bogus NAT_access_msg: no_colon_4_1.2.3.4,tcp/1234569999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999, SPA packet: +grvvubKGbYlZ95/QdyWaAnnBwxYu4nxTA6AMvX9Gnl8GEDI0yuIrbn/ruqh29WrRwEcUx1UOoVDoVkY0jQrAw7u69P/7q/mU7Jyqb10secrogMNi1VAEeDss1vqy/JfqWQetXbCz6XbRI6DHc9ZqOhOpj+90Z5/FpCMrF1faxT6jlRsM0L7ybH6H/YIcScNXC730lKqxz+d/EfTs+ws/I0d+/hNd01V54BGZaZbGrJk4OS5euVasKTp/MH8RVjVdCsodyKkK68sWHfwpxsc1M1G7ycNIgNsRt/ecm0mu+ei2V+/6p0ketRmYNTr7amZY/ex6Dxidztn+fIn+XtD011cTVErMcNwuHSmDQWr7U8PlqbzAqBTX2 +[+] Bogus NAT_access_msg: no_colon_4_1.2.3.4,tcp//22, SPA packet: 8PAEnJzcu/jEhy3jN/VJOntT0wXPFY85FcRt63QDUjLjygk4wI7gYT8LzgsbP4ZHlQIr9loT7jmBc/fnbu6qCeJzNeR4Z9CfXCJW87LQY1vqkvbPDlUpTEs+JZz3tJXOnr3+fiRUxKq2Jk97qa6EMkvNmqFphHFoRn91hE4pQ6DuwQAZKSu9+f +[+] Bogus NAT_access_msg: no_colon_4_1.2.3.4,tcp/22/, SPA packet: 8AD7YlyieXBCxBz7tnQTpJMJJp9KbqOOq0tSC4LfpE1P0g6P0gbSL7LS45+erwXGttSSSCqbCAP1P8hv6MeddEVIl0R+0ePeX9uyienG8qA/zwv8e5tkg0vf/BiY42bmj9WOKr0Bo8ioQeldepmLjCOuhCZUXHdu+Pu6e1Eisjj8z6G8JWgvHN +[+] Bogus NAT_access_msg: no_colon_4_a23.123.123.123,tcp/12345, SPA packet: 9LZIrE6tQpSkUTfUhzrXMn0uj35npPQ4pgLu6ag4t91To8Q3N2VtYhKdBUR1Q9/PRwPR4yA0rtI+34n1JsOj0cIinKMq+ZIYB+Xx5IVOG+bC+aSHsZR+vne5zcZiX+OshaCz23INvMlMfw7BFOviLxuAAXH7OpaIONyK66DIK7jGkfxFxE1UMh9tsoiTwKjP5KgntDB0VwKw +[+] Bogus NAT_access_msg: no_colon_4_999.999.999.999,tcp/22, SPA packet: 9OC9MQwFI3YUry4DPvdG1WAvlTXsStQBofJGr3GAJLb6C5fXtwDFc3oN56b/nuOxH7o8nMPzmo+TFmYCGsW8ZW2vcKKn9oV9AZWYSn6RoYgpdR+AIY56Q0B+ZHYsIdksF5wjK6ymPonBt1K88v/FRvI2zuhsBaSsedsbYZMcnWtKXAzDN3Gt3fTvmXcFrFEUZjvxvE5FDQvw +[+] Bogus NAT_access_msg: no_colon_4_999.1.1.1,tcp/22, SPA packet: +MxtN4A4XZA8ET/Me3cJsVtn45xffc/dYj5Xt0wG3aLFSnhR89R/E35i0wjvkFSADUAylNa94rpGkCa0MQuXqQqBLb7pgiWRqIG2LC0MKL6YaAU+NoVK0XO6itdHYEElWC+nc5bnENMHA2ZghIK4qgSbFrRCOhfqHruQ6TkzULuNhaNhixOtZV +[+] Bogus NAT_access_msg: no_colon_4_-1, SPA packet: 9JlIKjS+GkRHq3u6gCtt3l6EmkO/byiAJTw0d0GISMpHMGjSYp54ZpqpCPy6VyMrRTiUcwTkfMiahiH83RFQHI0ZGss5J0Bd54/xHAcuttsyfvpVk2guv5vwAICk3t+99pBhkh8ZkhQ3QF06LlzUBdziuiZ1kQ7lE +[+] Bogus NAT_access_msg: no_colon_4_1, SPA packet: /db9gn42DF4vr3sTYjyaIVW+bWVGEZnvCXfqlO5TURMGOcuWLrCQmC4FSzVTcTklOgsOqAIPdRWt57y+tMjQFh7xieTO+GNnNXjVCC5fzL74RKD/0/xouCvvJCwpqu26AxnZGbrSaZfzKlMyUyoizysGRhOJsOR5w +[+] Bogus NAT_access_msg: no_colon_4_A, SPA packet: 9g9wskjV4KlTj1ZkwggGXPcgGmB9LYqYQiGTdb9KGRoaYfv05C9xWcxcqdeLNvAaDD+mB45Qdo6IwOAz7+6zD5GdyhHcjvoIX6FILIez6LaNKzAzmWI/ik12GTsOR2H7q0RG7G0O+CcFrpEBMSPqePVwtFTjFGxEo +[+] Bogus NAT_access_msg: no_colon_4_0, SPA packet: 9sw7QxMs9y7xNud60QgKdkfl44se8Wqfz9tyAtopmyj+E4bqd1odk27O90RqSSq4WwtNJDJlMW8PUANj3PEcnRuCEOFQ+niweK0a6k5Fv61tyjc1M0rV87cUQPt8i2mXa/93NOp3jL6RRAqCjbL0NNGqtiJ+5FPpk +[+] Bogus NAT_access_msg: no_colon_4_AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: 9kKl5Bspp7invRMl7gr1JVKulB1q8Tbep9MkkN2txClkJgDbn7CndiXN2e8uY52kkmz4UPnp+WAHfQnAoCf2T6bd/1NPmDj6Ai2Pgq7KV6MHz9GjAhpHf1FE9NuxECMzzFBDUkH+tdKNFDsoDH4gbZZRpp2ZDlqGcyZocTjGag59hAaSNBgexW8L/IJrUgbnsmY7MmwGJP/+FYfye5+tivupwnKSQpZtEzapNZqUcarmi7IAhov05OREgn/EuLkgMao3+wN3ob4ylW88EQh70OBMboxMpQMQqDySf1eldKW8j1D4wgSm6K+5xE+U02U4i6INWNc8qmCk09qpWoEEIofsBs1Px5NwG1XePbVpUxfUfY1L+1cJfM8bFEQu3xW4rOBj+mwbsJbM7Fa6UMZXVrZ8GDJn8vEqpWr8pZvPKKzqnD4uEf8I+OMHzPB1Ay0XCnQmXeDUYCziWJfEjVDtmnD+Vp7tc00x6hz6gw1Qj4VWdl/h5t+EnsISg5Nzza6x7IDVqX52PFVyGV9Z4ZEdo9Ucl90NANzWtQ6liuIVYDXH3BNOcSLoH4BKPU4EGIL+qdkYLcOJ5CaT5MlcuC6VpxkxKWIB1XH9H/KCzcOq0/cFPn1pqFuZOo2IkeWn8TAdTibWJpzAut3B609AK4vb3+TLnynBaG2P80etgqH3nOqDn/lNVzMS8V3f9gq7bv3WpvSV2Uca/fuWpAm//KX3vlhkTz+3fKbe0SYWc+XwLYonRwBUUE223UrXiVzGO+9bMobo+VdQ98dRQqVXMCuc3LzwNy9L/V21SYAC4l5aUY581br6QW+LoSP5B5JQJTZ3ObjvKLfOstQ/rJ80kSbi482FV0ScPi7m06CvR1rk6aRW9SdDhip2bT//v+idJPN6HATD+66DDfcrtTY7fKt4GSnCx8mk7LKG1eLeC6pSenwtl+O0hjU0uwMtgs/zyDVN6HsLVujTfQiolMUyeYwrqtRPB1Awsh6+NRSm0sPYawIJM1a7vjVr1AsFVIM+X0oeJP3iQFn7y4Z4xIygI5l3buLy/V3wjXcK9t6IaFN9k3TEKFxu9RmCr1urw39ldpmOHtE4nk/yOt9OHjtpQ2Pl6l/yf05b5jo+4JbFG8y/EyjjH/nwa8KrOEseVGcjyC0gnYj0MPuE37fYoKAttcmNMZCZ6Znxl9S0I93Y/qaFoIRMQdOKLEmz03pcHUvjITyJN2bLSB6tzGHoa0hwRxb/UxEwfSvuO490IOFacKK+gkn4WJOYWXdvwFo3RIHHrNABceylGRe1+u89oSJX2G+/U19qmtm2ez2KBefbn/ysLaWdsGIbCfVC8LmX/GaYtbpvXcmkzySnavgobk0mHB5wN8CvFI64kN6h7mz6u+m59Cxd0OBf0WHVAbGBJ1y1kgAkl5fh74FsI4rcaLjVJ38ws4YWOaXyInkPU +[+] Bogus NAT_access_msg: no_colon_4_////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: 8m7+o38LXNhezk5ThjgSjvc2er9Ftz+rF2VJIaWHQwNfEicl9NXS22ZJGd2RH4ow7bsKNdIwSpqz5qVxH1gdExgDviOy5W8k55P1d+6CH7OYGNgsiCCvKiYBRL0BRxbgtIftxxlzEFJs6W6HuWRjiO0Ki9AemP5KW/rqP/waEVxQ4gPgfEKC6W6MtQxk5OcyFBAAmyB/aJhFeT3oE7wtEZ4DTadFEtL6pR4Gi6k3/7/TRBHdiYdbCx/pnx1qyc6IySrg9/cZ3KQiIs/4372MQbU+lstRZAsKSBFaox9lafuVeabMQF2IBq/KpP61oi6VowA4WMSBUshjEEliNtLUF+k/6SWz2aa5oqSmae96dfj3l3ZlnGy3a0s9NE1+Vfos3Fdkw8QvwNx760Ss1yFL0EIgF+xftJRt27qgdMFSQK94ESEg0IPJaPQRQ8oC0iwWRiqyO/pVJsna+Ly5byW9ew9hSiSfdWsj5rGKI8W0yAYHaLUGyuYZEZTSoe9nTa9OoehHJ1Gq9mqjkuWP0yknjjJPFsubJKVcQSakrLoohTO0xcex6fLhf0XCf9PHGQ1LVKbo/sFMrXnBG8kG3oVeenZHdftOeaSuWIC2fA0pLuMvg49RjMMdhr5/OXczjdvhc5M5wioqvxjvKk8MTW+AW7lA2KvRPp4IzMcl+7g5vplt08OFy2kOJyxkz6AeORgWzX/W4BkTJVZGfUWDat0/QYdeCmN1DVSdgKxvzXM2+/rj6BtS76A5ukkLN2Xb0peP1ePB8yc5bubY9sUCGg3hlIVq3uKC3D3OE5Mp8JNFotqh7mSaObUtRznduX27vOxL1NfKr9OZzMMGnxamGmVSuhwfJr+pBh/PQ8dnj+Vc5NA7BKqeLccovPhC+3qk7u/Heknlhu8Zah0HerFGBBTwtmDRE9f5JtsrbRxqQOwZ8ptVG71ql1A7rZ7l49aB/z+8V8VkX8GGZbY/KBKqzjpHvxXS0ddNyeKef33m3hEvSmIMgwKzH3wnyJfTPJRHq6aOKmRkU4zblwS/st1dca5kXtZ5qbdrdfe/mCkFym7QUFBauDVR985tsfbp4ZKHVE1MFbEilHJfM/OdPXEJpcc7/EaZvDpl/Y6bbiYwDFPOxv0kkyhkN2jAAD17P55Y+r10rLZssctLFZmmxV81OUGObbJGovk6OvwkJkR/IYFM3tmtlFP2+vI/rD0E2oomCDbIxVkI2XwG56FTO9HrM2OkKyodDMQZ2H0iF0smmW9I7eIT5TH75C4cad68U92FyFOw22iHhDRiK066HHsUp7aheBJr+6AAWWuNzNAZCcnRbEHA06QLisrzdvCDJcq0uKo0VbT4Ih2WvmJ7R+zOhOh379sg0YdO7o/5xYPGEc5qsyYpACQPo1Vb978Hlezbl2yQ8Vt+4w6m8PJoSv57JCHFxWol+dJr78OIE +[+] Bogus NAT_access_msg: no_colon_4_%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%, SPA packet: +5PeNRyibOmNs8US5VF+mGYt7MefpmEYDAM4S0eKL5/zWIgC7JiQUoDAnxkN8RA10b9cwAQQ9UBt0eajBkHqSZp1MsVtgMEzA4euZCQQMkclt+PixD2bmSdn82DjnwWNKsNZMMSq6GHuBnAuv+WdTBEiHLBS+TJURuhNFyuED7O/mnLTrucBlkHnbiNuQWXPOLxS/wjWckWQg0DHq30XoWrc0Pdb3CYmIbI0mc91rUaXUr5+pzPJkP3Bm1tucYDvyYpMfwGWwTwiDors9L85KzE8lZpsc3UGPLZjbgqRlea0Xpu9utnsBx1fnfp8bBk+FS2i0vNPqcsZp2L2yL2CoP+PavaRlyNoMRzGgM4WYeLlySoU832vt2aw2helF9kedDZr1WRg4hH3o/HG9NWzjpQnn0QWly1ZBB0sPJ09hsh0Xg/LehPtHy2gYhBl9VD2GrxpafidE1uUckjpL4ZrkVbLC4gyNhbp7t1Wf8RN66hYrvKxS6K7IOt96KmuI8ynawEK1Kjbi9X+KMh5+INm+JA6X9mYrqZWegXFyOYqwML/P7PaGOaTqPT/sjwG5yuf7HRkb/JZsQS1mVKGAiimhN9DXuqHB6i8uaA7iZIjBj88OXR4F1/n1PKMKO2wQucooOA+7VwzgIfsTi5AVG6v747aIn2y2W78jfh5V8rQe3UCuzz9pwlqN8xkggUcn54lLe6U6TMTYszaepCb9n1mjQ1jTVcxuDVCqpMAQM6hmIx/qSgZWBGTGnlze9k2On28Hy4TuxcMkD3MFDeBXdD+1SjoZGQ4yFUzQERwu6e06PgDJ37LgamY1deRUnDkcQla+8zh+X1Ng1jeDZFloMFHrjCRZLIcpa2+k9n+ZS/fuXdN8lhrAkTqSsDWdqLu99QLS71sBfBe30E1UxqlePLuJuUOQ/jlRUowQdiK07IWNrk5UZvvzAgs5JSzPctJrj/ku8weP6tzbuTItLUBaaDG5EvWGZrjsnLc5JJn+3drJDb9+CO3ekMKM0y3OiFAQO1HFWVWNhOTl4NB43DObZ2zfsUszXMgmNcFs97B7AjSCjnRJUuomlpARUtiDS7F/srynGv3ER1eXNj01F+waJY65w3JOnyg7dwy9DfjsphcJAzCEwiB0diricw0Ie+BdFA3/vgCGPaoEVdP5w54FxzZ0kgsTsqDx9znvpM/iIUXd40cUohwNnbqvu5H+Yw2P2XDkO/OQJozz2tUJyovOAVKW/tW/De9wqOg53GVkYC8ydpv1TGd/7R/GKy13TywsGYE0gp2H8X04VAVAL7C+98AmCiNw84eEEwTFxebP22uc2ZWpUH87w6Mfy5+fkmOYgAEtH7uOfDRNheyKh0q5PdufmlrIlpbSq9XSWwDDCWFis2c/BrbFUCx5gyy0HZJDyryDKm2cwh7Tn2+1pz+alMtN7pn3oMsBv6Zo +[+] Bogus NAT_access_msg: no_colon_4_::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::, SPA packet: /bGAONX12XIccbxCoCUce0wr3Ksyeo6OL8jiN8C/jXiOWxzkJHBLoiJqLqn0wFYC8exwMRsteybpJkSQCNVv+rq59I+RIH6xzc88JcGJQ9mySrr5jTl8hJQSLW8P0yJD6pxnHMSBc6cjJXMBOUsUa9jUci0Z31qBgJ0+sqEHEKoV/QQBRHakEuMDSwAlF9fXQ5xC/m45psJDhi5AxCqencfjh5CiiHlaOtTV87mKUE7P1oXixrDKzAMJg9ijAH6nLQbI89M4uTFojQV6agLR0Ti/GO75J/cTZ4V/Vjw47xgdWpESXvAobXdJiuBpyvXHT892q7CcaWA06GjmOJnM5+rAtEqOz1MzJai1H8Tsm9T4qBrjxYaQmWdY2zN7mA4OSxSCTM+z/CDUyjJ7aaPc70BdLMBDxJgPPz/9CY5RYtkLqDKVHY0YHF85csVvNRlqNTj5FlQNjpiIsub6Ynwd+AjSOifbB0EUh1Dliq+ytNcm9EQZVLFXWWldI9jqyXvjDSt3kdasyIreF37pZPnR9djsoCa9DdlqPbGr+GnnU5gMl7Jp7cHlvTHTerpibgTAHLCfA9CqDIFCfHox0bLI1emA2rb5Bf8fbtBv2KbwRs00glazuXVq2m5ybclU9Vcok7zzpFOWZ4G5idXC7nrHg857CWEDedwvx9ipGLlIgmRYV6T+89Qqr38k/DTECgTVkaoobwSSpQnt3VPFA34RvJIZ/fd/xUEGHOGiWsiSgYoNaWRZZc/S9UNmswuO+/jwGzBvm7pK4Ag7Fyn7jDcWcvnmmXxz69Vx61Ps6Z6w4uG80cJ60g6fPuX2JJUKlmYIkGZiM+T+dRQdQ34g+Gwr7J4waTQFHzrxcAREBY8AbRkoKq7QQmQCEclwt0RmWG1S8livlLyoII/BqJu/XTBh3VsmGhRqliABXioo0/8cvDYSzLypzzlVleMJG6k6mYvy+dhxlbVp3EWIOsEEhr4W1DtSYjSfpca9Vrl8KHTJ1gRf8kigcWD7oz7qtP63lnecF8hjwcatGjTjKhtmwgxV3gK2MF0jtRvbGWIqoIxPFoWkXF+J/8nH/fZCiCHveT8gsmG5V8GbNzyaYGBZJoM+3b3l/CIa68uKS2QZTRIeMGtgRXjr3KxDZqaQNPzBH2+khVw0cZjs11qnUkqx516juvYpJVolMrjwak99N9e6OG4pHsVKCi79o9YPywLEJfxqPajJ8vCeUBTA2TyhHoCFGoi+PgnPpdfARYLbnVHk6HvJwgyGOOHE424GL/r9uQ0yEY5g/gT8tsnRsKrt1XFr0htjoBBxdkU6NjjIW9QMuv9TJLq3xCTF4L7QWMlYiTspTcS0D50tE04QqOJPNRMmDrB5vuKBxv4eBTQnEST5zusPOjrkQ3+7Pb/ob67hcjRaIIoUgO1dgyFeu/FJ1N+Fp1WAd/WoYdIz8 +[+] Bogus NAT_access_msg: no_colon_4_(NA), SPA packet: (NULL) +[+] Bogus NAT_access_msg: no_colon_4_1.1.1.p/12345, SPA packet: +cOmQF44g8Y+/8dOSWgk4uHE63Jjf23pNchSVJeVvRF8U67NFcBBRq1iGoHXEMvuV9McgM4XLu1fmGt+1zTArEWmyOTEFa6N4qu/jot2acCsEsdNa4igCC2oZ74ekFow/2m19Er3OfiPVK9j1UqfI1g6qTIaoj9Aj6ikVcVym68aFGihB+BuSd +[+] Bogus NAT_access_msg: no_colon_4_1.1.1.2,,,,12345, SPA packet: 8qg+BoqPTK5l9JLfMrD8EfddvJHL7+DPzlxGLRsPNAFE7nKKiF+DpJArIdVu1Xb1fPKqv5CcVU8Qgq1KqqCzE23vTd7yzfUrogaUR3Go6roniWnJ0t8qNn/7OLjn5SMg+Dgm0qSw6aofZBTfF4NL2fHRfEWU60FUl+p+eYQjxzrFk3V21sZDTd +[+] Bogus NAT_access_msg: no_colon_4_1.1.1.2,icmp/123, SPA packet: /nKJylkF7wD8igkUM2iZSPTNCEeXxGnVLgBWIAcGy0CB0EunxkFM1eFw6CqjAHtIMl2bND4ttFs2VbIZhvVSYOUFczbzWeb2p1FEMn0XlBXz9UrqQ8pzGYWx1+gfJsZO42GQWq21ySzabGKwGapS6N3wjWyG39uv3rN5HP9UME5Zvte/0GA5h5 +[+] Bogus NAT_access_msg: no_colon_4_,,,, SPA packet: +qeYyyP24O9rncdR4PRpqmv72qakhmheOOYYQKLsdJ7+KdPWTCHwNEjC+WpkdGTQyc4IUtBM1FIsCqRi8Wi3dV+xbbFECmnaRYZxZbEHTKXhJd80PF1n4HEWsIWdYnSCnDfeXU9ETce17lZhAPZ0Gr1MehlmHzxJ0 +[+] Bogus NAT_access_msg: no_colon_4_----, SPA packet: 8mvBype4Bp4DZxK89DP2li1kOcTAEqm70zsqkh6weJgY42/6icLPydjDS8fy3tXljoVdz+vWhPO4w5p25x1IX0THqHxjyu9HsgHNsvmEhiVX3T31AUTs+/SBTnS0+NKKmlFuFNOiA5qi2jwKgA7dxnsPBp22ZNoNo +[+] Bogus NAT_access_msg: no_colon_4_1.3.4.5.5, SPA packet: /7JuGcsqNs6O4XRfrcwWto1+1Zkp5IDbGzg6d8fIQnJb3E2PEqzOFBvoPIjzzeGYkfB5Z0rCaftfqpadW3lF2xeeWttCVi15tdniZZYQzj+BEDqkLHkWKhId9h5vdE0XoUN3Z/o6gK+Dj9yPvAJ0DBNiffL6dorzAU6Fo6F5xhaNQwPRGaX2O3 +[+] Bogus NAT_access_msg: no_colon_4_1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: +8b51sQWDZo+pcSMsVIiBW0zuoZ1Ptuo7kYgHg1KO1jUV7PsY84cAbEyccIkTmSh0KWmMsSfG8HNxJmKpyc7r0rFHwFDX6acBlVXCUz92Tj49rbisqy+LC8lijYrHPoXFj1cEhJbfHVlEJ303wzJNuL8R4HGHA91u7evi4vd6FIMYK5XkCNev47KR/oqU1wjcNj3sYYS7p1r3R/0uHJ6DHUPKP6MkQbP+Yrkpq3g/MtZ7U30zt7aZ5XaBqMZIz58q3l5COkfv1tTrbdAWWyAMI8A3XSI4yQMXGlWyUlS7Vt77SXNv34QXb7JxfXdlV9v/evoKAwpH2pZ1E672aYm32KtEbdh4eUAU +[+] Bogus NAT_access_msg: no_colon_4_1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////22, SPA packet: +vIRGPuRdgjcK8ccUcRHTs2Lmyr+rzk3neKoIOvzVVrXOuTu3/qrmgzzOQR6+1WSHHOnOKINafM+luIXvXSD5HjHeQNE0bZ3p1XeV3/QdR8YRoe/NxF8j6iTdxdj+cGoRvIkaUnvHjU7mHe0SJWIj1EdOhYpRq3SWc0zPWq0XO68huuvbN3jnvUMnfEjxSIzZHNC32bkQ710LOBKZLw5BDR8Rme94BpAsc4WufeUYcZecMHSXr6ouiCvs2eUDOeOONeAmoLaW31AVvzpYROJtss84RrTQpMDnN9l6A9F4CPEq+6V+lJZ+8e87srbRvyDspSHy9vo2te9FNTcwvGrvhMkKn9uUptzk +[+] Bogus NAT_access_msg: no_colon_4_1.2.3.4,rcp/22, SPA packet: +qBEY5+KymzbmkOb2pngpMIaZxrf3TKp7u4j8N7A3GZxlMYpBn+wNeCo8pAbfgZSfZsf88/cwWlDPn910xevH4b5VZgC39AcFbxwA83RxXbaUzssqpOBbFgqJXe5YVLP6V2ovoYUen+ynIME713BeUf5Z8cCEueB18oy2pjhAa6O0300FZsRgk +[+] Bogus NAT_access_msg: no_colon_4_1.2.3.4,udp/-1, SPA packet: +SQQtEnLzvJdGC1ifM6n7IyNnbA5WjQuoFaXUW2OtsfOTc+8K9ZJANEyQcghFYvMbIQ0SSBBuMbqzNfHxMd9Kjn9PM/UI7Xkc3zTVRGcCxHvJjYDgZXSiODKLHZI8ewrRDJCnwFQGTwUxv3WmlF05mpB2O42zGjCqUIb/kPj8gtYtSCmmQt9mu +[+] Bogus NAT_access_msg: no_colon_4_1.2.3.4,tcp/-1, SPA packet: 8WsLHFk+UecH4qRCtpn9XrVgwxi8pawaqzebrJLjqbbstYWVraaz3ZWKyrMh4K+Qpj4YO1RhP33lQDMTjaDJigftgh6UGjgAFhYfA6kLTQ079puhB2Qtdsy3vwmb8/igxTqPNrViM6vjs1Wxlb96Umnnc9DD2VCpKDfGbX+Oyv4DNdpno61NOO +[+] Bogus NAT_access_msg: no_colon_4_1.2.3.4,icmp/-1, SPA packet: /mp8Xb2YnMwsGSTtL/1qZnYKnLf3JzxEf5T99MizvI4d078xBQIY6/cQEX7NCdGtg0CRAFQop0KWs159qjOcb0OpnMG7QEhbf9/w1bgnK1oVxY4oE+U87XN2/yutqEqXA7gxx3aR0m9Xoc2mc3msvMGu40wX0OxS44PwLwgJq1yFq07IQA/XE2 +[+] Bogus NAT_access_msg: no_colon_4_1.2.3(NA).4,tcp/22, SPA packet: 867WXyC+UQzuPVOdrLJXRaQ0CQ3ToSHUszz19x/Gj8z3x33FTSMEOK3gAfMp7oS12spjW/fAPdrG0JHO73nOvKa11v4UUH01Y8SBuaL7DgZMvCWr1XO5wd8r/mPHCOiMPzLPGJz65DgbfA00LfAe7jhFyFKlhI+FOLLTLY8mycFRYoQZd5Fxwl +[+] Bogus NAT_access_msg: no_colon_4_1.2.3.(NA)4,tcp/22, SPA packet: +0mfqUgGpSxQsMIUFtiFovnnBNjbkGRhAq4bnV0qMXBDXHeM2AjSBSwD4gkyJnVKL9IkFJuf2C+1x50iEsnRQ04qQeBvuhyKhk7B60CcgaeCdiy2bWMEVUegMiZby8v0PZ+fjb9em8loLihuk9C4n/lAmO/WcBqr/qenkngJkvdGgOyx403ZuN +[+] Bogus NAT_access_msg: no_colon_4_1.2.3.4(NA),tcp/22, SPA packet: /4zdbE/SHsN+bNeTDjUOpQTn83eGH83s3u703pXMYKtzE3zdM+Wf7YhOyxs+FwXjsxk9brhKzQbMPoJO/Lh4iy95MXNJPO5ncgEVwjWkCsQlTbxSheVd03r/m2TkBQ/nB/VN/zmO6slRZMQRTrNse0j7MmUn84zTkmXb88k02eLC1qPQMz0+Su +[+] Bogus NAT_access_msg: no_colon_4_1.2.3.4,(NA)tcp/22, SPA packet: 8MDvTLbR05kXuUOJ22YFis1qe8/q8hCgsOofv0vJPAXcUIjWcmwSlHRGaSV92lNYVfrlNrRy3FCZmcwBoyBXnHc9fWtFoNSAwQ4xBVA4o/7hxD4Gy3kStHd5g98+6KCtgd0ZvddAU5Wak6z2h24dQQuZ5G/nUUXVV/oE2nymGPMFHceL1XTEyw +[+] Bogus NAT_access_msg: no_colon_4_1.2.3.4,t(NA)cp/22, SPA packet: +ebaG/zI9bd0VKiooilyQl6YubdPG1Xg6u2t8UXR+TtOl9H278Ud+yhwWBpJLn7NCYBTFvztO6Rlv4ESC6QUXCDSHJHmuDjD3TSc/gJ8Hb5fYDtjqT/i1RBjzpP5D7dn6rrhfGlYzZhLvyy4ZLWAJqUkwQjf/CEGcHcPMuVQMSgBGS18nogRux +[+] Bogus NAT_access_msg: no_colon_4_1.2.3.4,tc(NA)p/22, SPA packet: +ZkTOCu7mhlPIhM/zBXxD4BEf/Sv8u+qLDDxIsReUEGfMU70EusKpYLuQvt2O0vFRW584Fx11kHt1FKc/+vc5AvuYtHq3tG0A5HXUf2VQWUjl9s7OEG08HMpJTpRFsz5vngikeGu1/fR5dG/hAU9EFVt98O0WXbsBi6T5aiGw2QBWQZ6b5qjRx +[+] Bogus NAT_access_msg: no_colon_4_1.2.3.4,tcp(NA)/22, SPA packet: /l7ktgmDX+8w9vfb0I/XlPTscLFM0XIr1oofBq6VKS+zng56MWFenJQuSIEQEIpwP02EMpY/DXA4ZfR9Mb97+2/fH2SaqYaTsImBherkpvZS9RMhLb3wQEcrnjL0tzVqKjGBGLxwIFYxyt3lC3weASksBZJikMZy82TPADLrdbIBxr6vR5oHNx +[+] Bogus NAT_access_msg: no_colon_4_1.2.3.4,tcp/(NA)22, SPA packet: 8hn4wzXL7YNjSe2TyCKCLqoDb8frKuRML3a+rKbuxYERJrVyAR4EeYdhnY9I+70Ouo5MSq4OWhg/lvHOHPV7b44FnalRV1E2dv0GcuAf6C/C2Zj1W44RI/mOsGOp++/VHRvw37U4MNtHE80smEbELd3ZfBwl3RRFbomeYZGoqw5lZuCIUz3gFx +[+] Bogus NAT_access_msg: no_colon_4_123.123.123(NA).123,tcp/22, SPA packet: 98HlqhGZeVmhtlx7ZNvwKK5djWocJnFqym1ebmXJTrDGz30MTeHOgTZdjuDMQhbT36K14zkJ0j9wMm9QTbywZvVRc9/3t4xRV88AURNqCvo1Rlgr1fCjVoPUZK2HQZyjGB7NGs7WDDhnAL/9EjBi5t/k0KfUfvkcVkZUz5RW/DVxDGLHbs4CPO +[+] Bogus NAT_access_msg: no_colon_4_123.123.123.(NA)123,tcp/22, SPA packet: +vgY5eYv60eR8uuL0n8JD9wWhaRH+61VCliZzQfKXSfFLRO3lsm9mnRQfHtTYev3S0r1nk/6hgdrHn6tt1dSBpmOJm0rUkbjljd5Qz3wepcQqL5uryhsvD7yGY00zjVUIErA0CpsTMNbKXCGycfBxEvKstQSedCLhZhfSFcvlTdBUBfEIPoVCt +[+] Bogus NAT_access_msg: no_colon_4_123.123.123.1(NA)23,tcp/22, SPA packet: +IaOxrKhl1xdI6ZVjy7NxzzBI47joMphCIA7sD1AbJcGfuiKSYpCbWsz8iMD2YFYt+jC8b+M69XNnbemYarBXh6bssiz7KBuDxU3twRrywI1cGlxxznH2ag9rssXgMVzY5mIry5whiO2XtKKbN03bSky/aPWPyBX8zzKS6B4PTJiYDLBwAx5JQ +[+] Bogus NAT_access_msg: no_colon_4_123.123.123.12(NA)3,tcp/22, SPA packet: 9Fz7GwPx5RUu24pYTcxu+msIPreWkdiik2iBDEDAbf4yUKD3bakQIdMOznCY2SWYMmI/v7N8ZLwnZ1szDmudX8PutipPQhj68mrbDoYdn4lBugJgwmpKLWRw4ekiokXBJolc90uZQaFkCGY1CxPafuIRaGZes0t5nXulF845rV5hDo7IjN6tOe +[+] Bogus NAT_access_msg: no_colon_4_123.123.123.123(NA),tcp/22, SPA packet: 85I0IeqvCrF4onvftEDJuXT/i3elT0bu3CGpyUPAMChnszi2X310vFMAZpz/JS4oY1gHzJFRWQNlMAnY1KtwANENnU3y1hp12wJmS7U/oCk0guMKbddyUDHld7+gkwtrA0Y7U0QANiGb0fHdcj2SmwDuQrWmer2aZgEQtrGx+W7Gvrr2ga0ftM +[+] Bogus NAT_access_msg: no_colon_4_123.123.123.123,(NA)tcp/22, SPA packet: +qcRAxvqzok5qvRfaZ9Q/uAmmN5tJFSkXFKZtwO0PxGpp9ZmXbuZCvA+Ew0OAnIp2HVnoRXE/bb4jIcH1DE/S++UdHfes8VDEsphnWpwzUMVstipW0s7eICTZ2yplGA4Gw8rQWZH/3MfcvyrhHzt+CJ/xusO4nKT+TO0VfA0xEds1FAl0oIlrV +[+] Bogus NAT_access_msg: no_colon_4_123.123.123.123,t(NA)cp/22, SPA packet: /jD30VZAYkC9E2ZbiJPzly8C1dEstR1Fg6ZrSeZ/Fy1EqB8svtGSJq47a5XRn1E5CiCwUXDcr9tkcCn0WTvSVdrfaZ+O1nbMVK8SnUW95m3YYgmlodXmEor1oMEeEqsZQegWWjEesqcQjVnJ5XzYk+MNExjsshLqaXArIyjdQcmBxC6jJVQRVYvYRS7GQjYiUSx1WI70j6jg +[+] Bogus NAT_access_msg: no_colon_4_123.123.123.123,tc(NA)p/22, SPA packet: 8Rej2YXhJbcoNgo82Atepx8nxTh1RT63yKj35QXKrqGGKDEzsSE27xwondcWpslBKe7BF8Qt2VcJONr4+l50KyOf40X/Le2UzzbsQNYd5CznaVhmXiGvfRAFj1Z2W1HGOwelc0+MCLH50OsUrCGoBIbAXt1EFWwHV+2ZYmfR021D9BOv5AeIWwffpZXiAcTDbBN4fS0X1bpw +[+] Bogus NAT_access_msg: no_colon_4_123.123.123.123,tcp(NA)/22, SPA packet: /wSVtYIEkTZF5SHctxpUByvAPaBXpv4cN1OwdoTislo9omeMVMdo5u6VWe5I6vpG0ZBLwV+udicKYmLSevLcE140eac8wiIvByIYQvRoUyOKh7w50tpd6VPgVOmoNC4dCIWqawvMMAlK7fZ8d/r5c9IptyYnc/MJkxb2aBZQif1Vc5kP0zxK3gvtSZlGjTQOOztDnWMJ/QUQ +[+] Bogus NAT_access_msg: no_colon_4_123.123.123.123,tcp/(NA)22, SPA packet: /0pyMsO4mFmx8W8S1RLHi6nu4+lQpehy3oZu9cj48AVoaJ/m8JZwUzlUfDMMAjVYxnX/Ti82kQWxN8Xrxr8JrIOPRXJT5XUJnS8Rj9Tje1/camEmwMq04YGPqxu+y/jiuZiL//S+0T/jvzYms1Vv8OXBgwmC/KG9+PXK0Ey16WLiphNDSyKLAwjmqYSPaCz6PHMHgUAnKcPQ +[+] Bogus NAT_access_msg: no_colon_4_1.2.3.4,t(NA)cp/22, SPA packet: 94xA3lGoz9/cJFUxPJtyB8UVJ1RtWFUhuUP3Qtdb6og39V98XewqZbb3MjIWEKO510F0hDzCJMBHieUJmTTrGIB9jbBEp1hgpvie1YeQFJaGo7uBhVuIDgVuoMIdb73Y83HDH451cUxZIj9P9EJG3TEPkSQuNdnCrEnDGALaF+zsxQt1FxU0ac +[+] Bogus NAT_access_msg: no_colon_4_1.1.1.1,udp/1,tap/1,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: 9SMkAXXZjtAnk6NlcfpPUd0Ab5gvQdzMvUGnR0hvMwqH8hv00mjCSSMzOCVmT86u2ZAVrYj4Xf5ZoO7bYKywAOG4oXa/djVplHV2qUMTSLLYbH9GHEoCpDJZCosXhOvlg2BLC1CAJAjN/WHIXCSJ++h3lK2iOIKmt9g4tZMssiwail3aXJ1ksDYQbtpuTjeFuN8Hl7OmbjIMw2Ck3V/8iru+G1haNRlA0uKQ4ue9LqGSSO5Uq1pXEK +[+] Bogus NAT_access_msg: no_colon_4_1.1.1.1,udp/1,tcp/-11,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: +8en/xHKp+IaWyystmJYYTRJL9MyaVU44uu3Lj4qrEqPzDcwJBfYFtzC0l34jTokDhhIGuNOyKCHsNjBa6KStiXUtaDzglBBEr0lagh2W/MP5hY+RK6Id3Tr4YeDLS+VGCbgupFihUZ/7W3gSORi8a73ciELE22FpJISzsCJMARo2c7MOq4Wt6RW6wvfNWFpue6CDzyMyu3ALa38cGqVwx+3MtJWQz0Pq5VHMfobJnhs85rxPCTPjy +[+] Bogus NAT_access_msg: no_colon_4_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp/12345, SPA packet: 9/CEiH/a2Bnno1vAQj7b1Mm6aWeeffs1oP5SLbqzv4YBPV+0Qb1wnIDnoEQNPeZjwRPJOQ0jtDFPFDB6qRV4J/VIXeGtLymmJUaKR1n8hNGviW6tqsl7ZUH2eyNPIhLKHLWPYZHyzntBjWeKB+dFO07DbmVTcv29h8RE/7Fqw+V4ziunDr4wgP1syiBKjYm9TbK8QI7VaE8eyfke++U261LpTY285GiDB4b/mIQUDqj+OSA6GsqvxN +[+] Bogus NAT_access_msg: no_colon_4_1.1.1.1,udp/1,tcp/1,tcp/2,udp/3,tcp/4,tcp////12345, SPA packet: /eMnb2Bki9ErlSj6rb7YIY/KVJVJGVexGLRpQaj/ooV9CVq2aWv1wd7fz3P7AIJKkJi5z/AKAlIMyAXxWsrypp2DJQL/2kKeVvrhYSA/uXVuRXco+ouQf2H/gRVlAK34WXW6ezVvxzxcJ4otzTOWEZ7EBrNDhyu1oAFp3Q+KYC+YuwtrzcGuts3Z8u3rd2agTmuYVZghtP9oj1iFpxFfWUVzoRkOwH6tHpHVUiUd4WcmWomS8rcEmG +[+] Bogus NAT_access_msg: no_colon_4_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: +cMDneyL/9QEv9OAxmEA9WKm4DUK51AgH6TmOgLDKTga5b355ePr6PEw9Sgjh7xP1E8LaoW4K9sj6GP5rFykVanIAvzHS1miStJoOh0Pw7UQwZVhZlJ7EwMH55cZJ01JUW7GBSs3i6iQthBsi88b7XvBs7fJUyir1Nd/4LbTtI+TcLkLuN6uHno7Xuho3vZ/IcFG4jq5as05/iQonm6wd41b63M8TXw6UWFMRmrAieZ2maFBCGYnHn +[+] Bogus NAT_access_msg: no_colon_4_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: 93tGx7m7tqVgtYcfP7rGJOFSF6p6jI6vs2PAc8w82MsQv6Mu3WeyDJFANWUpE+gCoeEF0XMzlNLxqK6mjTKh0ZrkfjGm3r95731rArkAuqMBDPyFqu9wpgTy9Qb43jFq0gex8nTcOFozKuy9qmt52/X+oFW20RTd8W6fsFychKiNL4+OjNhofDa6y+zoirFT0WP2hf7Z4zi4mWvt7yjRrA+N+y+/bu+YzH2gO1LrLG44IPHV2yPg0k +[+] Bogus NAT_access_msg: no_colon_4_1.1.1.1,udp/1,tcp/1,tcp/2udp/3*tcp/4,tcp////12345, SPA packet: 88ssgpBceo8XALZQhsGG9tiKx7qIl1Jv2e+w67Il9xx/Z5DVyr1ln4HQRhZ+miJzktBI3UxG2vp78BTX8lM8NyxY6JhNaG4ub6NpYCpYOTfAf8oWxbGB2jUBHfINgJABl3GwBB4KAeUSAfp91CmIkNMk3f4/jdOQ+M++CqMEOQ8a4Cbvw14oZK3Is0SAsju7msutyvjmLKyUFLHtF3toNZDRLiFldZgAMgr/iYRb7ccMGN9ySr5Pd/ +[+] Bogus NAT_access_msg: no_colon_4_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcb/4,tcp////12345, SPA packet: /tQOfZI04tHoIEs0ymeYLpnpTxGP9I1VR4tbTVvVUoirUVF5QA8Y5BHyJf8PpM1OUUihBssR4YOW6f1O7Nng2bD80kJujpjy+Dg0La9oOs9XOZLnfUEqExee2Me5CGeHwJt9t34FXM0HuBfLPzOIS+rVYg9CFiYy0dgBpR1jOXBj6CYVkzLFZ8bAlgbrlQsZ8JRq/bMK8/axQETRzMbR1jdOFSzGkJN6r0LcvrRtD/uQub4Zs6XGRL +[+] Bogus NAT_access_msg: no_colon_4_1.1.1.1,udp/1,tcp/1tcp/2udp/3,tcp/4,tcp////12345, SPA packet: /iVSTtvgoBtH8yiRySa9a5VUUPoa6zpBEv1tS+PL1N7Vtp+8fth5UHuuqRvtJCJE4WJS/WUG0qwGycQeP0wN5bs2H4OQrxcAH6GaRjB4sfUiirTl7cpIXLm1P/SrjjiACnjHmnUW+uCItxSJ4PZf2sf5bZPydXuZjCpaPntKQ1By0I37omfxGOV+YFxcfVsDx5fvNN+H4wK82Fegs524kVWIJ/9pahx65KNLzJmH42RbvCWf27T8jb +[+] Bogus NAT_access_msg: no_colon_4_123.123.123.123udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345////////////, SPA packet: +SmPhkb72EeOkIk1Ei/cY/F9go37C5CY2/NCIiU7pysI+BFzx7fWyJh34DJfnImNKLSe1dcJ5kcsZUWam+ognhS0TyIZ4mhoaUmL1CF+8ww0KGXsWg8NDSOktGyadJZaAr7VUhj524qtrEdHIdZnnFCg5eLBluVdSN+ukHKxcirF1y+2voguzLiQMw+9L77uMkFMRp73wEd4lvRATyTA9o+kGmJLaNLifHytwqSwiXy56Vxi5ibxL6sqa1+EKujsui9H8QII4kBsy6YgX9tSXF6QRV7H4hIww +[+] Bogus cmd_msg: no_colon_4_-1, SPA packet: /XrgO+9MVhF7+14tlESXYq/9pWj74qWyEPUQPsFmEUkxkbkwT3kDVNUGAaquM1+wDBZZhH3JYXiXjYTVLfEx/rrli+6uy2aa6IfPX+ke76PwgKaC/bMuAswSFJ0kHBodfR+WrXLmMr4g +[+] Bogus cmd_msg: no_colon_4_1, SPA packet: 8O8VThGWbXTesr4sy1qkbBjbeCOTUPXjEKEl381a81ZO2Yjgnd+apZklJa+V+R72i8wfgGcw+vngqrQKcOlO6xS7hZvv9GFE0v4+sFdXrxO1DfkGzPUOhIFUXiWEMU5n/zkZT611qGSg +[+] Bogus cmd_msg: no_colon_4_A, SPA packet: /0cMylew/EqMlewyAJWwKGSc2VYDoRMsj7P7z/miRPfCAgSAR61JeK/6qNb3vAV+k8FtZXC0+uZLgvUXaA5g3EHJAT6Z3xPM/3+GyiafcI450rN4EAimNa7HxMujbL6O5K1r54qeJlwQ +[+] Bogus cmd_msg: no_colon_4_0, SPA packet: /kw+pdPNiSNsEt/0bn+vsAObCfjknljqJTpt2IulgFQH3gB7US9/hXFr1DwPbfKbiquMqKNeKpXis+MyerahsD2wyNCDyVVEp/nmRzRNNmGjkuMqmh/Va3hubXrDdwSL0IfUjUiITINw +[+] Bogus cmd_msg: no_colon_4_AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: 9ZM0NK1OSJiSjVbHRKkvlcFyvu6zZoYTM+oYiCgkCPa+aHaqauwTZsMCrzNu3yDn9Iyp7cj3vRGMIhTc0CZfbzju2D5AdgSmDZ0mIF8XZdKgyHhQfmSK8RavVGzz8z04dj0eC38cHTcgp7sC/DuIG7bi7CBgfoPB9K1T+7/duj1kC9HdLMLei16EKDMPTlRBN5swwGgkBsiX6/XFXRsXF4BTwHxGrOuuuy+csYmzVBP7UeruM9kDKdLGyTdgYsi1eh+OIJaHlRgO1Pv9non54jLPOLV2JlDKqrC6QynnGZ4MgnHksldlP79I97kkLCnPprRRGuGUbhkFgmEajQVmK7rjc08K3myn+iTH/EFfX6KyDfc5s+w7blBmBV0LZEmccWQcKKTMECWpSxgo03WNSQSZWboMyXLKBxxeHEVi4v2vIzb3s2E8tSrXVFs15W+lwzMks35aXBBR9/U4DtrOkbDgTlfZlVxOILUnm1DyKRYdv5LA3pAsYhjCHCuaFV79caXcueCrUw8uoLQIbMBWBjTbIBsyAtmR/OpUBlS9wZpGNS4Zj0kdC5pH4ktVSvla37ARFcHmgjDlZb7DJWHITHKs4DnePxK6GDVWQybIFK1o9laVBMtuJdZYnOPODrKF/L4d+b6iNSEmrcesGLBYD2P2S4HHcWsYdFw7lwnAiEvsmELTtAbZ2B9QTbsgJtSWgcco9ZCezYV5ZBMa0gZKZRiiGTUHMzjy5N29J/zxygE26CxfmUb4CpMoZmkFP23Q5E+RME4mjxsnMhP8j5GTEtZQdG3Cx4pfWBLfz0lz845cXDhXI8PYRNK8ugNqtn6RPn3w81f5XiJZhcjIEY/lK8zor8E8PcljckuYKkeUlzBXOWI9/nbd10Mns8EB3cUxK91SApYpb0vatRzkDFzZxnuopUC12kBBKrilUNdXDHMaNhFyY9wriJxwzFJvw37m05CyYnHHCRxqrX4IKln2jms48RJHpRaQWI9UHAmpIomYxDYZfWxGlhPD4m2iVVyYHKqsgVwOJP7bI0JHb0uieOqBAx+koh3QjiFgWq8c/w2Vfn7MZFcjYzZtLTwUv0oKI1lj1KWfGhn80IZtyPR/3VBCFvpIUvHfq4Z4Pwr2qs+kn3PnMF6pDWYEtFyxZjPygDjg9EleuHLJL4SQnE3HGEkPp3KjdWJBZiQpmwmS/AMKZ59bGVKemnLp3ARcXdZNOjcwaggadjM+Yc/uuy+8Unr7jgHgg3PN1GR35vg/+WtBneDvwvuqVDphl1hMBi8R1fkAz/QesfL8MiM/51VQd7RJzg8uZ7El4p3GtfkvMl/oPC+NG1vH/fgaPHoLDENetjcRxe+q2gZOuF+sMmqHWIC+qzmhJnRTe3PngyxraR/PNJPmwXufX8YDW7sc+p6nfGycUzxVe+m5W5cfhJLe3wWroF5vpji7w +[+] Bogus cmd_msg: no_colon_4_////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: +AFG0Ai75Ez4kgLItBVkhquT4gHO5LvEjgka/GPEI3WO20+T5FWdbIkcEQdBgd7m4j1FiqII5NxQRkeh4NZ66Ks7apMhwkmEaC2x1+YGPF8wId9+mfCRuqYvNzx56ZXLuNgGa04PodlEJk8HMzpzqjRPkmAtm9iGcspCt/SuUHkR2zu5rNT3c5wWl48ijh1tlaDLca+goMPKyJtJBWI/UIr/wUWIUSW7825Pyy6JOfT7CD6+Mot8pcQpvKCRNJ11WrdWAbAep6Fzp1XNRdE6myLyhHbw33HSgG0TFmCg2TdPsrONEmc+wGIAPUppnKMyjrFF9NLVKkpm9BKkBrM7niA9QhIlbAQ7jIgKxeRRWpgK7gWr4s/Asu0PWG+YmjBBc94G+7Dlx6JartPxRjxM00pj5KnEDgE0lxuTcshG3Uszc2WnBimv2ko6ltZi5HsDLPslDXFrGJrSeZbBK9Ixnn5pYr0rvoVRdZzpalbBJxt0B77wXkMhcCN8ZbIizyrX79/EKgKraxFsKyAEhwH6KJ/QvvdkZ7v1FaOYyHUtXHDUgVCb13jjx160eFlmtcEtcsF4v0GZ5T2KOP9QUzHSivbwlbCs8a9VY40WLLkxKyEKpWyWbBktHW1EzMJvVuXQUuPywnMTMmf7IQ+hywGsz/DdQHbzthiPkm9RUAoeOPnWgNsakKPMGqwMlTycCMUdLE4TGWpQAx/Xv5WSSwOE2Sb7fXtmhHCWLjFvJSkJ6OQ474ekVt9FpuXQJArD27Wgtaad6dd6S+TrcGZs3Z+YV085bOjfmQruKQc+LF6r5hKny2+IrZIIKWZ31deDEV3lfD/SEuzYHYAdCqIoZ+CyOcWY/vb0ZL8Jq9o85bO5Xmyl9FqRiIFVeVyOqSaxZjwh07lcadvFq85yx1WrsZQWQRLUuRQsd8AGmLL66EhPursr9zsuTTr7OqpltmGXQu+CijlUeVbJ6A6V6yj9v5p4tqzwij3fbzD7eDjWVoeJnPngXxxY9aGe52Hrx4UpNPf+rP5Q0kdtcX3wYOk42aB/chNbedxIXr5RJvEDPaKQ5zd0MFx4FHWWL/MNQe4z3PlJFU4v3Ip0lRuU7mok/D/ImaxbcyPiAAMr5kE+YvoEQdNgV0oohSAPE87OAySkSGYBt+skqBPA7odEBQyy133HtbVwjHXJ9Bc7Jbz6ee7ut0iLrY31vauNbT2PvKXoUh41fZcQbIGlrxHHmJ3UJALlq/8JRrr8POLuXtcW4Ydc4JI90vZgS79VBmFI6eDigduXIH/E+SNI8ay2CuRyWS4xU7jpdhg4KIT7b3NWbBeltPQcHqtd0gX6MrwZTMlIQBtoZ4K6rcqtPyg9zrqc+RhpB+F6I9TNTuld9bvpWLnujQJ9zURNA7u1uZp5mieq0SLSy1rFp0tBmsrLHior/OsR1jdat+DECGMYI +[+] Bogus cmd_msg: no_colon_4_%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%, SPA packet: 9yh+e33NpFz6WMaKyTwWpeVaWcmdfN1PKM3Br9c4r5EOt7BrxNkfps1dA5RCFuOjrGUgfqMtLNSZXMZyAgDbig26Xm7IewqIAMTbwXDRPlwXhQ5xGK5lDIkiit/PdPuo3yDg7DH2NFkmF+s1u16snXrufOUpBsKDIXNjB4Yenktrnv3t4IMl0TXIswD988suJCx02x7PEQ7r8RVqujXmyx2mPyrd2l2bXH4ZKHB9xj+Gs8yb1ZRxArbpeydr+W64IO0Ji0ZaTvJXIl283b+fmf7bkUg+B5A4c44OTdjj6Y6sHMzUqbA/GXUqS8NAMJxCCZ/2EIUu3Fy6qZ7el7+cWWlGEhRGEJ/MeX1KiII7csQ+kflH3tulMivA4t0qBrcS1/xtQkiWdtMPR6HRf4LX8Sp8xWLH3GaYIWsUhD0KAZB2ucOPNgid5JcHlIZzVFpnag0g9ZNAIPcBnRIUy9VmTA4gACUJ/Q/GcFc0ZumwtwzUm/66gVzcuBwqGfgzpH9X6jic3rRLRUSWn3HHRL4XFFYxhfDAc1MbpWIwS3FhTrJUdXNpfuh+FLsRr//Ih61kzeauLOj1chzM6xtZilcybJCD5lvXFvGHqBSjW8Vsa/RVujWSWA3m+m0fQ4ympmf5CsDT9h3lJmzp+eU+poew1xwAENKeJKJjuTX1nvC6DT6GGcZMV87U6ERnEh8jjSr6NA2tkj2YwG8cCijzyUfdmU3MtYNyCxTY+poxv0uuaPkcMf81uIH9CQw2CI8R7wjqdKgdRLtrJ16b2YfAeQ8wQUet4oZmNX2KJtndA/PZI6I4muPWcLHBYutYTs+kWJ1XjLzaU0nHTZFwBzFGhNtOMgL6YGH0Cq30UGPt+F5VpXfuHcQ4g6Dzmr/hUOXDBRjIy1o2++thscTN8lGYYznpCPbl+4OIBrgmEFv4Ve1krTPkdtoaNAOCWrZd1UQBp2pTG+gGBpvGMQt94Tr41b4UaZuwXpIXQhRQuNCeRG/xM9uqPZXuCfSjj9QnSpKfzBs7af4ulW42gGjhUWC0s3QvJru2MQhvbIhUga0YATOBm5CEGGlBzVsSCRbVAzCCivKFZhW6LHVS+G76MPKiYcyjDgVnoSkju0ut8ORYs5KKtDKOeCooab4lO0Ve6sAs4iVL/5uDVjyj1bjK3hyyYVydoJwI+M2flOSOGqcXhqHBKQVY/NjN0zNAEVTQhahxoixxYmi/GL83FVzEOsz9++GiDyg99C1wJV5cEbwj3l6jVOLHFUMe/nhsnlzUtsRDli5aEDVB9ee27asPmPjeFT6ZhjvGCISgPCNdgEMvUxb6GdN0cUZQ9irj0OjhBz5B+20IF8TKqjcaNslwH8yi64hDWgM1Fa85zKZMzNsnbbwTSG4VPEpX6xpaCxP6U+cZvPfBLkgMoyoBngANAwRThvoEC1hMYyPBR++2c +[+] Bogus cmd_msg: no_colon_4_::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::, SPA packet: 8QKPkJDC/EBV+r9HPFQJs3T59kMsqczGQjTIqz2Ag1h3rtxAgX30/b7CjdcMdPnY4BSTUQxKZ9k1bNnAQ2VOKBe6yQ85ST3ea71foD/DCFu8So8Kyo35+bvxSQcE0G0yDaX1fAlzFnjPAGArGmo2WSUz1oednDjv3t4IkK8jpw9cbFsmjovhzYsg2vKcWeakAB/SbIgFutkF4QjnQ8Cl9ChhZ+7OahM0ok7wkyomItfqmFICLfr9O/AYaTgKjhnuBANEQdeClMq/pC31MIFDObIq3YmAYlS9YJNaHg9kAFmWvWc7ly3MhULRob7BNOPOKEkHsK6BQPBj744R7UMq9Qn74x9u+3SOqRoqORZZfHUcFVBWHQWtUnsn8nzsp3De9SScL9WjIcy37qEpvlLCNLXcN4RqFEKL3UtHOFZR/tGtPWVr9W3PG8vB/SZzyOPuWmerPgXInzdZ+KK4gUIs+RFmeuK08KQxuKJoXk+JgfBwq/qpX7/XH+LwbDTcKgV1VjDWWqxF1HF6t2RGPE9X0neGbyvUFmPwHSa3Y6MlTiP/KRUCXsyoA+H85UU9Lx7lTwuxq2rpOZ65t7rlKVGYLQO5LPIKjrbMtg1XD2BnE9kFbCQ6LWPbjCex5tB5NCmXdrz7nHwlA0w4OnZhXalORhO6NgI3fr5VHSb0fPq+SsEG7PcXiOtVo5Z+bEJ5ESq3PK+kdlwdu4+NDo/j53FGZWfyw0hFRLGGkc5GobWWihzWxjS38Tn3o5c+agPtq9i3bleklXO4zVMquXGNrYZ5lKioxbVxjWocL7Slxf96iryb3DWH9GpL2WAcgKa/8LCaGBRRiw7fiUayErCxtKmbUgTL8tpSX43q1OQeIvuUQgWf2Vef3fAOmwwnvnQgVn0RZymVoE9N2B1dALc4ZUTEMO91X0KCAKLL+OLwDgRHHF1fO8aM4NHc4mE9b9ZMPResM+wV4cgyhoDMRqrAIPmheLJv/XyUYMtPcqQsBTs/orXx98KbCvfqTqITxTFPF5ALpECLt750SvDihuSZ/3S0UINyrcYmfG+yS6CBkR2EIcRii+wpHok+BGTLjIN+J8UGYR1oMUEeERZgVhNU4WZl0d97Ia0sMvAcXwGQsRz01DjBFdOWbV6pyK8AfvT8M4HMaGEWMq/ewH3JAw2eNyiI3gkkKEdu1FwwSRVjeRUdsXlMpPEdeC0Snqzr/tJjMm6JnvMWAC8pxAdIqc7z820w37rj97/cSbWbRtiQFls2xcl65LkLYO7d0wUszm9tSOwN+lxB5WDPZN9d+hBOmo4jzh7Hi4GzL+a9S1g5AlWm3M03MqDnl1q6TzMdPs2zJBtQtx/BCdYmHimyZIgjzqX3/BjswLlZeakzJRgm4co5cLc1N444iKZBaqpFlRNnh6W7kAPwSUNygSgumPT6RGSAxlzaohuucMt4Y +[+] Bogus cmd_msg: no_colon_4_(NA), SPA packet: (NULL) +[+] Bogus cmd_msg: no_colon_4_,,,, SPA packet: 92FSlilzcHRsb2mwhrBbs0fXkNZOE/aWprpBZFCA/y+R4lZTeEI8WfRl1jr3CnonSWrZNXajFwy6PRtrWNEdjVrJ3K6QZLJIve3uTkb7yXt1tqtr7uEeVekJgYQSgl1+9TJs52eBT0yQ +[+] Bogus cmd_msg: no_colon_4_----, SPA packet: /BMgDlvVkrLLKebKAr0QehBdx0dC56gZXIBrOnOW1xJSSK5XHwAMP0o3ulH6bh08asHkEhOHnFkL5aZlmB07Cw/TisO2Qzk4Z9XWOGGTZIYNEupbaj5/3onm8BUMJfL6KXD5yV2HLJZw +[+] Bogus cmd_msg: no_colon_4_1.3.4.5.5, SPA packet: 9kgxIjYZMcngtNeVQOBYx7KPtcXSLKO83p7vGCkdRMyrnmMr6kyDc1J34Rs+0aQu2IszzGraBiYXg2fMJhuZ2FOjHp1omNPIoB4ly8Tka1sPz0RTwcmyCT3BgpRuVrZ9TSADfLLEJf9dvJg9hZVMT87gGvpMCRg2g +[+] Bogus cmd_msg: no_colon_4_999.3.4.5, SPA packet: 8DTlUw2paiWofAMcjcQB6uKTX47VJKOwcUgnbjidqUZ6kMw1J2eOgLBVwW6+yS8geMiA0d75B45JEG2fqcdHn0T8CPpSf5aQQJGbTOeki8a8VApGVfHboc9VZL3Vh/l5B/SP+GGfypjA19ND3uWB089o5WDoOmg9k +[+] Bogus cmd_msg: no_colon_4_1.,, SPA packet: 9Akq/w2w7cd5EqjTISaVcaK+9uIjq3X73xDlqT88W9UyjpMn9NHuyWEUg/ak1P0JyezgbEIi1KBTp62tEf4Q7wsaoUMwm63tU+UVEzbm0lchfnyOLgF8mfhs5bhYe3h7HM+eie6Cj24w +[+] Bogus cmd_msg: no_colon_4_1.2.,, SPA packet: 9RmzmwsEz7tu4QOhKr3CK1pmfnyS+4lDm/TwDYtPX8kM7fP8KYpaX8pXLfnLW2vYT7e5sY1BbSVKv00hDVkvSZg66WYLMiBihyvWsULJwuO9wronGNdsRnSWEgFxXCtaWbf+piguESHQ +[+] Bogus cmd_msg: no_colon_4_1.2.3.,, SPA packet: /WB2lpGuJyKeCptmTlYo26CWjSvoj8l5ErnG3Th2vr8M6tCRTTA50z1NLe9Ntxs0JZab8cIdqHJuSGiRby4MZp8Z8BXumvf5lSJaUeTwbPvYyZ6N3MlmO0q78su/VtTmIcwks1lkrTyA +[+] Bogus cmd_msg: no_colon_4_1.2.3.4, SPA packet: +zEZIEAEFRrPPE1rvKbAyJL9XnoBiHgCp69CuqXjQXxJHUHAl1FmHuVrW1mDWW96Zp2ALz9sSPbRe6tXsuEtXs5WRbkC8e0+BJPe1Y6tgGBQtQ8bjueIQ1ErLbnonAqclVnFLY8OMZSQ +[+] Bogus cmd_msg: no_colon_4_123.123.123.123, SPA packet: +vmQKeMaKbZMmicXJkPKlXVPeDoOwoN8wdwOptPtWcPR0Mo1thnd0IcOKRwgJWpecKmtJVPa+HxhvQ7YIWWCFeQcoNDOILo1Wp+Vp9fpPFQSnCKSglopOlOeaVdooVtEj253/O/pz9P77ppE/Q3mc5p/EoqSidwcM +[+] Bogus cmd_msg: no_colon_4_1.2.3.4,, SPA packet: 9Nt8GHtnDxMRXwQmLfMWITD7vIIvKeWUSz+OK8qaTPcaBMJQbjuyvD3SZcobOfLclI4/7iYVffsFXR2iWNo0ARgSI9ccn/A1I0vvQsYtxS89LdNdNKYwp4KXdCOvuUuaeZaVWiM3Xp5vTMkkGZ6ntxkP9261mMmuc +[+] Bogus cmd_msg: no_colon_4_1.2.3.4., SPA packet: /otr6SDQyy/DITJ/LlfZd4KSVy95dTG5w5HrW55ru3/IwqdszUDeP5qtj6AO2gn2Cs4G/EUauKBwi9yxm0HGfeIWwlZ4SN2npaZRbBNd2dvrG8eoTHEmYQ7+QiKLN8CJ4sUY+JN2VtVvnID84+pFohlVhA1EHvqkY +[+] Bogus cmd_msg: no_colon_4_123.123.123.123,AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: +Xzg0ZmuioYnGSgkCrhYBmT4zwUq8hhnardzxIiddUR1GV9uSAkZoQ25B42YTcuYh85pr5d1ZFLA76SOq1iwaLOH/t/bv0mCxg/sJzZbUBKOcSPazxbnzWMHP924VCMNzMwoWKQWN0pMdjraTMKsGd15nwfyI5xR6XZ6FXm6pgdKF2/7Sr70Wjr7JoT8FH9rZmYS7XJLPjbXZ1VWfJtjPil1URsESnOiYmAgi/VZh3CIWqEyevrSwDb/a7buDeY6PtwxIp94wlvW2jiAyLR63NnkK6Kdcu8k067sNjoueorbPQkZtmGSthnqy8fuyBv4BjdLDuqm1ltijAXQD6NhWx3WvumqKrW2n4EGKFml21ZmY02T9uHvP9qcbDrJCECTO8ZZILu7PcO/r7u6947KbrGjRBF7akGz928VIMtH/HpO+6XFEXJBRKYc9qDa7oFmHKn9lVxUk26BUWVxkNE81VMF6UjlsuRHV4U/NXvnuG1nMcClSFIgwhA+2CDBnLJqhlplP3vGmgatStN9G5CdA3+tJxo73vzRvtBJTYn3QCcSdzK3m7L8TDDOoRb9OeDBUVXRfllNNXRCtst1ZxhGOT2uYpfKXAHX6i0Z8dTQHlGG/HFMMuuI4Lk5q1Yf1syx0t1pfGeNXB678bgIlFd6gevxJZ14XybBpbLXhSx/2q84ynfuTqzYgk70rpHe77Jl6FrC9kkbIH1xea3/GDcAKTewnXVQvGx9r8iOE0zzjs1jl84SPNzIdQobXsrZLo93Y6dwq1vJoHADPkdL4LIPgreDdChP6WTHk94hyv+Q1l6gT3XR5ZAPU5RHxAH9leaY41oBc+Tho6pADb68gFp251QKU4NFZ4WMXLJ96sw523wKCB8HNTixE+a3TlJeQP527BkIi8rzTMRNobWbGZlWhLPOFlXaZqIVeXkr6hEHjC2N+JBVxceAvIFvPQo7REw3Xb1r39ReE4tKXeI+rNl9GrRu4CbcpTyWKDU0dm+cT+wTm3H9wRCboVvLlQAJUSmZJkxDyhHG1bgh0vVg9hI7yDupyuIouXGE0zIoo1YIXqHk3ybemVAkM+BxfaEHvBVUfZ5RCquckK9BkxXu46VCaT+XJaLFNvghtkL6pBfl5yP1N0T6mpZCXraYUu3zG+fVLjHEecMU3g0grxhioOW/9BekdlNas3Hmk/gQFgu8AYR5bVF1BvDp9Jusv0v45AY+PW1pXa9zljSQjyYlxnVpfGoWdKdtB7F2t0F1Je8IFfX/k3gRFLWy2qcGRbp7SJqRo1lGRQVmER/0aD/bNLPNQOQKlTrmY5mUQlhu0pjaloc4zz5nSs0mrRkkwKY3sQk8DJgSobtNhm4+x43xZJZmRutiONEjAcGv/ZBXteEs+4comt/QNwWHpHRoAJNlm+YX8H6p/FENxY7MdnhDs1+lNi+x4bnJS02Dc +[+] Bogus msg_type: no_colon_4_-1, SPA packet: +ZDizeyVoUjT6z6efyO+iLivbLi6aNJrxnGjtn4nJ12sYvmfQskodbx4oqCZutgG2Y63yWSo5+tkw1aCxte5IfTiYs9PB2bDmh1yFQFrWapvsNWrDh2i6+uBbDtcs+biW2pWUOC6fKtDKhCMs6jtFpgRikJjSTiS8 +[+] Bogus msg_type: no_colon_4_-2, SPA packet: +0GZsky2OI9SU81zIZM1C3Foe5VQNWaz6F0a8k4kU6RcNFVliG/b4CVfHp4YLr+ygo3jkbNNh/L/5EXQv0wvn4c8dKGc4qqIiBDJL43sQFm0uhKqbd3eTAgRLiw1mHY0JLhCs5LJgJCPQvzPvwekIBnprt+w3gt5o +[+] Bogus msg_type: no_colon_4_255, SPA packet: 9Crdu38HHuJGiInQjehpMf2DW50QTz9yF0eg1xT+IqPz2bMX/gDzsdx3rjZU3b+MZad96HdvvRU6E5492b5FAJPddF52gQ7rLyAyu8KYK9v5s3xcjQo8SPVWFn1EC5HqJBsFfed7yiE4MdxGSiHXOfM1HNukiAaE4 +[+] Bogus user: no_colon_5_AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: 9cCnm3RiUjpTZkdfJSmWY/4cc3ZMHfB6nTtClSGdMYEcmmRRcGc1EYdwEVNQM4j/qkUhCGUG8A+EJOwPXXq+kN0uWB2AQaqJN9cS5eN4BT9/LOryrKDVrTLeUxld1r+hiy/Ngi9KznkTfbQg2cWeplaRNl+ui5RAufcXuojX3gTMtkP+qN+Ro1DJ4fz2ImEMWRuHE3m2fN1pGc9d1aPcPKJTsNVBgnzVjvJoo5y61TIshXgjsKSWVGRDyNygruh4ZiwffgYgj47w +[+] Bogus user: no_colon_5_-1, SPA packet: 9bV+OkyXG0EJJqCvoDpb1PX88ueImUFcrbBAJx96NyQuoLxrJYLwnJrsGnaB658hlH180ekAB4SALGiJnyh+DBv96vOMyCO1gZJZsFOqE0Jq2G3qZgYSdIIjJCCGlKEJRgmGsTW/cbukvnPIJO4gr2cNIcZZyIpNk +[+] Bogus user: no_colon_5_-1, SPA packet: 92CW54vKYgbQ4/UqdJwGKDV/k6Ju8B/3kbW7oKYm6zDq7EYzt7i53QR/Wrp4wjFI+NM6e8UNex3626Gp5j6I7CHyovhXQbMBZcXm1e8G2iLuBmImZZ4cZnvifHfDBgLd2LJhh31C7Atq5l/p9frpqNxG0zXkQmxQk +[+] Bogus user: no_colon_5_123%123, SPA packet: +xz8u3aaO1V/qzkSy2O6YQOPXglk8kKcCCVzVfOUWt7xzBaGLBsyZ0veMXAhKCVgZ/294cth8x7eDgDDemy4LhCFstLM7nBJ9ciwlLurCYtibUYSwYBwpMy+n+x5PiYXXWlz+n9tWffjkWKJjXI8Wh9FnAV/fR3SA +[+] Bogus user: no_colon_5_123.123, SPA packet: 9JWFExK2sMAT2/48LufPUJZhOrE/c5aU/zgnaM4tZLm/Mqeb/X6LvX/vCwgqjhd4I2po4Ti2XCNrvt4XdCXW0xXcdqJivaYjUv+Yixh66H4IyQxr4r/duIt5Ne88PcsEJqabnP/qOQHoHu4ODVSraOTfwkVQgg6MY +[+] Bogus user: no_colon_5_123$123, SPA packet: /fbk18MNBG1M0Rm91nmrANDKAoRo5Ni+4FcmEjKBX+ccFQoTtB0UUYkGObsMPaAZwog2DActyL4JfxrZbNAFbR+YOYFBTkuMK+svZoSWXV+wCqu+KqBmpQ3koKlZg7b84pxaVJJWLAWX7dprecDozjjaY3F0oeQQE +[+] Bogus user: no_colon_5_-user, SPA packet: 8SXJZe4m/fFGsqTRIZPeLgeHfIkW86uP2WRC8h6BhX9jClJ3KHRyWJCOjCDJ1HLxPSu4bC5pzTfDQoxzWlgab43tMMMaYl8p25TRrA0z81yXOeCHO22OeqqY/eo1+PYdq+d0UsMvwWx47RP7WPblLTq2t5uTh2edY +[+] Bogus user: no_colon_5_-User, SPA packet: 9gU32oWwycYPcj0YV2hacRZ2b/Jt+JHcfrRFrlvpFI5u0RxaU9XIcMELPdrUtNrdQCr0YMBolObF7YlLWKPJCCqFgmYBJyQzKRR1+quwoLU0fUzX+eBCqdtbVyuDMJB5K1IsSxukyXO28hUgfPLeacqJnGqQxB6Wo +[+] Bogus user: no_colon_5_,User, SPA packet: /X20Xfc4KgHFNhLTCMliCV2jDN9p9SS5b2CUDU2dfwxBJ0WrwV8Y/OMrxZjbr3EdmtqgyuUEi2xWlBMlA0fnUEPgs84r2FbR6ypn0jo29118Vh9afA8vRCMSpm9fy/Hxmek7HxrKKggoO1XYw0nbsGOIydL7u4hK8 +[+] Bogus user: no_colon_5_part1 part2, SPA packet: /Xf3Sr/suI5zzWyGwgeCO90lfraGgsuYR9xxCBy9mcC2trpGk/qAW+1thehqqIZnzkpj9ErpkZbhnAzCAMuFB1iAmkPYpWqzVgLmuEpLhPwCChx1L53DOIE08dl4GfiTDIpwtZl+BnUa64T837nYCDy/gYbX211RRecT1v8cj7T/fAqKeZB2fy +[+] Bogus user: no_colon_5_a:b, SPA packet: +3aF5TkWpgsPUACsU23mV/NoAx3InJVB4eIoGtyLQmOwIliXC4QqOWXZ39zcRnCR4C5p67Jyi1Rx9CpEghe2hpSf8MZ+1ltmn0WtU5ZpDFLmoT+UkvMj8phVV3BLjtxs8bmDRb3y4rrzFIUbmhRbEimKFITcmjIac +[+] Bogus access_msg: no_colon_5_1.2.3.4, SPA packet: 88IJsfi3L6WLb/5EKYjv0jSe3vcb0RWBON82k+37B4GEOfpoQ55Ko5l51QBhgO2kPHbJzpatLpopx2JZ3l7PB5HjWj4spnfGyyNRoAJO8K4dMQ/08oYCSfjSAiW0btrhcWdRzfx6Az9A +[+] Bogus access_msg: no_colon_5_1.2.3.4., SPA packet: 9gJml8LnZ4bVrw/9xI/9aENiK3XGAauoiq6vp6IFeTGUpSjDkZqG9Xh3RGK1aJceu2gepNiuEjwOvxp62mZ71xgR91ftBj34+6MfcPIO0Hp2lvc5puSK373YEVFMYoj8UtA943xv4EMs8qaovGdNJwU77bRhP7oPI +[+] Bogus access_msg: no_colon_5_123.123.123.123, SPA packet: 9n2peTbN3UVSj7dlJR/S7dmrQllabbCZEuA2ofxWUBwQn8sKKUoP/R1WdHaEnBkmCZr24oZUfoIdaFpf2FZ7QmM1mWcPpYnVmmZR8iWtqqs4H24WUxGZTKdnPvpSXRZNl81YVggeUQ7u/KI5PaGHYC3O1X+h96GtY +[+] Bogus access_msg: no_colon_5_923.123.123.123, SPA packet: /8q3rIofjz/msJJ06ykSotgpZ2PxeprkMqPi4b29j7xlIalvUCbZJajwgevOXZbqOwC+mmHMiQg48xZ04BiLsXvXvl+7GbYPu6WyH8223NrOLGrb/G3GCp+1Z+IWtkXtcJErqJ3i+SY5Vz7yr+9r/S8/Q+bU1+wk8 +[+] Bogus access_msg: no_colon_5_123.123.123.123., SPA packet: +f7LTHCGaxgtrG/yvF6KYNqojKM2OgxZMAZ6G/eAG3M4OGd1wlvO/OUog9qNGsMi8vp0okAWjcFo1YCtd4lwzhLMpvPxJVFJbbRRgQclsvhFyJuEOM9bi1ecG0Ia7ARzpZC2nfllxdoFN6tZHSeYaJx8+MwblD3LM +[+] Bogus access_msg: no_colon_5_999.999.999.999, SPA packet: 9/b6Pn7d5q8LKGZ0SeAKeFcaYHEXufUmQSXM8fzq2AkkDL6Hb9uMCIcASa3juBvMo6Op0qBJz8JevVZhs5xywA2RobnFMbD9/kCExMzjDQy7pd3ans745oOWxORBjrL20OiFSYqFbRZsrS5LcZdRqT/lCC9G5u9tk +[+] Bogus access_msg: no_colon_5_1.2.3.4,tcp/2a2, SPA packet: 98FswtEymFN3UhVmbnBOcfntjMkXEPO4XHdfUnuQ9yr5A5HGadHJnv1knsizHkV7iqKBNF7zsgOLgCQiPiuPtosYp2c8qQeaDM2tpMkbvsu+iK/waP9bHv6dsFTVslge0+NVRcA2bb1GZcTsaEPKLtTby5Dt878RU +[+] Bogus access_msg: no_colon_5_1.2.3.4,tcp/22,, SPA packet: 9HxYzvMJg0m7p71eAtoYC/aywdtCUJzO5N/f2Pu6MfulacTGmhcWXiXLXHLOaqsDO5OPfpocptKfoDGEx239EoTmlarxG0zVePjoEJdsmmSXBfQhotm0iI+KtWgQQJ4lqCctKzEkMMfAG21XWiUsTKLjhThl3LfRw +[+] Bogus access_msg: no_colon_5_1.2.3.4,tcp/123456, SPA packet: 86IlBdmsjW4naPWZfy3JM/+tUYkFlj7zAKwOVrTLtnLSFWRRwQKEAhUjInxmcMUvHMSHiKVlQYFxYZ6TfaDIPUrDH7PCZVmxGPQK+uIMWawVoXRc4eGiVzsrRV0/LwZx5h3mRhTPWmAwaymJRx7QOE8YdYTNZxE+A +[+] Bogus access_msg: no_colon_5_1.2.3.4,tcp/1234569999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999, SPA packet: +cRsy7i3/GdwF+h+PcW9J07B9zC5vlRZWtXzIShjbDRi9cEXQZpnxmIeGAx+DrmY8g7CuJVm9KqcBTFHiCmdbSiFWh4JRe4Ov2IkZcT7LXugG7Empo0V6vgsEBzTCvYnhsc1eeiFNb4HRdPCYxd1MUHuZFCr7wCqmr0eripbYr/WXeolvDLz7FGMgSU9MgbmJE6WwjSHaL2PoC7P7gPu+UnUEAD9i61xAm6B5Fn100LzL2go6Jye+kCCTJkeOu5chPrNxx7solanJZb0kT3FbggK7mn3Q8fIRldmuZ/lATFnMhH5s/9+HyYVctrAaw4yAQXRJwYuXGYoAuTJEohCjYAtWByKz5h9c +[+] Bogus access_msg: no_colon_5_1.2.3.4,tcp//22, SPA packet: /OzVc007r2v0iHikvkOwbammmWO/o/3hnZAu9kxWDFEykUx6bx4Fd2iSfRUS+P0bd/lMRU8Kp9XS1liuF/iML+as0bLkjDRwiUAlYRUotwOjscObwwCVX5XqhGoQyS5opNK/Ie1c1AHkq9GUn5bo/A/a1KKgReqyM +[+] Bogus access_msg: no_colon_5_1.2.3.4,tcp/22/, SPA packet: 9dD3YBYIUSROhOcZBfVjHsWWY2YTVcwp3eEmXFt46Z4AR1hKUR/sZHAQDcPCZIUvwqVsVjl+hp35P7zN1wTdey06l/wKI9VSQ1Loqb/4OiVVZ0PCXtJdMz3sgclYj/4UsCOo6tenqnX9jlNQqlOWtzozGZyWPD+hg +[+] Bogus access_msg: no_colon_5_a23.123.123.123,tcp/12345, SPA packet: 9aE8XjbqURRgLN3D+oXFdk1gnumLB/5Pa6HsWDJGd/99JkHgT9xeYPLZGIPPbahzxwPwCglkAQUkOKjX4vXByIOxdMUqcMgjxR9GzdM0mUP3W9YSV8R5t9mlgBKf7/6ndxZdPyoKGalAgIYTWXQuyan65WK2gLuyRRKoRznL0eU39C54KhGfkv +[+] Bogus access_msg: no_colon_5_999.999.999.999,tcp/22, SPA packet: /tG+9WqJc16iC0uwcaJ/hmVWNafIIxLUuAlQNm4oWsNKYW0oeBv3BRe6d227r5IDvVGyYp0PsUH2LfOVpXP/U8T1kcIPWJwBXQCNf2wEwv7DvpoDBZ9EIG18ASKsc1Bew6WbFcqXDrQog5oevfwScUxcThgxtsglhmBFa/rh+d87DW+zQE6BHL +[+] Bogus access_msg: no_colon_5_999.1.1.1,tcp/22, SPA packet: /gz3jAWi3/4PSsavqDq/HiBd3CcJtlwyvpLtSHjac39nrSp/84428K159pdfcI+OJUmtLOjbwQypFiJl4hT5/b/FgZwNpICmiz32FaWL/zh+CzAhTfaD63TBV9rACt950f5Gix3+rgc105rOyNLsaruVc9QUXvmwk +[+] Bogus access_msg: no_colon_5_-1, SPA packet: /c9m/TynkWRinH959yvA4BX/pajC5+QaYo2J+nQuS1M+0DB3/BbfCLD64ENCzY8Ab1PyC4kNncE+Wj3AKoXrNPI2PlEDDIJ5g3RgMZ9iUZgz2SxRqsf3OjbVyYcalo8NG9LdSSlc7wOA +[+] Bogus access_msg: no_colon_5_1, SPA packet: +nmn+M/UKzLgNElnRSkQf8LCzMnaOOaTwmQwXEACKqzixoPsYdoD9IO1L6OCjhM3t8YGj7v7VZ9eVyD2wvqWWOuNDYZV10fDYctOheRHAJR4RKtv6aXd9HxCiq6+mzPhelvJDCVO+PZQ +[+] Bogus access_msg: no_colon_5_A, SPA packet: /FDrlDH5AJpmuvYPxprqHpd3BmaUimNcfIXIGc/VHIiqlPgwsFTVkX3znXbu68HDe6Waf7UjqF68b6wV3+F8hgbYwJSCGi2MBhwkOprlZ7rW3Wc9lux6iAXBVEsIABYZYz6ZoxzIVQ9A +[+] Bogus access_msg: no_colon_5_0, SPA packet: /NZjUi0+4Pg4Z91MkEUTgxneearZZmYPuqb93N8IcZFwcnYiDPnNpO2TI4M4gUrDEjcbEwx1XAOTp1VF0apJ5hVu5GYwXRVX/hcaiNSTq8T10s3MvDj0zIdy2k6k02zH3dJ4VONOrO0Q +[+] Bogus access_msg: no_colon_5_AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: 9m6Hk7sA3NYiuH0R8RWSXQVti30bS8FHp0D2zjiHFSAZ8Qqmpcz6YU/jTEGAKfB3b0pZx3uBMUL4rHeDooeGznwY7NA+Jmzl/IlUUi6JZKDdHmqUHufBUPBeX2M867ZNwiOJuAQ3I9XJ7Ya26HKFEA6Xu8uLWQg3WTxnjmnu179FwLkhzrsGnOoWbFbT19j5NYIPjUTBWMuASV4ZpnbktbLZbPTqrP9FomkRtAlNenA2qwq5x48FQe/dM0YUaqz4PAQrB+c2D8EzGrsTygVlJnon5N4eXbmSKWSPHzNrIjhNPBVZOECgN1y4kaWCq78IvzNumx9q8d9YjDS9N9Gx93oEHUwHuIkcBXplRoNlHpkfX6TaO900duws8XIxzsSzIhHq6+Dxbb/f+MOYainULNVgNiBm47W9RALVDn3uw/um0sRIrFA/5arS0ERXmKHoXRSYYU6M3pKYxvemcmRfmxbEAUpz5lbMzZ8p6K4YTZiU7b96VjyrUy4/AqutRjSKOtZr91ZDGEalX4EmAp4zWmbtcED92NOyYL2qLv8Sh7WinBrjC4TotoFu3IZI4hCzSfN1qmXnVMsT1HL0/LoN/uYDkOWs+8n/D4eY6F8wlTNndXMFrXUJ4ZuCwO7fXsW5yuJNqEeZ4nlt5mdyk7H6+yznGIAXIZFu6nmlC9ff9K7YJh6jqdQat1g+NFkVKMAppbmyg4rd5o0YTRpCbR6bHK+vKUhBS389xp6ZvbQzehj92+PvdNt+Lc8iK48hWj4naSoBNQGmF7R8LqMRHhfdihwAl09E5SFTZ8X3bNoXvKwgQm7FFAoiteKFO0xs1dwoknYyzGNw/kWj4DOmgrhYjnQagM8e+AVmyg6SqmNrBuKk5jN7mF/Sy/gIACPxqyfqQMVz6TtGE198wQVWPsRN93UOGOLdXPcRrjpgopGV/BtNu3JlspoCddm/5Vxl9P/51fKb+Y6AvQcx5bllotP5AIxpcwDec6+GoJvTJnOhAJIEScLnSUwKvdTp0/rW2Gd59RbYMYPbvXZQXPgjytvgElOag8iVTxGCXVB2qwblSxDKkLS+Bvege4j+WR97s/IFF2vaotfaBWKtasbR7Tn+XX6M9+MnozKIWWG7B0unp1goJ0IeuwiwX32hKe0M5Fm/0hTbG6BevoUdtLKlWyyS11nTmDrixV1FpGHn4ybMwykTYoKr06XPtzM3RhoYJ+D2vQeeXJRnVRz1plk2FpgR2PNPBlGhNPOeZwRI1Z1+oURlwqyvHYMmSuVo4SzAV4KRSkE2/sU3Ssbak9diS4uY14nyPE8g49xQzJy5fwCsFOaK6k2iKyrXwOb+nxfPwYjXZ1OTSJrAda5Y895QrjlDyLKlwrG9LaC0weqVPNC9qSnS7rj1chH5+2bNWw4MxsZt//T0aDaqlUSWUNHxPapwFcZ10NNeg6Bdk +[+] Bogus access_msg: no_colon_5_////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: 9fKdi6hgeWvCh33X+bIcdJhWy5RCvkdIPb3shyAiErDuF3yt+Pxlm85m5i8E4Q+9tDfQhucb03cvgo82bSeXhlc018P8BIiHpT5RCjPWHX/dUgjW9XZ+ah1yw37+plKKYO0GeaIINwve92KUMT3gPYEJh0oUanmIYvBFH6P6kE+WFFbkI6xnDVan5AW2GEqOsig7n2mxdMDN9K3v4s1N3YnoLtl1NW9AKwAH5FHf9nFDnMIWMh9T7EHitr+WrCqpRHusvxKxh3zyr/+Fxfz2XKvxF90A9reLSeFtPONpkScDe81gZVGzOUl6iZY7NjjZbMy5gw4KDenAnlcVRRzcZsTx/dm5ffWf9Df97c6Fm+9htGBsNgel8LkRSXwtFGBScxJf/Z+pM/i2ZCZqtKPuKwBx3BzUWoFXjc0hOW6fhWBrr/YLHerBrsW/caxI27LVTrrgfOtTcKyCcD+N4mM8jHQjim0/iC8qRcpjcjTllX6EowGfQf3goKHot/PTX8q/LmqKi42oCFz3ERF0fQN8v+inCmYsIc9ixCIIvxQFKh5CQn6KYP3q6ZDz1d0HdD2QYUdoy8XQRFBAvp8XS0K9jplwAq34Ox5JS1m86Va4D+PZPRzUYnuy4cTqWkIYCsa+MEnp3xxb1e6UYrKQrHfVWGoioZ5KEdBOgZIvHnsdo1N5BgVQK90WSCyBSf6+Z63M/bEhBA9ZSCv/Xtg4soUL/opW/auuQPcv+1CwxL4q/slYfsSaDOXoZFZgmEH3LZjgeBIvwKMoF4V1Yp9TjccnQ7IrQ+ooJLMRU9Bvm8mA1kZLYfUXarQtmYvlMANhUNuXh5xLB2ngMdYQJQpunGg43cPMdAk9Mb/48W6CEhhuKBPJohPXBL65IqwelbElUijQeOoyeAG6IF4oTgr/1OJd9aN42Ewi0MjiUdDGOZE3WzGChFppqbLkfHxCQcBEkIOGEKgbywEF5UF/oe+kw8FUJ8CpONfCmi7J4vcH8K527l81f7J36gwDJUd/PJ2i0vFrMypmYXCFHokccP37iJg519YkiT6kqrZGto4ZkIcq9E7QOaYwehLb3nO4K19yS/ZQ7ljoDL+p12dXoB9RasLP8SamXe4le7exN/KK5AWotrOsGQou3BaFC7/8vJXJoaB0ZtLvYXFFt4bbq3PLsjzQq+BLpDzCQPsU+lUDcOhi8UYAhaE3bIkj1XIhUt9AICgSxM5tlgGwDhZbxbtcc+YkPuhFRwnvMJAA5Kt2zY2MuCPPuTReUZkhxqX+C+YS5l60w8ERPe9cVq546p70bV7bEvn3lsw1vkfPYrjDRJ/Dx0HaCH+15yviWMTpaj2YQKLE0ATiajbtoe8AIJJaUHBKV3vObp74HNxtlyVBQ7NxIHM4pxC1mIsO3amZlx0Z5vlIF7ZtrOeiOOZutvMaS7MsmmmS+an22m1fc +[+] Bogus access_msg: no_colon_5_%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%, SPA packet: 9IHHE3gew9IyLNFgshmbzRASdZRFzyDPEEJ4338ERFtrWsELUgtM1F7Y6qK7+x0Xg4PBFB58Bcy6kHGw8cSAMsu1iWOUViBMuS1A44VE+aA8j+Xx/h08G4sMR9JEEa2+Mw5lu4YmzHVgBqoUyLRuIcgKXcxj612CRphM7+gZ70fSIPLgQOsNvTJEHASpOyhExCnHaKLx/ZOiOGfWqJJF24+1VorGXLm3UGie6KowfS1cv/yc4BVkUn4JQ2Ekv7E99u8hplDNkonZas3ER+zmUIvz537waFNcWhylAnkWiRogoGL2b5MtCcpC/xiArZHelIE0tpA/21BwNIPL38pnEOFH/gsy1KFWQUYiYDKoOTAY5LAFNG4MImIscKZdVRzwtWU0SirxU2vp9lvNEivUjVbyC+aiDhPbv/8MRPLjHc6HV3JMXXTRYvEH99Rz2mvWuJEEojkQA/wedUFJvOoVlEnuuBtjJmX0MKX2FGjKBiAvuxDW4JATfldH2I59jz00pVeoKlkLulMJNLE2WPp7dPzLsNlgMEf1B1BU2wa22bbrUjBZeds8XBaJLoVD7M2by6B+KjUuTFaKb1I0ekGj/ezfmQabthGBhE0kcoi20Hgv+pbV8fM9nVLT1V+rlQDndFWrGfMRDz9MRW3DKKFqLH88APBKzXgiAAEeqIqKywsWOsbCraP3jnJRoFdTYh6MH+zwz8JRmUma5UGK1KYd74ejlUJm97yOiD5AXOHBUKCLQ2nXjX/42b0XjEgs0NNVDubft3FY+iNe432Nz01TDIKeIi9u84rmc78JCv6r7grXlUgtxuBjoji3VarsHMskEbXcHNMxSRcWHXKSEuDWSFJU01/pg3VybLuysSkpPDi2N1vbmV8ReFOQmwJy6TPd2HikiaYlpfZWGfJSeAAR6wQpnBoKciLiqO/xT5owM8B8T6HeRtXfmbTbtLAdTRnKEv4Bq2X4kSratel8veJP5sppjDppa1vS08lxDdUAsgLklmIOxa+lljEGBUztoL4nKaW+JAAlsF4shdJ+UAgDij9ScRFsBkR6/JtIoNuozF+JQLOfi24bjpPiiovcINz2gj+rCe5jhD5mTSS6eFxeEhOzI+wlR7ij9tXHW0bGetRlJ7HNpUn3kToJg5OwfzP1LWmHYOVrA7OAsQL/6+7bPGPWbJW90euvcGq8nV/HFaeOj/7xOtaAjq0IqxxQFphkNIrWTkMTBz5hDKaVbMIrLW8nx2qpuAYty5MjwQtaBKcAE05/FpJ3grRttvinm19t9ukiMVPeV5K19sUjaNGDd1nTE0ita3ViNCKqT+zdSAJJVFiSH6++1qGicQfj1a6kh2qiMQTIVoy5hOwmt1vdKWOAH6MykTJlUgH8oueCOtn9GoU2oHbizWkLyWiC3ZexnjswgSlbdey9i9LsUlfVpL5KlXnSgH33U +[+] Bogus access_msg: no_colon_5_::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::, SPA packet: /BpjGh6AoPMw5lEULsknSauRGN5vXQq5xFyTmfm2V1qXm+eLnmRDttdP6HpMQ/CoqHBfSs5d8E83f6TrH/zByg4iSA6JsfGf3QTuhOizARDOzQwpYEzGXtn5hME1cib1CYv6Wfby97ST05c2a3nGuWfM2VaNvl/Zxydz3p2LNFqeN/mEfo3IwAnr8vzQ8Zy59CN/MN+C3uPjLiK/q3d3XGn+NfsdSLQ6/xnYBV59IqCWAaLpwscXd2oHb6ZCOQETWSn2fa7lujrl0YAG670ONuPexqtsU+Ga8SueIiESGG8Fif7/MxFx3THYFbWGTBrb0L2vkEBWNByfaUw/sxJYpdBYIHfFBTYeoj7PQ02FmXo7UW/uq1RORU1/Q+6dfjs/gre2lMVz6JdqODxO/FxK6g8R3NZKJ2+5RfyQXuiHOvvIHHG2KwHRDhVhZOuxunbO+pAC9YMesYWAI3T4q4jhC4I4xAIcYqOHfVo3aPXbgl1zJaOdj1qeFW4uRJKOPsXCaQbNtSRY5bBGBaG2osmlOEZA3MV+7SO1ozZqVy6pv+Gs2wi832RlWch9VZiftAuOGkf4IrAminjZo3rZt4Lg4ZSqRHmgsV5RoFqv+NtT9RQKUEsKBTp9yPswL1U0HYUV/g99WjLOzpfG8W8Vc1WbYnTLMWsfIAkW0PM8qKxvRckjYAf7Kd/rlMP/wewVzJcCuTFWfVyNSeviDXbEH4yGAd5STIze24/Wx1/erp43rTsMGSqWztI3fKQkzRlfFNVErBq/cTseHIx0Ujm/eTAL+VbZfekh7o8346vUCHzLJnfiPhEQvsHMeL621EEDWyhjbgp0byYx9YD4+hRwPEnYpw4whVAUAPMHSdAOt0/sVK7GA7UazIGLzTT3h1RSfEQMb2OubgCEv7q7z813oHNy/yOH0QkoaL3v78fFQdliDcnkbReySP+CwHvSDXsy7Mwp5kzGCR+ZCYXUeytFg8f71Xx2rd4mtFXfKg//lllmgrS05HGinFWsirNHlowQHRDeUSJjfCUVLe8KkDKbGWol22YWPlAP7RFoOEPV4+mcR//4Ci87F6qmehNmCRHQyBHpAx6bqAuK5JoFh2slOUtmtb9BTywZQ2Xl9xxl6SJXX2L/fj8llwUfMhpKsCJTF1SGUgPh96evY4H2y+wS6BeXFwHJSW6tNQGRQgnVXRvxc6/fQ0Q2QUl5LTLbqGHp9qC040RTrYL3ktQMtyKaqzHSsWmP1heOBdGVQm5UPRer4RL77DPt7tWJmK0ffgssbV4iVOiXfpbTD0bJTkX5g14bp25M3xmCxkw76EvIy+W4IxSZ5BwwltyLpAQbmBN/cNiisPXssyeAgs+Ub6gDOCGovH8apSxkeUi6mumu/2NZ6hpzr3TYi5MgRL0y4pwEO/BZSI3bP86XqF2QqY0lpgxH9qLs4usdMVTEc +[+] Bogus access_msg: no_colon_5_(NA), SPA packet: (NULL) +[+] Bogus access_msg: no_colon_5_1.1.1.p/12345, SPA packet: 8fEHlBy6xBrjnR1s1Gt8lRnPEsRDR/two9828/Nq8FomS39U9bhR40U3tFTWMwO1xjQlWfmYLMue14c5t/zjc2oenbKZirlk0SJXj6By+moAHsMXJS3Gh2sjSXZW522o4DOS9tUhrwb55FO8sK+uxJ+zNdO4Ex9KM +[+] Bogus access_msg: no_colon_5_1.1.1.2,,,,12345, SPA packet: 9m0zTFBn9NXoFHwkm+ZhdG797LUY97r77GGVG7hf2IURrReS+95D0uRrc7FG7ostgqvG75+OZ/2WPq3jbrnLQm5J75FX2Kj32Ey+PJGgsFfwqH7aJwbftJ/jstv0PvWa4ZGWVklynvVGnIQ29hwqnnLwimnKXDqF8 +[+] Bogus access_msg: no_colon_5_1.1.1.2,icmp/123, SPA packet: /dSGrRjKVbk2PqOXtjPkVPhZKwa/RkSId9AQTtJ96qIaLiNt3E8Ip+dzDaAYa1leXBL5YFfA5SzNTnaXPp8IGLM/mqe/dGGPoKIXBGm3WECwK4kTAkio0NWgB4M07zT35MF+27izWCzVK/BD59QIAcKLKAW4zrlrc +[+] Bogus access_msg: no_colon_5_,,,, SPA packet: 8/G5sRImO+jfKHSjiWL7oNKZmN8i6j0diXQo6vapJJiViY5I4azCfNb0ZTixPExX9FEQr3kCSYAloJeJztRVUE13LY2UfIRJSIVg4TxRwxb7ZgSq3QcU+JnVj0oAfWzS06XMGZRiKJhQ +[+] Bogus access_msg: no_colon_5_----, SPA packet: /Mn26Jph7LQBeTHLyQkUJC28rLI2hCRYudpAhN8BBcmWepHXWjvNrIdPatJWhsh4PRH++zq2tSkSAH0LX3+Fhe5oOI/l5MgNeRddxuUbssmPNnwgAdvkA/G7YIOoSeaPsBlWQTWvkzKA +[+] Bogus access_msg: no_colon_5_1.3.4.5.5, SPA packet: 8B8KGaZxaCzwXMUVhJTGB6GJ6bMdvf+isyYq05NwyFkTDsPGefIf/Ff+qmz6WKoHLTSOYuNNhHfcvBk+lG09I+qvrhanQ/ZuMXte2YFkCNW/Ryqaq94nQHCkUDrhY5A/WfK6bwHJtVEZHtJyQ2XuI+x885ypKrm10 +[+] Bogus access_msg: no_colon_5_1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: 9jz2pZtqOeTiJ4XcgzJJI3W8uxO+CJkACaq5f22O/Vw6ms44PbPD+An764fCgt4A+CmwdB4Kmf4qcqOgZJ28yh6uOBxRDEAaimQTlOfLNlX4gEWt0MQaTIPTxQn25wSvR3Ppf04vB+v2QYel+ZCGvBjMAnIOhePx8ablpk2uuhFtNlx/s34Jwdfamx2loQ/CfUpHkmrsi/HHLhxSgeGRHpNIeFvuGM2uGJhnqZXn/sDdyFRvNkSbQ09wQy44nvlYCVCjJrNN0a5uoX61ZDOYjfymCCVbIYDOenou6l8bHjtTVyq3gtrOO7u7muVaiHhJz0ymfkstZjZg +[+] Bogus access_msg: no_colon_5_1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////22, SPA packet: 8tDxtD7v7NyKJkaQNf9C0NJPEC58IozHs/FryNwCzLKDcJjOLjxrzfO3DaYXIVmGfeghfrUtX5K7x3JqXx9MHmDamc0tWa4cWt5GGlbpTURtzmWMI+d8eQG7+qhpi/q9PhqeW8YyRie6sySzOm6l5JYrUGd0eqGPcwaqJBvLyIefXnrI/+oD+LNhhKYwZoizZ2ICEYhKfLTHLiSL4zEymBZhwlHrMUrFcM7ec/Cu25MgeZ8hDfSRSB0boxLv22uGDjOvzmUlKEOtiesCc0QyiOGX0oGS+hdTmcUg2ZZ1PBOFTYrU65VeakTf4zPwcSZoP4SzlyNOe7Cg +[+] Bogus access_msg: no_colon_5_1.2.3.4,rcp/22, SPA packet: /FZ8FUj150BV9VvmSqoR+u3EQ9vqayRk0JNpmdgUJ4B8NNln6/gIsJtk/kzY+ImmZRKvPQRBLuhF6+FzDdckPKsgINeKzJT33QM8tmEs2fOKf+pZz8PBxj5Thg2pkt1cQ1mtP/D8fGnpSHav+NKVvUZVhsJmPIQKk +[+] Bogus access_msg: no_colon_5_1.2.3.4,udp/-1, SPA packet: 9kTYDQ1vXM5szUs4QQgSxCEvDsv7YvWoWrlLdmQ08HheS9xI5yDlJSP+EELrCNdd9Bwa5noKGnpf0L/4TxpqvaYMqGfzhFn1qMHlP1Fjnfjc9bKdbMsPk2DWcP5RNWKpnrIUrZ56mUOhbQmyRmNwrsr686oTph4rQ +[+] Bogus access_msg: no_colon_5_1.2.3.4,tcp/-1, SPA packet: 8rX51YVW6Xai/W9mmjkIC8GA8BWTkjeT07/nnViKWIEuHA7fa90cDzSUVpyIRdLuvpmTo0F6+KNZrfBaiEozdwmAC5wUMVsZnMP7ayJWSCnGoWMLh05evtImJlZLMk1i9V+vrf2D9tuYEv+DC/RRupEl6MwD8pQTI +[+] Bogus access_msg: no_colon_5_1.2.3.4,icmp/-1, SPA packet: 9cjXkK3cXu/2JxSCfqFVHJe8zCAS/dCN+p4JQJKiUP97bZr68aRL8AlBUIj2uKIF6yEYP/7ulJ1DzEs+tLebmn+iNE7yaY7szu4zJSJiz9EM4ZOC3n12vyNRdPgyH5S96LlFr62AmcEQprWrHbXvJ5iBByTvcQm8k +[+] Bogus access_msg: no_colon_5_1.2.3(NA).4,tcp/22, SPA packet: 8Zt4ow9hhbxc0ckARVlqEqDdQntT88upC6cbwjy0TQ0CEJBNC5P1aB4M+vJwJ4mmaQvyF45BdNrz5dRqV+rr4i8Zne5N1TUY2vTASBmj4YzDjXg7KM1YP8YXSl0HtShe2xU8CZ1xoPrQ +[+] Bogus access_msg: no_colon_5_1.2.3.(NA)4,tcp/22, SPA packet: /Z0PuAiOrtGC7/od9dnEXbVebZD3K/dQ9RQdMJ4fMbFLplU4MQZbLtTtzuNuzJ3zzFFwpk1b89hdNQKKLF4CtNRLsU/9/0hDflRJRh9/5+cLvfgFNMVCuKLxBwcA+Li3AB1Zn5zaL2iw +[+] Bogus access_msg: no_colon_5_1.2.3.4(NA),tcp/22, SPA packet: 86axRq3jCnSUmqcyf8y2/sNgEeFk18I1JC3+nIIQKXHkpaDd0tL8UjaS6OMlpiXttGSK1rx0N/2M+tbx901QkhpEEN0y+FYjeUTBYkRPB4hOcsCfzRL7taiV0HMI/P/rxosteHUnlAFw +[+] Bogus access_msg: no_colon_5_1.2.3.4,(NA)tcp/22, SPA packet: 9vmON3clbDa13QuLvG11/LZUU2kQEIFZwy3t8ARz3+nCQco56o716Pi0ZOoFm2prduPo755FlSt46ycaNngeoKO6+NVxtp4K238Y99pEJir546F7b+BdKlheDaW6/AkVPzkvE2wlpniaz9rDpfcLbxwL60GEA6b7E +[+] Bogus access_msg: no_colon_5_1.2.3.4,t(NA)cp/22, SPA packet: 86ySp2mgl0I6hiLbyVUYTVpHJXbiBISURFZXLf93iZqlE87O9iOEwGc1Mv+rhoyAhLJOq8ddues0ds8nvvlAQp6LU25EzjYSHpoVE/jBUauMVkMdJlE7R0mzNafAiOVKDslV2uCK2AV1pfyGKvezNlimymtaMA0wo +[+] Bogus access_msg: no_colon_5_1.2.3.4,tc(NA)p/22, SPA packet: 9iiTWSnB19L/sdkrWqp55ZuEvz1WDbIY2uOpnrLEVHMpp+sIiK1ppbobAxYNq2pLxyG8L3jefBvs0FnE5IgxFn1j+tnjA/tcDnYj5vB8zijBgdQxgT35TYSO0ryCRQcYY1mdJZByfTzz3KHM9aIJ4LFxgiv8HD6Wg +[+] Bogus access_msg: no_colon_5_1.2.3.4,tcp(NA)/22, SPA packet: +bMIxDn7kHeAaoAiH9bPHzrKUnsfGnLkpGBqIczjxCZ3zY4A5k9Fy4Uh22qAs3X9Gn/CiBomweBsZW4vmXp3GaXuTw2nlRRYF+9ftWNSqzC0doqoVf2/ycdqCwMThdVdQPsaT+nmYREXBW0TJi10Ci/WcTL26OKFw +[+] Bogus access_msg: no_colon_5_1.2.3.4,tcp/(NA)22, SPA packet: +yVJogFuWsjp27oZYjl/e2QzOr2R8AH5rrkP6RcOCP/MOPm5I+xSXvArnqN+qJGc/oSFlJmWgizMqNBV7zF43hUT+5JjiLvarHVIKsVltQCRPTie3OspyqpKai/rvnAKWHIHE4j4tKmA398AO9qSOiSNeHMWJ4A5U +[+] Bogus access_msg: no_colon_5_123.123.123(NA).123,tcp/22, SPA packet: /vL+FwwJX9IaLiIOMtPc3UCS/Zx5JbXJFVmX94V8RCxWEE9cBU1j4PgOqmGPr6fLvaUQum/mccfeCzECOjdR8gC9lqaId0BB8h9cA6JXW3da3Iu8BDKm9EyYsevPB2SBgM+QY8tS36r95+KRvukItzos18gSj4ilk +[+] Bogus access_msg: no_colon_5_123.123.123.(NA)123,tcp/22, SPA packet: /yE9vi0o8wbKYrFL38ZZ6xezi8JKHb9ySOOZM1s6fETkCZOJUHc+orPNaFXfQ/nPp/Igg64pckPR1xoseWs7b3q3BDWF2GP98S7PTIIJqlnIuMAIChQBl4q8q3HwFun/SrPlC2Nlj0wnMe0YU9q6OK61WeN4Y+6n8 +[+] Bogus access_msg: no_colon_5_123.123.123.1(NA)23,tcp/22, SPA packet: +bQ47mmjz+MbYbklw+NLc5syDvmMJcIKVErWjUH7+6PhB+tNCke/ajGm+3tqA8DmVm5mc70aojxh/owjicfTHHNyoNKaqLEYSOSdlM1BDFeqLUk4opzdtNEixnCM0IC09R68DVC/I8CedapSvUwGgPj1FnimXEkH0 +[+] Bogus access_msg: no_colon_5_123.123.123.12(NA)3,tcp/22, SPA packet: 9HoTQG8c9ztO1OTltVar5eHHGUSmUtDSEymD5RJdZdaxOd+xl5gOXUnGZBVnyiQGWtYqjN83ZrehsQiCT/1sRRrO4aV/4heS0XCFzr1L1L02BuUK4/N396jCi3BevZq0aCI87IyF4KqJOpfQoxzr10XbclsLsUu0I +[+] Bogus access_msg: no_colon_5_123.123.123.123(NA),tcp/22, SPA packet: 8bVsUOaLEoTNMjLVUEOGGadOiTZtMDa7x01gqVBOs0AkDYrX8rUUMJw9ovbM2wiWZFhbgXirHg5DL6jjhlFipa2mf1iwgmC56WV20vE80b/LJsAXweGO6dlCLCm00U7oqb02lIv3+1ZWYpwKEHBAubUid8Rg6pOrY +[+] Bogus access_msg: no_colon_5_123.123.123.123,(NA)tcp/22, SPA packet: 9f+pDllJT6cYgssuVoF858D139GDZJjjkyaCFS/9AQFkTvzMlCT5KJ2F2yp0Z1D/CuLiB9ug5lgVVClgD0EhzfsAHUDXeEexxWHDvnnNZImgXKxPhXGG19aS/SVTkvs3HGnvk/vPUPhSo/5eDQkQOn7zrXmUxk94Q +[+] Bogus access_msg: no_colon_5_123.123.123.123,t(NA)cp/22, SPA packet: 9iuqUvRPRmpm8JvdMK8/e7fpnDVR1E3btF8FrjuLyg69LeTrcvmfRkVGvxnp7Bs2RryF36nC5P37vsTVv+LxBO7T1eCcVDLonyFZpUsfNav3TF6qwICLIPggER4pyfSPnfamdPWct3gb4LsXDLreGt3h0iP2U+770 +[+] Bogus access_msg: no_colon_5_123.123.123.123,tc(NA)p/22, SPA packet: +ERF4d1WKvVRYz4INbxbNEseClDsydbcTGNnWCO6Bl9kCV5pvXFaMphhx961i0xpRSRSS4Bwg9cR7XMXxwisrCqTiuarAYs+1VXVo7YTy6Cw3SEDc4gt9bgT0cYqVUPC32+NMPAfUfoIaSYUGKV0yFUTTWKtXgiaM +[+] Bogus access_msg: no_colon_5_123.123.123.123,tcp(NA)/22, SPA packet: +JPdnZ9L4aiiodX8v0GkClu07vfoL29VHBO0huOLEIjK4i1w1I8S6OCLgnCi+GnfQEM7Ap5a3eSQzD5Lttz5Kyo/5P1BSRYk5mkAx/U9NywUhAQAMHFfZFSpjM4xVRMBhjFGWexiPFTRspfqqfsJgQ26M2DNwoMkA +[+] Bogus access_msg: no_colon_5_123.123.123.123,tcp/(NA)22, SPA packet: 96d+iIOsJE9mrNRLVgKwHAB5U+LGbfutWIlovUjR4JJ8kqltUoTBwQxPz1xJxYBBlISU1lN855UzAEzjGW6LaYct8+tbg43cDUpdP2l8oLgp1KiaagfmY12hmYS2lwuRgNhjo2qw+1yHPhxn017v/XK+QBfVcMJkRiDjaf3ff/IFG/ySmMXUUj +[+] Bogus access_msg: no_colon_5_1.2.3.4,t(NA)cp/22, SPA packet: +KSJnosxGbgX+VcAPed9EaGKXgHG/PR0hIdTNeGckw9qKZUzGD5KCvvEpLnG86+4/qMahU8Yi3Kd2BBzCsBiMKFBdoXQA/fLUxW7Egm/KJVMsKBVZaf8ahuHBpZUfKGQaQ3p356OeslfFlDj1brFTcbPWoKwicf2Y +[+] Bogus access_msg: no_colon_5_1.1.1.1,udp/1,tap/1,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: 9XzHzhCVF8tBimFvXbNkuePUweqwAbpxK9rq/qzZ0Qv3Wq9Px6TFt0q0bX8BMTqe4L7rCJri+21PROu6rkw/MtpoLS//TNJt3Do5IEJJjLPPYPlOLLgTde4zgD+dNcWS7avpyrvOpi7RYOrXv4M0/6xDseCrOey4ThH4kK3eX0nudQsbEWok6Mn27f3w0zjKu+MkCMFjp0MOrTzHQIdJiz7ha3pFBYO10 +[+] Bogus access_msg: no_colon_5_1.1.1.1,udp/1,tcp/-11,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: 8pTUpHelg38dJKKsZNIO8ZmbH6WY+Q2qbW9j4iHd9pXl/0/2DmBu3ExG2K7qu9zJkkeuCFJnzvOus55dKd8xZQI4wsgB2P/xAU3pQINgfIqyxCAKKX/5uuHAuGyBFq1bnG976sU6drkNKE+wd6pmeu3zOmdJRmCPxMbyT4vE1Pwa+DkpGo9c1RVp34fj0FLd5g0pEPlIA5yQTY1txOOxxjcl7eeIP0a4Y +[+] Bogus access_msg: no_colon_5_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp/12345, SPA packet: +RISce6IxoIqXD2qIJwZNQlKSe5zsAKuLw4qcj0YxtXnlee619+TS/JSvDst/h+fePvnB2XpVyeRR/TkQSgj4IFOAcd7Y6XfI7Rq+o5wxcNgg27WLuQFZ1LtdAZNaNO8AbqYw2DKFG3s11IldB5celztcumzq0kieDCL//BdhIOLF8rugoFagzG+ao+58amNf6nbrWU2AUeLNgFge6TBx3Dk0xRelRLyY +[+] Bogus access_msg: no_colon_5_1.1.1.1,udp/1,tcp/1,tcp/2,udp/3,tcp/4,tcp////12345, SPA packet: 8NbomI9OSJMtrB+HcuA+atoqtMgkvTKH3AH1eLmwuDEMcEQhpgLzlo/dSX78/pNqwQp4QPsReOojPquz+ZUTNI4Qkv2C2gcg7ATsonKTZauuZGE7hXr5PMqRpgZnWSfeK4665LyJajelM7R0pnQZrjalgwpEMjRW/gLoY7zvyogj1SFgqTAlKeYfy5US2yw2Oxat1DGhbWbcpHFekkMHkW8PIJW4WRx/o +[+] Bogus access_msg: no_colon_5_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: +tM0nWBkxbsMZ6UGkxY7X48ROWOdqAM0hLqJEi4zRv5LzdHPKbFCE/c38kEIU+HXtnYeA/lP/BFXTDbG/90mF06OcHLwxW1kfyEZEtG7qtN1htJ8zekfBkGoKSUkTW/m1ctLtXawhS7ttsBojHO150qSQbR/iWHrJU757G0zp3viD0lXAz9g/X+NXbndE+/3iPlYPlKFd/XChG1ld3ZH51hgzXBX1zMSc +[+] Bogus access_msg: no_colon_5_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: +S6x+DN14pITQ6CppXrMhbRUnnPxHclFwp8hrtKwjpFLwwZjGfvt5rEBypvKHP6qzKUhmfjOMOp3fzsBSw0XLEw8GRSeaVSUBaYW62gFGPiIvtbnOpMihOMDBKKYIZuWjVl5OejmsPZZrVhmSDiHBsy7v1NKfWi4/1jpcocyPOXeASV7bftt7hd1UhDJBH+x6CK0ANq2bPaYLrLEtlTNiwOfjQ/NfuogA +[+] Bogus access_msg: no_colon_5_1.1.1.1,udp/1,tcp/1,tcp/2udp/3*tcp/4,tcp////12345, SPA packet: 9FToGr6mFdABAlbcx+q2K1++d2Ek83Ua8hweCoMwONBwCcIWzPVzlwXD8r/YQ9xZYOJV7FQsrD1Xx6uBIzTcXGZrt81PLGpit2qvFihjFkIvP6VhgQ4r9cYVCZ0ritDexG2Gacv0EJdmvoA6BSsX2pAuKqUowrfnKZh7UenxNCIWAvmyUCQ19uYnCAj/2Bz81213nUaKR7g4yGsY7jrw/XS8gSQbG7evk +[+] Bogus access_msg: no_colon_5_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcb/4,tcp////12345, SPA packet: 8HXQ9+zYGJ3Du7EghhykTquD55QYxym3Wh7iVmipk/a3D/9EVEc3ml7DgdNCr9ZyktDxiiRrCdaTx3KwCyr/5U1YEUWfuIjIGQHnPUHlzOXVoR156U6Rm2ckK60WisbcpzYH1n22qoJy+Uw/40LIAlOfbkAiI3J2q3iGJOAaOAMGG+elK6hyKLg3sues526QGe9PmjN+Y2xdhF8CoFoNdQEp/YGWNJ6KU +[+] Bogus access_msg: no_colon_5_1.1.1.1,udp/1,tcp/1tcp/2udp/3,tcp/4,tcp////12345, SPA packet: /ljnBOtzT56sJ9KeAdKd20aaEMD9fmRHX/eL4EDvSSB1w93wRWMv6+GRrkuuRWN1Ir0M0hM9y1qiMHy4guEQTL0G8k1JAsnQoeRcoHn2NSoDzIaP0RVK55EvzHG79RcvTeefif9C19tGnBrI+8EfVmN0CT/W4sFv1fIMSPaI5wRg2ta6a2jBs60n2iy6YQ3WWl31J4xJgicu65epI8VbfK6PoagYPe94E +[+] Bogus access_msg: no_colon_5_123.123.123.123udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345////////////, SPA packet: /S2rXYrZKtvkFRKmG78jJ0lbgsl6caXAaOczuwz7ZlcR+I/MfJf3z4Ya9d92IGAA9DuiFEFYm5rebwU/4srMOKOUSgbuEQeJ56kYZ+mGGHlbS48TfJrygOQa7ya25+3L87gh3EHOkXEoLJZLCsEXmHh/ntDj3ebWzGrpUvCSvX9p4ldrHfxmgec1+k/wxXMnlFeTi52iaLesVhEC+pj+CG66aFLLbjEXITdiJAE/glUc3YKUI6CXxVoUYDgLFjZrwJ3AwvfkRZDQ +[+] Bogus access_msg: no_colon_5_1.2.3.4,22, SPA packet: 8/SkbzQAD2qT15/iBMghG3O1dz9Cm5UtDBSVCApQGZTJjB9LWfbQTVHLNWLV72+IormeIF9JuVyeT+y9Mrrkat/gUaTQJtMGqKv+H5+qiYjciN7s++NCtIS3AqPKej81CeavpXYTO3DgB/GPnKeJFsLVUXH+0mHEw +[+] Bogus access_msg: no_colon_5_123.123.123.123,12345, SPA packet: 8ejGLzGfC6QBYu7cbJFoFAdGc2j1GFKz73O1NzZ76bN3SWQhU7Q8C3qJwwuhKa9qhGrWv5r923NoF1MzsFWisuJG9xkT/Vof36dn0Npjs6GKAMGi1Caw+ehTR/9z2Nymz+vLEjKIOIjQSi4i6l4sv0k8agbzLkDh4oZQTb9R/KbPQIYhxE+KIq +[+] Bogus access_msg: no_colon_5_1.1.1.2,12345, SPA packet: /7BCaH7XRxZwnMJsDp7NJOCv6cUt74jLh7yusclf4abEb0zmspPRMCkOpDl2Xr3K6/gVw22Kf7CqajOi2WqRMSZZx+MmquGS0CfQ6YWIn5D2OILwBn7+QmtnIu8ZmuEe6ooQpSKcTuubHqVNZE4dLuXDorB55BjGk +[+] Bogus access_msg: no_colon_5_67, SPA packet: /YngGxnUYxqLIRgcAm5wxIHDKWc1JVAvPcx2JiQrqJyMW3fu+b15/+E5LNJyTdgpbG1SGLGaoIZR4kg56F4IggvqJgoqYBHdujU/0L4M0HnlocjbQmlOcIJWJgGZ2+N+JqbCtJZo2Xmw +[+] Bogus NAT_access_msg: no_colon_5_1.2.3.4, SPA packet: /9F8/vb4wvi6Nuljun6avRo7/eFWqbW+exjDDUrYsnm5laBWWvtwGVJuLvsOA3AuoJknl3Bf5W4nad6HWQcyy1sNNhhOqdpChSG6YE127yw2NHj/Z6tL3uG6siH8eK/IDy3WHxCR0iTpa9+4xiYXIC7gsMmrCyRmCWYQ7A2j6f14di8cK6J9zC +[+] Bogus NAT_access_msg: no_colon_5_1.2.3.4., SPA packet: 86+E2KBkw4tn27clP6trPF9YFpR2rrSHTmCFIIkKIHnJvq/E4cQzioZHRjV1d4XlduBO/L6FmcRrdvXMne2ScgJ4457g2jTpmYJAbqtWQ5fIH9AYReIP5TcxQ1QRnOUWIBRxmfPfJ5M01iGerw03DIlh+HfdBmBRK43vlJJSQjYssbbB2G2fl/ +[+] Bogus NAT_access_msg: no_colon_5_123.123.123.123, SPA packet: 9hXltxjQURVG+M1y2u65xjn6sRRjAgjwJfK8OdlheS+Hvgl0dtOqTRgORKH9K1kdPJmDqjcieae8PAlwo0Gw+nu14JssfuSRu8YWIp8J5CD6RwSh3n7LQf7CkFofaFmijM+9Pfsie8pAwIjYpFhx+O9iWmJMwrHLAZM9X3fbLJmEqnCiFT/DUc +[+] Bogus NAT_access_msg: no_colon_5_923.123.123.123, SPA packet: /eu15c9LD2nHwR3x1D0K16SO4u8+hCdFHj7F1qkKfBkDVzwnUiNouAUry1+9PYJi4b+38807K3mEy5IYL8eSesubDzOHd/Vn5tF7whbmonY/qcb1pFYlLJtbuy9U2cRoDvuLES3Amz60ezenT0MZtE5ex/ZvXWKXjjhGPAZKX4hzRjh1OiSRzP +[+] Bogus NAT_access_msg: no_colon_5_123.123.123.123., SPA packet: 8OrHlgVRphe3N9of7Em//S/xJvhkeCVqloMaBW8//BIzfui7ZnUxBk5i9gkUTbQnBX3JJ3au4O9hqnvZ3KP0kW68gDh8b4iDN98CfoCcSwdxOYPWXZdW/3qsdW6R1GPzmkLLi1sfPtjl3NZmnNSBF/3R7vsBhVwfEWYOQDoCjNeizPVDQP+SlG +[+] Bogus NAT_access_msg: no_colon_5_999.999.999.999, SPA packet: /yt24R2928VIOKjE91QTcixYRG5EkLIiPTwmvU/tfkmA1Y4Geb5n0n6uqcVLmnO3S8HizkvwNWAGtUzu4GABaewmYdreGyZ9sEs7GXrikTu/r8nv0DvUbBfbFT3CPgLsiEG1/LKJK+MvERchbCH2sXykp+pTi5zLNJeopC2mIDD+fI8QmXOn6c +[+] Bogus NAT_access_msg: no_colon_5_1.2.3.4,tcp/2a2, SPA packet: 8yQg0nVIfxW51Zl7IRKbIkX+r1ph/T3LVMAkvVAU+S6v873l9C+y9L3Eg8oIyzSgAw9+Ivv1IcY5uWek8oqhUTfur3wPGI2jEA4/TxrZ3ig+wCTNDa7SrSakWwzbuJUdUI1RuB9lSKxzlI1Bmu+mj/g2i8h/jZnNFWUrAyc5JkeWF+hwECNBFj +[+] Bogus NAT_access_msg: no_colon_5_1.2.3.4,tcp/22,, SPA packet: /vAAn1LLW7wTwNfNCf3DW3SjH/JLcWF0qLK9n5P/pJJYROfW2wTcKWXcHAprNLK7CGBDLT7z2j0f1c4aI1BVV+GkfwLrglIzY3n1Yf7jMBndQzjYqiR554o241LrPL5PHOj879ORk11+RVAIF+CHsXpUTUqQums/SuJpPNPBatd9gvexCN9miv +[+] Bogus NAT_access_msg: no_colon_5_1.2.3.4,tcp/123456, SPA packet: /yuBVqQVCsGLUjEi+m9OJQKYG6ZXWlD4cMmrBEdJhHRM0NbSvNSBoO98Ehrtn84DcKe39lDBYr7RESdUxmXKKDmqBNGfTZRtOATQPwXCNpHmxSARZAPJnq1BtKHsabAtKbE7Q7LJlraHRtNtQOPUMWtIH3Nruj2E6Ke23S5jOVk0gpxT/DtpgR5A6zgT48jP8SSF3vqQFgnA +[+] Bogus NAT_access_msg: no_colon_5_1.2.3.4,tcp/1234569999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999, SPA packet: 9NaEbQv/YtAYnQ20uMR59tJX+snx4rr8d4fMHqggr1s7HnU6aj7/JUOPCwYtOGbWAjmBXvJapIH6+m2IgApcS5hc9RAa4KM49hjOr3dR5D7ZROiNyOLAX2Kc/J0o9iwGmop/DC9QhIzyVxaG/UPGjA9biRgT9f0OQJN8RgZVlYxyuBT1U0Vhmn5E+ZCopeIS17JHfdCUOEQ0VSQQT6sd6XvMlT+08tYFC9kC58FkEIuoMC0lBBk5ICt8bXd6SUs5oOydCl7Ac8Eorgg5aEKcf9TJE0oz3eu2NVML+1O8sh+iwrWctPHple5iyK1EMGRUszW0REkx2S0l8YHLA3SasM1/YA3YHOIiiiaO4CvEuUFPvP12GGSz0C +[+] Bogus NAT_access_msg: no_colon_5_1.2.3.4,tcp//22, SPA packet: /jLuvUsLD6Xcyc7bKYna+KPLsDaQTnofaJWomAbFdZyUTzFtQ8j6SHEnJQcc6K5UPkBAsvP3MezYPs10D5I9JRaZw8jwpgprx+2R267q/vPv4Wda7olV4KSq58v4hRJtIasMorxl3HyV4/8jVCxAKY1C5AeG5kGpbnMk9jmAtbhpTgXLm309K0 +[+] Bogus NAT_access_msg: no_colon_5_1.2.3.4,tcp/22/, SPA packet: /17LP2dwuOTVxFU5T7BPPEdfJvCmqUmLJXl1+cxHcMoKB8LKle8fO+5rAB6c4F9FaqBpCdzWVsoLvjhglUVXAOb0wxFGgkzczooILfo5dzSfTDnPPD1+lp1LUopUIrgsZu+QVs19IZNV9yscXCz5JFETToIk+B+4qEwzn7jVilQGt92K/Ssymj +[+] Bogus NAT_access_msg: no_colon_5_a23.123.123.123,tcp/12345, SPA packet: 9cVz3SIbO+a/Xodh1RVG1TYnBe9+kYIwN3fSfe7LAgf8RW8t1izeCbCcMKPpQxQe4OoSzveb5VKYI8iGiVQExMUuYSqn+Xe9PuNTJe3UZ6R5Iz7LIvLSp2gp1iUbg+bzpbHWnxyIsPuMjB1oYt/8diGzvTkq6xEYqM1NDxsU4lxOVXKjdvGXlcBA1mp8hGZLQYfafcn6Kuag +[+] Bogus NAT_access_msg: no_colon_5_999.999.999.999,tcp/22, SPA packet: 93dfWRxH0Xcxc6oNbNO7KTaCmH3w6DsFX2yl8cEej/FdxpSDVTzVrmVu9uyM/pD32Scxo42Fr2Q61nTScIGQryh/OH9fyuURrqVpKiFO1y2Xcii3dFc0wNKuQONoKVR3O9FA+BDcw0MZuneLsyI7X4v0WxNMzkPwviX8Ax9PMhhzy5Dyn5UP3d4R9WBC0+lxfJPx4ssgyetg +[+] Bogus NAT_access_msg: no_colon_5_999.1.1.1,tcp/22, SPA packet: 9btZu7m+aCVo7PVZ1dhvDbp284V4PBQREO38DPVQJhIlbaXg8GFXOBPetLYFrcAa+P5eSjVxwiOQ9thRuvPwBTi1RXQ8lUCRL2zoW4FiOqwS09bFiRtBgfAOWpjqL2WGkZ4Cob0PS9xX5+6g9j0VoLBnOj17ZLHSSUlGXIhaA8ZUdByqWqR4/7 +[+] Bogus NAT_access_msg: no_colon_5_-1, SPA packet: 9vBaklErdHHLZIR1roGqdv9P5vAqtGenXBM47fkne0UtN6GlUrNMqcef/1+n+aCfxY1PJkiM/1cWMud2eaLYzW5S/wTYKgiW5a+UgoX+uBZ61mQveL6EhFsTuRV6mVETbTxfllYQ1oXAE7NzxQ4Bx6FQ+W+dJ4ee8 +[+] Bogus NAT_access_msg: no_colon_5_1, SPA packet: /FrBUJyU6qlawaF6zN9mwaDg3YwtUge7t/Jw6UW+8fWcegJz8U8eb54WAJLImONLH5fqgdWfNZH2DNEsWuKxo62brfsZ/gA2sHmvkVyDspFhTw2trNk1zGz2M0bfL/tz0RQaP0R8Lhv8Td/rT6Ea9OKSZbuVq3llw +[+] Bogus NAT_access_msg: no_colon_5_A, SPA packet: /EtEPlo6XFimBvgTLPBgbyoK9j0C+N/UkGT+KWTU7urKXIT2+NE16mhYCs56jj7z/GV6nC9PuqBUY6VQEvbPf3TtIp6C0VY6PTY5+4X1CnbNpgLuJbRFJkslPC4h5sGXCo7jpwe/xMAXd0ZtJgY0Bw+rriDaY9w7g +[+] Bogus NAT_access_msg: no_colon_5_0, SPA packet: 9VoStvo9Y0WVPFxmM9jd37h1QnIpkDjdzbvryv8jn2f1MzZa+XRkn57rQB7ZRhc/2H17IVtUw6v8TWmZBjbY/WPkmjfYps6I5OQEE+jlBUX/OEg2vq9+Gx7PbkuTDn6QB8Hdis3nD38dYbXdOwMNmDwJ7u4Tv9RZg +[+] Bogus NAT_access_msg: no_colon_5_AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: 9zsbwSwILZsT8FIJ7PN64Bm4KF/mOK3xEWXS/W3B0LuuBcJrxj79Qm1Ww1pKu1r//XNntGZngMZKC7GQOfxXGc6rkWTu1zA2IiyP1KXdIQz7xgs5A8341hLQi475M8nGBezjp+SaE4XSPaxQE97EI84TicHMlgSktg6pz5vV6rhOGbsxNlXQuLE3TL/PKACWx7W4EcFYUjq3iMfMyG05MI2BW9Sj/ZsGj8iSUZQWjbh0/h8T31my1NsUADmTYOinm6VTdKIIPHepV4zjczB2z5pDqrEvrUCWPAyKCwUuOkl7Xe3pEDTaRPqBiBKw3f8hq3pLWDU/VVPQIqQE4Txp528mOQfiTOObQw5F/akOoq2z/WU0afxdT8+VzzDRU2ibo0ArCO2KcuiB12F5f1Jb6YMZXJ/ehK08KyzluM3TYZYpWzFJ+G+8sw1gzqriWPdt5Gj6EHUmbd5X/17O2e1v4nsWeZHF4dwEWQYyGWxN2pO04SO/PLlY1C6QbxFx9G1kr9sDUgRqp+5GPC+pFSP1Agg5IHvn7T5teDlTM86L6QOlTIHTUGnoJ5ud1BOl8dFHn21yTDmqHgQwleCZ2pK+uQl5oQMYwv1U3MOkRqGDfKsivYsLp9cls1TWBJWc/E2rxXk/bP/sxTc0Rf8WmXUF0+8OSMBxnKvcno7+TCDNKd28LXpTD9LWQ3S/FB6amz/08si+L7wLsKYGqdhfeVUrbztk3+c0MbknUpMldYf/tsgun33q6c/iW0NfAFDCbNz4pedkIx+vEeDTJLHAt+mrrK/m3IB7TEN7KS89PzVJLJy/egiolXDEy45qPSQCWaD/tTaYI/XD1lQiL6tMwf+6PJ0CXnlgm8mT8kzqaxL39n+bBlSiCEeiANZWRgQ9j5gPqzoxQZxheZw925oDwz8p1K1sAS3Iv3iIid+AuLeYwHaZA1uiKH4yKM2pgnVvhhv4wmG+m9TFCBVqNTcn8ALOOis/HjEkWSRrMv0EQ710ARf5vU3rct2Cb6NL8cSVhUM9AAOfKYE7mDHdHExoO6X5taYRUq/n69WRZr93LhXPw88gM8gxBOSX3s1MklWeEAFL6jLqoDxI8hyxAbFnXlpbuawSHU2K8XtBwPb0hdMRNY4wwgHUJQDD1eXuXKhIeBlsd2KtGAdhs5T6FlkgOFVQPQ5pmc6PUQBXTlTxOLn6OFUVKbBYtS+FXMKwsH5ZxYHaeJ3mtdAMU1RsPmLLHmuozXKfpnOpAiBCoa+ZFJLbvr0fZgUSYSez7TNMRNHTNoDo9oN9DzVDoiQZbxEEuaXLGmAuyuLBoKaamffhd2oP5inmDtyDnBYiwPbxaDq/yf0mp9vPdeiWOyPWDx4JPuNl3DaYgHXg8pgwDgX3zXneO1m7pyVNSzJ51WTOzVXxZHFaAftOKuLFBICg82d7sYGTNz93m4/rFhXqQ +[+] Bogus NAT_access_msg: no_colon_5_////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: 9OL79uclTHD/hnxPahEG6F7Acy7nZfPlkqKcO/GM4laUf7HDG09L0F4MJcmgGW4LLbBdF4k0g8qGcWkPRkuJ+IfbK/t+TVMQfyna8ugltsBmP5OClitYR7W5T902nvgswLnciythLosrWnArd8yKf6ilL3Nm42dUDeXEyg3fiGNSvt+J/t9Fwu9mQmmVpMDx7W2FKWSNs0wfrj5/osAxxgHrBf+YRe4Raf5WKuMujIvNac7ll/v+gmzGA35r756hohgqUQ/SZQ1I19T3uttbHpQ+n7/phGgBQ5AtVXxV6bLFBbLJMFUTzCtBMamfP89ZcX3n3eF2kLA1R95j7JqaXCRrMe62RRs3Sp8UdXyecTvh2vnC9DC2qmmRgjbiLW8AuAug2mPAQ2zJx+HzX1+mYSMbRWb0xrvZ1SfCy/WjSXZ+UtG+G8n3h0WkJ7Zj9Y+ctjJQ/cNDWTmISntXf43SVlmdytDcVlWbPC94y+wTa0LGz2wdmJqTYQzWU2gQhx0cJo99RlNUabrbHXN7mNw9VQ+IAc5bCkpB3LkTlTKmX+03CkH8y2ULpD7S1+n7eeXMOx9T9mnXE+ichbIrchhtrSpfaonU0HnNKUSOLhMj0Nf7GSuup6IEBWZidah8Giu1RtOhcEAxOw9n6D8DD4w2oTjDUQd+dXit4qPH4FxiYQsSlhwbWN+XoC0ebGAg9A5liOb9wIq04hP4L1Wpl/H5FXxJ0cZXvM7oFaRgVYu0cD6S7j6a9bLzhRGw7lpECOUvxTZOBKjCVMzYhBxUASZA5UTj0OsvU4Zknd5vwCf3nnzYZb32UaF+u3Hb8a1kYTTPpHe3TGqZpnlRzTOyNPpTLBcA6g4NK+D3slYoBNLb35DhyzpM9SKiRnqKBJBIdM0rsA2yYr2yUww0xwKSW0JozHu1oa2hVc80IVYQJUo2rwFUL6ut6l0R5vGoRyBSH7KaHLGNHXkVeNHPJljZE5QAi30oG+tvn792Nj1JT+Ds+t8Z0Ol6kq5qCyWhyfbQ1c8An6SJSZu07TeixIbnAy9LAvK9VytFq1JB2Jgqeo/uLhH+7PFifcCub1dvHYiGHd/Xx32rIHxNeiatn0o+fniAqVqfvoZsJoQmc/NfkUTooeweR1be7+rnsPpnMF/4nyw1HJdBXxsrhGX/hUXavVGRSd4GanPb9tKcrM1iiGITShci1KRbaKHMwKgUdFHAOk7g8BAoJU9qsC58MHMMWSFVGquu4EYbtzHYRiWC0xxNY1ALEeh1G7GlLB2mJ8XvFNyxtrif6pS1rcGyeAD8jXWpcV+PSn1vm8eZof7HF8oNhrgssYBjYONqT6FYLI2q3CPYVsAMNpuHAIcE7CW8uiQUoDLvDFHjYGnRVe4U+08kR09TmwF+O6uvomxIUdsWGL+Sv3NumA2IfWY8iktx1zhbH6DW+pCeC++GU +[+] Bogus NAT_access_msg: no_colon_5_%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%, SPA packet: 81bC5D9C+cIzxQyC14cnYFTuVTb+H5nDXyJbY0WvHWQ94fgZ/yNrb6YZ3jkyDnhZKsB6CJ1u/O8As461sRNSfP/W5DRQWiP63XpkDv+i8Nc8XbXT0/0sW1SdCVmdWaZwQVSgf9jVoekU2ktsOrpkhut7O38pH/TdS2WLTBeLKTCczGhu0EgHz5zmerGIdKFw41VqRZtzPhdHR7WUIDcjMWv4WyXx8QorQ6CctSQcEKEMyz8Sh/vtFB8gBvWryMsyx0eu7k6TBZL52cSJ6xmFdGNDfuY89sdEaIQpaQZg0CIGBeVOclm+IhnXh62uHQMABIpcS+2Cxbhzpokjyu2LrUsiBfdeDlU8kmJ7j3bGrD58ShSYYkS2WvI6hV2HGkm1EvDvljeMhHz/SMYrzKM9b+jkUb3RLKGtaWslWPtenhpq4IyqSsjmhfNDYH1kZmmXJH70wb92svnpZ/Wry42clRCtsx1jf73tKyQrZlm7dNvXOCrLlX9FITQIMO+Kgqd4pDFUDOO5RUBcs6YrFS/v2LH5/+HwrgPkouG6sdM24TU6T03GJ9MakvU0SeF1TlCIuR6U54b3STagkosbmLzhUDQy5mOxwEXkB6UYxedmpSGvjujvkyXfPHJIyR/dhQEAGU1C9ta//f6ypom145Y7DskiuJhWAy3mradGq7xrZ3D8MYep9L1IFhatcfZturP3vf9y040LLkm2SCdJ+r7BslaMIh77Ur3iKLxBkoTrT7iWXMeE88VGG1CTEv3+1forGRJqW2aLb95DXj/EQhTNL6VL1JHoJ1JsI1bXMx7Ru3ENB3t4GKyEznvz5D+/zZ1AOZKiV5S7oGQXeu7tF2TJ7eXrJ76XQRegE0t3cmv65V/K8lPTL6tL0ImH9ByKvkMfyzb9zb0WKiS0ugadx0CS1KPi+WKv2rLWyLZCfaxmhx5NdNbSvO2Y4oiRhi+KOxM96SBhkqltai4/a4td0rc7x6KcRG7Y1DhEsP9nSBkaWVqP1a8aqtT6ln0rMvEFb4bOoFjhpSBw5XysKvLodROIbCnLjP4icFj2pzqYASZtC1Gqs4hw4F6QxbOQY2QVwzTZamDlSQTIrM0CGmOLzsxS3g0ygF8PJwFs/CwyZOMlZeLbR9STa7cCe+qHpYezmE5Dp+oZ1lXDSi4yVqN598+Vwwr7V0F+xBDzkgSxj9g5IWoQ0AOBeKzNcgeYrCuQL1lNp7mlz99iVqlo+XDcP8KBzzsqt9VgrPesxSCru1+e40LL5uMG+UBow3MQnU3xGjhE6N2YC7hcrXpBdVejUsgQCcWjo8ILajufrnedxgtrAC4L6YAyw+427xKs0PDMFdea32CZuGOBP5bqE6dzZyTUA/fP6UFVK6AGcmMYe1K33ESOPqnLKHFTza4s3jjdfxP3KeeezuAyj2EQUSpluHlHu66QGGmbm8tfE +[+] Bogus NAT_access_msg: no_colon_5_::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::, SPA packet: +ySnV5TpPcoiVS2TyqVdTWsy7MzGk2RWq85G5ccW/WthPpBcTW+//C1Vpj6QFV1zhEwwQyK6Vb1x1izxQyiw/+LIFr4+ZFINzHoCNQfugq2v2HbOQqk8vpoxeCC+hMCklAgdPk1L5/ZReZYHL+8D1rv0vnq71bIDWOApYLLic+3At6NrgEmrHBDE9JwsRY+6O4yP0tx9vMKvNiDKCJwl3qYtRp185JjlhJe9bMCqZ32EehPq/mKamCaboO85dmw+0/GIsVCI3KhVDVaotu2ItnV3SEYeQULoO1RtrO5kG9mpV5xn8wwWAWMwdofge+eqyetNGqObT4WYaNNoxlFBcx7I8JBuq5K9kxKD6jPrQoojstemfAcPZaREE4cL9c7RGaJ2KX9a+bZaXOy69HpB6ckY8B+u+8nDx21YZ+TiIQ00BmM0B2lza9yUpHJTXhnbEW2vWk7lBbnDLw7ciPDNUO9ai3Fk9Z0z+7BqU3NGDBFtbv/tRpouF1FvQ3KPBSOb1emCNvMhzQHohOIGKBYOPNTOGICAZErkfo3Dq135nEJbPm4BMqyRTkdu9oP0G0OcxLK917WELIy9CZFn1l8JiepAk+gdbLwka/2N2G6cxedv7tZ6bdTF6ES7slTvcKsFVPW5LGdQL+BloqxuQKbtINQJ0djQpjzzZARxkNeZtRji6MbJBPa5gsHRzc6/Iver4OMfsJjko3TQnx6/ffqqQKTuL9TH7Wp5EDw4Z6nE8UHLNMN5knaSlYHx5x+XKJjdnIKMUm1jm2eiQPDuBiLGh6pUAz9Ho4A+0p5BGnx1/PB7N5c3y6eHNXGhDRflVLwCj9bAcOsb/tkxA0OZd1VhgW0bZANUAsz0m0YOMkTVF87xcSZ2P4w+ESUucvFbZ+nqmvUhY/pHyusoOKhp9RvR7OeujZkpM8HOrbMNR3CtgoVr613OgxoLEplqG0tT3G7W6CBrUEe2XnbjrNqVCQZ3oQvGqJAjtO8oldNhsyynGFT4hpH/niwUhzPe/x0Yp68Pi040fONga4AqWhmNxZxtxUT5G5yblDKepQ92iB5VuDry1E8iZ9aQWUtn2/xsMnwhAH1df2DneNo+ZFkZR7+8ApsSwNEbNFSfkpVybhD0LJAYpklLTxT6J3CN5ndUKPB7cZzQiCmHm/DwWupOULsyZn6NfNulCQzK5JERc4tXNIM5yBQE31+WUpDMHQYaheN8BT46H4u4OahoDuy99OA3m+Yhxe+4hw+gJVPsQKPD6TdvH6Q9QTmNhseJEu89fa+ottb+4dELqmExMkT2JqXCot12a8JarSyhsEqzgo15SWbZlseUY/xne2Abaampqo4tAByPJ1FU8eTRHb9y7KiL7HvXLQCePPCWHhck7ksW46tLHeXT4oahA/vPX8SMFqQF6itKdVue2TPvLvgVOhNt3JLQiILLdEKfY +[+] Bogus NAT_access_msg: no_colon_5_(NA), SPA packet: (NULL) +[+] Bogus NAT_access_msg: no_colon_5_1.1.1.p/12345, SPA packet: 8451oleQ+cI/97AJ3+zF8JAaTJpqe62wnrfG+josuTeL1udzoqfu0F0woE9nB3y6wINVn4iYMzw6ag12wULBtHpRg+Z7Y87QhR7jBC1WzICJrV2FAlOa7bgFXhs4PAY5h1E+OudzQR1z0vNR7UQkCkFBfRzkx6aiUXgFhFTU4jJoOeJn//I09A +[+] Bogus NAT_access_msg: no_colon_5_1.1.1.2,,,,12345, SPA packet: 9eav78yjNfM4afgAvqbEzdjFFNGmw+fkDJZbZ1Ax1t6GjOP/tNMMcJ2xzKlTOqDJuC/y5i5KyHvGO5MhxMjcoVEVxp3B0Aq24cuUsRL+iJQGCmCkRfUXddzr2kLBSKAbWbZcYUraXtK9J10GK2TbKuCYggNWl1bjZ1yvLZWVg9h4n0iO+3jxdE +[+] Bogus NAT_access_msg: no_colon_5_1.1.1.2,icmp/123, SPA packet: +1Y/EGpw3dvy2COaX2pQn2xrmsJMK+/0MFG+QJ3MLol6puNE4cS33+4vGhNtGT022y1MTGIyD0IcbVN9rO/J10LA0BnSCTw8nu3fjExfOnAIwxB3gL3OrNEYRpowf8lIC4HZPN+4XGSP95ww8FKfBI7b5XBR8tRlIbSfa0ErF5OcMIiJSnokkt +[+] Bogus NAT_access_msg: no_colon_5_,,,, SPA packet: +2EVhge4lXw3li5NM1swV50i+xMmlIKYwu6wJ5BK8J1+AW3EknlMhzhzyNRcgTctKv2gkhHPv9tHP1DlCGAinopW0QgahqttcQ9O367w4dZi7cc2Vixbpmcbempa1mdCrD8KHnXHWn6zxYvhHutj1KCmVzXQTZUnw +[+] Bogus NAT_access_msg: no_colon_5_----, SPA packet: +SiIYIrxzqWEBrk5CFd6QWDJg2hGDWaC6NmHiGiYpJGdeGrlSE3VeQLH33dG3RtaloQTGNcCZyTxfQYUUu8d8T8O9KEqaLNUEdBtl/yFz5cEAinIjItpdOOzDKN0FVbzfrxqMsPm/EWG6TrVz+v3LnTVtEQYgPWfQ +[+] Bogus NAT_access_msg: no_colon_5_1.3.4.5.5, SPA packet: 9Rk6FWixNLb4h6xbs21Y8Gzf+dcvOzc/hChccpzYYutATSMl07AQH8HI+NXfRhX2UyG2TuK5gjjI+PVBM9+9K0Wewd21MAM3YAi+uzImLSScvJGude6atTUiJXqaersuhpQXWWD4Dhb++hKVY2J3OBw79u7tppR1KWMS6AaLP4y5L4l+EwU0uW +[+] Bogus NAT_access_msg: no_colon_5_1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: +hUxWZBwt2YnT1N/svp47ddqC3gY3qDQGADI2gay/wVppR+s6sILQWfsE2kO34O104AAAyUfm72UohrSVX55KzUOnvSSzqvHJU0gdJrUeOJrqP9EM1lRv7q9bFa+GKUWGt4im9+Y2gM1YWEv3lq+2xasTgaQAJFThnES9/x9Ns5GJ2BIciEOfg9gjJ4+66uC1CVm1DbkwAEHGw+EaTPwJrkALIlDpDDVdmPjjOSMYzQttLPbguJCZt4h67AG08KjblZZ8yxa0/O7uKixrYsHijO+Um6nwulsI466485i3YKBZI2RhLUtQyPOnTULPn6ftEt2p4nKSXNuJeDAf0ttr6NFniy62UaUM +[+] Bogus NAT_access_msg: no_colon_5_1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////22, SPA packet: /37uNZqFJM8xIzgeKRfFJbNIDfGizOoo1IZ7jxYCqOtAb4zDxG1xZeRnO93xjg6JI6KEFwfoZ1YCUx6QeC6dwqbT0bpcYnNYukl349rEHI7aSb2h5MhOGyZ0PmVKGAFLcskGASYpcwgaXikoKbgqA5DGpm/juEOWfYhW8nMyyoVim74+PsmgsTouKJOcykkHRK6j6ExUT7pf1YL0WI0m2yS4Xk1FY7isBAyEPwbKe/ZzrCXv61nbiZBivOVhqFgQ32dN/Bp3FjIi80/Ku0SlISSMhlFkTCH67IaUfgSQGJi6URSIG4qL/LEHC1qD2Fy6GoJgN6i6FxcMu2zYyc9CikQySlk7znch8 +[+] Bogus NAT_access_msg: no_colon_5_1.2.3.4,rcp/22, SPA packet: 9KAN3eTjP8eR16qd4hxnI+VXDHRRV5wa8bdQs5fwsLRfN9pFomu7him581MlkbC7cDElI/iDCVeiC+hVjtB0LNqKQYKql65UwVwNjqHDGsKpeHDZ4owmtoV8Q0lUisGeax3VaZKtDEomLbHaM0QPPwN+Trsv8CgIYQTJyNcybudYdH0o2Py1WN +[+] Bogus NAT_access_msg: no_colon_5_1.2.3.4,udp/-1, SPA packet: 9M/3i8t6OQHl3heiDZ/wMHMcKAcka37KcLaF6OJQBrj9EJI/56v6BmOWlxAfELrlm4szbjoL5r8uWLcZsiQenr9Qr11+lbBd4S9L16VC/ZAn61bEDomk7NIZYIXcCkAwMk2rLOqUcSjNg9wJuzUzQma7afTkVFXvYIA7qDPU6FKGCNmRgVqnO1 +[+] Bogus NAT_access_msg: no_colon_5_1.2.3.4,tcp/-1, SPA packet: 9xalxYknoIsmqNd5mO7l8UAFy0I1sSC5XBdG/w5GRIWnQ7cX5kLYz3qAuq1mXUXYJr9IX3gpNJTES7kI2MfrER1izHUqmy9b0LCgpmXtw4t9HAyxZTLdUxEsBFTPnxDD30+NQgqGkcw/W200UmQy56OMtKMjcvFk+H9+Nkow5Cd2iiB9KSSOUO +[+] Bogus NAT_access_msg: no_colon_5_1.2.3.4,icmp/-1, SPA packet: 8Nw+hqC+CoCDbIQrgrqhorg10P/l32CP7dmpGTZes75i/3+tuPs+GuGqFuTso1Q9ksTwM9dhnG9u/nsjeiLm2WebnruO6QzL87eSUBQuJM1NVd+AWZDjzg0Goy+20I9JIDe6mgrVfi2Q8//vDe0F+EYjPpu9oTTuxz40gEus7z9wWM7Qtoj1Zr +[+] Bogus NAT_access_msg: no_colon_5_1.2.3(NA).4,tcp/22, SPA packet: /CbF301YgxC0LasMVJy8RDX+NvPsElmvDBW5H5Muese1zzYoQEOMhXFsjebdxqlS89hztOBg00MeGf88gqNHM2cU633hMklIiEUtGSJXbxqf901r6ook+6IV4UNwNGmobVzDuJLEQlaXFY+g7ZLF1FU3/k+Ey4I7SmOVoSZkkoa7ImPGOsxFak +[+] Bogus NAT_access_msg: no_colon_5_1.2.3.(NA)4,tcp/22, SPA packet: 9afeLtyJYcu3GUS1Sifgs6Nm+BBmAWTayjWUpsX0Yib43orq0Im5LZ1CQKghq3vkv+WW6pCBC5fbs1bs6qwsOxwmK/UJy0CMgvneMJEC98c9JNqtzxPAGZIk0Es3ufgINNfa9ZsuAUhrCX7Zw0dUNzYmfyTpGzfKgiE9AFZESV3jbFOqraLHIu +[+] Bogus NAT_access_msg: no_colon_5_1.2.3.4(NA),tcp/22, SPA packet: 8zEkdfJzUWyKXFNh3YghGurAkFldIeQKzhZBX/CWoIJx4kASZZpMWst+64mflsq2SD9dSooIofDHHrdMd6etl4NLzrL7QeH5jw/u4kTcGXpGKsEBJBTFcATdRFvp6kCule/0xOqcTSFLLhnzYzifVCjsaXXpPfe3CgkG2N5r2W8r4a/2zRSJMo +[+] Bogus NAT_access_msg: no_colon_5_1.2.3.4,(NA)tcp/22, SPA packet: /YENBGNZXTyqmj0104xNmg8RW5OmZJ+x5BwXna9Q3rBVKL5+bNiHvJgY2GtazYeZqPjEE92LOySuCuWyY8EJG6Xk0AKARxVTLSgatT+CrSXYv/7kE8fy36YknFhsIlDT3lAPfsTj65I3QbpTo9dZy16NuLhOv0flWUA1ADoTm7d0tQqNiQu6Ox +[+] Bogus NAT_access_msg: no_colon_5_1.2.3.4,t(NA)cp/22, SPA packet: /9Rp6RMtw4n0wmxio2u5daM6gbWm0f8raM3wPZAnRacLrgACaj2KF8XnPrlp1ck2cv0wspWgEjdi5+L3+B74tyyR3qmQUTyZycHJJPZmK6TDwX3CCdWqfOe3xrwM4YdzvUYNIaZvTMlUtMvjezCyRD1CCf11N02UzBL5dT5zVFRWQJJu1AG+o7 +[+] Bogus NAT_access_msg: no_colon_5_1.2.3.4,tc(NA)p/22, SPA packet: /UX++FhPwW9Lz8hChGQE8mNfQ1qbENhfYPI42dptwMpRkF67Im5RyDHahNfZMWbI30aYvlMPFuoDX/YlqsUmSaiWQiKLzClo8qysPTGJzHzReqCGY9vzebnE7vCHl0o9IViMxIhq9lkwkoAXkP8l+FxXgkaz1uun9iCdMrIHaaU1KjyPYwCO7d +[+] Bogus NAT_access_msg: no_colon_5_1.2.3.4,tcp(NA)/22, SPA packet: 8Py8MhhWMGsFlJdBrNMSpDs+2IVCBy+tI+geoFl8b21iXJRypWdZ4rXbhhqU8iQOliKzHrXBIk1xSeVaTTTXAs1rqVsgUZcnaPrnLAu2aKWgJWRXWN52xCVFYFKsg4BUQIlJ/dw9Yer0PRLSyEbMwsmbJX0aZCAywJ46Rf87DvMdz6Vv/M1msX +[+] Bogus NAT_access_msg: no_colon_5_1.2.3.4,tcp/(NA)22, SPA packet: /GWZPJydTrCo2A2BuGXZ56WqDF8lCSwtcfL7LHIadY4uBA+/iJgoUvV0Iv7zRtJjHGeNAv915n0HScrcEgFxHParFbgg7IXHKfBAFSe4jMUO2HuHl4fX52m8kEtqMvig63Q+8eb+boh8OBeLV98OINCFCsnnqkLgvo8P66rKxDiS26Db9IkVxm +[+] Bogus NAT_access_msg: no_colon_5_123.123.123(NA).123,tcp/22, SPA packet: 92YeUTkA8g3zBoo23QsvB9BK+XLo08gaRvCgdf6qn1/lE6VriGbi0Gm58ZZQvqkOoXA3szv17OZXKImLhT12tHX/q5l0vlwhygN6d8FXmLqe5/LlXIxocZbzXcsyDCpdWqZNKOp/HIXOfMgN3qpKdmrug0nXN2P4IVkvIOjlAx+SDpspFMRK81 +[+] Bogus NAT_access_msg: no_colon_5_123.123.123.(NA)123,tcp/22, SPA packet: 9BgGneHG9r3cG5ip10y+TLKkj5GnUwKoD4XWN1xuuuDX7HI9fW+mD+i9n9O9nVtsilvv2y4/63XfcEvHcb5LJCnDLWcqmKJCqQQinbp29jmSq3EDdxcz6sGnzIVuKYUEaocurtFmseOZXsDA+zJ18JMGlmndlXUkoQBXfykjoqGe1PANMl4y1H +[+] Bogus NAT_access_msg: no_colon_5_123.123.123.1(NA)23,tcp/22, SPA packet: 9OyXo0hD1iH0y14xFk8F64nnzb7iPlLPhkjwZbYAmNaCHPw383Xs5U4+bxvO+wQNou77Ia/Is4W64cxRDH0SvhJlc/MsP5TUnArkUF37Pv7UYPJmvdsT59wNO1r4lvGCdUzr6dmn2hOyg0bNG1ZWOzJgwmA9ARMmGRw/NjDkg+wOo5CaY7e+mi +[+] Bogus NAT_access_msg: no_colon_5_123.123.123.12(NA)3,tcp/22, SPA packet: +P+QSO9aFQPu0TRgkwPe+L08Pm6jxa1LK4I59TnE/f9y6MbBnQfsF+jyrtXDKXdA8hCQ0SJGRtfxVO0mxPkqX5ZpHkSWheOH1fY4PwT8d75sDoJxQd+O4w+RUK0kZo2iDom4w/qrodM+7is/J36FytUqupL01SM8JtZSshNzmUzdnyAm+HfAa1 +[+] Bogus NAT_access_msg: no_colon_5_123.123.123.123(NA),tcp/22, SPA packet: +DfXtdhChYiFSQZQHxIQRdO0Z3JHAg9U1ng/m1HprhD8ckV/A+0QpD3LBN8Z08pDQc3QRwL3No7wgowu8iFIvbLY/uo7tRbQGkCOuOBzem+2Z/lO3S5eTQfzdmvTORi0VNtDMf3ycgAH5wlyoxuZWtyNxu3SmLIH1KF/+dt3NoxeuY8i8YNeev +[+] Bogus NAT_access_msg: no_colon_5_123.123.123.123,(NA)tcp/22, SPA packet: /xobqEu8sadfnWN76PyG+466wkbEvPpnKPnGnCxw3paFc2QZGaQBgoc5KT30bmTU0rgyuANBnEHdk80SEo/BuBVGUvEMzluYs9aZGHrjf5DBtKK1VmoAfTljqcG5MQuqv8AIWQgol1KVvBoJa09zU2e6KZG7n5xLVTDpbmOARsrhG+ByN5eKVF +[+] Bogus NAT_access_msg: no_colon_5_123.123.123.123,t(NA)cp/22, SPA packet: 8Y9hKf7gKVbnc68BEYGw7DLR7Wm/w0AYZIkGpDqJEQrhokFODNU7awNp5i0Mxx/udbeoyPsrzEoEYwQ+nqWCof6Old3ybFRAnO/+OjGED9Hp4Th21hb3YWFkR1dphfxgIbsrgYpsfQGy/VJoTn+GdXx84Z39AdONi/dM4QhNzTj9CQqznHA3Ds+5RYG9gKleCfiAoIwk2zxw +[+] Bogus NAT_access_msg: no_colon_5_123.123.123.123,tc(NA)p/22, SPA packet: /JVA24YX5jARlpZYi8thuV1bwv3JIyWptdPyLjiDwfwiDiPzXgOzBa/pp5fRu1Egh6TPxZh307o3iWMH9oGBEZnihjCFiJw4OORzk/qVM8jhIPW7RLEmWSFniHVrVkGdQI50MivBkM0YGXzSVsopr9jusSCKO81vSYO40Ut/DDhRCUNs2OTyEscpVgOjKqmyUAdQTCnF1RmA +[+] Bogus NAT_access_msg: no_colon_5_123.123.123.123,tcp(NA)/22, SPA packet: 8emNUYw12hG5aRtyvsFcgCh/XGJ1QuLDepVmaLQI9oFXYtVODjC1zGj8SwU+oX9ndJaq8jvR+1H1VoasxQHQSb23Xwh6ZNYaJsTwJvun2BtEls340QX6VVIxf3nyNbMT3L6FGhN2H37lOvmC53kDTGi9mQiit2jf9LZIEFs5PrJtczEZBBrRnDB03f2mxiVw1A//6Hl37DnA +[+] Bogus NAT_access_msg: no_colon_5_123.123.123.123,tcp/(NA)22, SPA packet: 9xEpkz2PmRj5P9g+y2p9u0LsMqaCwDSpjzb4shm30ozc82qfkuv8KXgd3CyJPeqlgjqIKf/poAGym4TX9HQgTynmkXR1chm8Rq91tjakOAA9++IKbvv5Jz0Yn/t76m8aTc7FYk9LFBXJ2rvxol5gcisXwMrMjCtLYwri2e/Yvg5t7wQpqSQ7TYPxDdl7ZvcQ+jkEFw1grTtg +[+] Bogus NAT_access_msg: no_colon_5_1.2.3.4,t(NA)cp/22, SPA packet: 877TiJmQW08dbtdMvIoki2tHvDCFhOuIKZGO5JFFXKr6uifVoG7CSwfoQZtXOvqWbc0WRYqp1LDV2ivqRRBJ8pKjCgYeTB8bmEEjX9O5FKDk3oOVx/ql5TBpW3cornk52pTZPKKnH08e+t38FnNrzaAP/jZifqfmaAuUTn8xERrg1XBtMU5wDr +[+] Bogus NAT_access_msg: no_colon_5_1.1.1.1,udp/1,tap/1,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: /fWSHys6YtCfHM661Fp2RQUe6MY9cjffGSIncNm8w4S/YHppeHV0N87z7slZV0diz3D2rTWLPiiNQKZoEynddQS6LNzL02Lx6v64LzWvyu03czon9/UFdDhAeQ8Dj6pMRBhA+o3AbZadGgKTdVAKisa9VjWF3g6RrtwX4SqskaqxVI8MCYOa3ghwgm8nW8B4qTGNjdBkcash/QEQRnr+5z1g3TqiRK9QWu2OwaOyndiJgj+XFjs+Tw +[+] Bogus NAT_access_msg: no_colon_5_1.1.1.1,udp/1,tcp/-11,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: 93S6n8YKBb3k5Kx8zkUl6U9KyLyYjg4iJDBPik2uxxyBt+wwctNLChj2KjesjIyqS4vIVf+UKDzVRIbhJIbOKmqmndd+qL1ozrXcedB4IG88Zmr/KZOkWKZXWWyxNYcbWd+gsU1224lI5aXwzpqiCjKGvvieRkVPZIoMNRh0L8/INYK31ZiuorSYPiCsmMHeQwVQfvDsa6vApLKxEY/5n6UWWUf6BwT2eoM1JPVWNAiswMkcWEKzNu +[+] Bogus NAT_access_msg: no_colon_5_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp/12345, SPA packet: /yU49Oqg9n1/DrFpgQ46l2PEIzcpejiueyJHwSbWwmnw6Z6akM6LqDaGt5XbgIAq0hBEAHtiSPt8/xcrrdiuYR7/gqI2MgdrQHj6YFrlNa6LmJN0ph/kNv+vOESvlDvnvPYe9Nd/U/r9c9/ZTHlO5sMbn4c6B6dc/nRTwwxguNJlWvvRK0SG3R1YW8on5quLv6FXLfmDw+NNl7PLnj84Zd6jfubrLuRJQ4he0K2NVn0odIDrAwaLaE +[+] Bogus NAT_access_msg: no_colon_5_1.1.1.1,udp/1,tcp/1,tcp/2,udp/3,tcp/4,tcp////12345, SPA packet: +yTCZ+Jkk24/LlQqrhsN6u6QBATp6S7s2d1XZvoag80pQCOXY6USHmHmxqrKHYVJ+R0AEEYoqHJDWRkew0kbYyDpITX47V2m7rXwq5tyCgOk2QP1rr4sUCMSssXhR4sq8psXObER5zwKfYfkMBaHuBkedr4e1xUSwaTF0Yc1FxHp2SeAh8DG/I/CQjDDKSIcmqAp0C4JdAStVMhe+Uf42YHbtuJ4jGr5YhAtLPquXXe9wlLcSLqE+Z +[+] Bogus NAT_access_msg: no_colon_5_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: 8rnUgjxVQ0Sxu5h/Jn6751iAJsNFcsLku1YhvORswopPCfIhcgU+kyswe29squtFX7+EY41tM9B6NGFMNq/aNzN1+UrqTnHKPgn5bcRdmr8q/hXhEvRsU34J6LuF1rrTBnewLCZLPnJfg9RTVmaJLfrbanpj7N+aB7k7rYiuOlYljsP2/in+cdDNSm5lVuex2+lIYgPEHgU/otZ3XVEWBG9g2YbfXJFeLyk/J6OChcqZQMhSrEey4x +[+] Bogus NAT_access_msg: no_colon_5_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: 8QHXW65JzHajKMnsZZR0GwobViOI9E0KU9KAGA0Uy2senMbhfWsPpT8fSevNLnu5GYGpQuuqck7I73ZGcJoXn/b0dIvF7nYlymm+76YINYlvbMSO6nX56FdtOV0rKfXV8u8d7G72TXVZEZcA5AyBa7r+W/HIOCNChUFghmHYeRD18BsIsHReFyycz+Nsp8cCTMGCsqk1zhnzqBbqN7Qb6Oi1EU5hTR6MJweKw3nzBP4erE8KNwiHN5 +[+] Bogus NAT_access_msg: no_colon_5_1.1.1.1,udp/1,tcp/1,tcp/2udp/3*tcp/4,tcp////12345, SPA packet: 8fM437QlEJWJtWWeJ8PZtoQBfXNX15O4BFsmrrmftbclzgTourlv2Zovswsc7BbORGzTcHvgV2rDKYKqY61952CKJHO+/qflzLKDNttKg6LPj5i96K5mWjwt7AFinXePOpz0gUcx0+6gmCjGpnsz8oIugZmfJ4bWzo13FGS9Z3DlC4sPxwnADYgMNQtP7Dgm6n+pCuYWwuCVTHvPz+IviJKgSC4Hvw86oiFT++UxO8bPteE+jKM4Ih +[+] Bogus NAT_access_msg: no_colon_5_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcb/4,tcp////12345, SPA packet: +BDiE5tG2DzpMouzHsf4ih3RIf7XCh0fZEtYPEf7uuWfImBHr24uqHNDOsHsZ3HUHgBKqMopIFbS+NUghius+HjHKj0sblwWfmIDemVBiRQ9gDuTG7l0OkJJH7RtOXiRTxA+tVClLFmpPlV1oSNecNjUDtMLCzxrgM5qgV9BdUdp89FBrndP4VoPxtLx2FEmeLkmlppP6PNlrMD52NK9Emx0Nq0IjnbMGy5KpDCm39y3zDrLgLbExo +[+] Bogus NAT_access_msg: no_colon_5_1.1.1.1,udp/1,tcp/1tcp/2udp/3,tcp/4,tcp////12345, SPA packet: 97QmPrZjejRCe3LqpxTYcpIpbY2g9wb7t0H/Tt7YWyrM2+li9YqXT0ZBCt054qPF0qBah+seBnyReWmaqUe31wzPjcnJyTwC4SxzcIePYzoOBdFj9FWAoeFcUzaQrexBN5mmdkcUj+OHFIpMhGuHnVPHAwOy3Gfza+l4fo4pZBRB11Gb6xQprhbW5dk2LOGl0Um6owMqz+q10IdwtPaAKji97+VXBHr6ZI4QvGtYuisLhGuVlA+G6U +[+] Bogus NAT_access_msg: no_colon_5_123.123.123.123udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345////////////, SPA packet: /GIgWU07Jq3NYP/z2ZpnQD1on3j3PyJ8d2VmF92Ucu0O6D7WdAfS3Rf45ZX2nhJ5pnx+/RTjNPrRiPKIVCH55jBzQiIGxCCqTeB2MAoZjDd9x1OakbtbJYwJFZWO4yt2FYSNutL6uQpdwtpuebu4kKq90vB47A3iEj5B4ncpV90i+rHp5spMTeiCsFnbMdfFq4TTcaNF59hIS1ItUwEByseHJvs9YDwDs4H74mRSBSulkCL/g2PB0l4f06xWrB6fvUYLIpy8nnJGNXmz0X6iZUDblJULLtqpI +[+] Bogus cmd_msg: no_colon_5_-1, SPA packet: 9j6dFd+uZWRfnDYBiVz0zGbsYKl/6CKP8ghtVeoz5eMl/4DeCj637jKInJMNHvK7QeFmQMiT/3BDKoHLp73rIvEDDzYuIPaTxp1lz+lpkR4+t9Mahob0OqG6Spb+3RqG9zniVrSd0KWw +[+] Bogus cmd_msg: no_colon_5_1, SPA packet: 9noGKrM7yjGONfSpf0bdNHFFmzv/xCe+nhcfE77F8YKmnhUNEUqMtbhw3yy6d7CcseMPxzThP7gkPBooGq1Fm0hoNBNHQ58aC28LpQtSx7Ni64vIQ34VSc/D/M5CmD0v0eKRz77982QQ +[+] Bogus cmd_msg: no_colon_5_A, SPA packet: +X5gckmMabUzPKYMNM2N1luLKLseVtXBHBp2bgR7D6uCea6r703YLWLQO6RKZMS4DIFH5d3NeiXZHaKII/ds5iluYIuaWikZNaei/rIJqmuQrui5D3f3EJ5/enHWqkbLGkUVVRHtU02A +[+] Bogus cmd_msg: no_colon_5_0, SPA packet: +pepiOHgma8KRTkcTiqqQncW7RoJRrV0z3dUG5caspTUSs+zL5l36ZX3n/qc8mjWHIgyTTZlrr/7bRbosOinF8uL0FtZplOe0lym8D/8PR9v88tzgaVt4S9f1k3lxlNtOVUURMCV6kJQ +[+] Bogus cmd_msg: no_colon_5_AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: +oFs8i5oUnzNKH/ZTEgF8lJqyj9j6mvFrwVktYFn/lziCNrn92EoZ00g6qon/eb3pCOTGKEt4XPcAOMEfHnnv32jhn8hl+B8FoOREqG7yKASIxYuQ89tSM8jH/sWMZ4z9Nc0/Dhd8hTX6ApMpvKL5D/xJTyvLfwKFoQaafGcF6R+ZD/2AXteaQS9poW4/z0HGORRZ1QArwFmJzF5yss+KkDmzdMS3rRQeiP+NggF98eEcKGdDDlndcpxjQvCfSwqW+6ZA7GH2amHDvX63AzFROk33yEeGlDabgj6IGd13KCFYF+8YXhszSQ3lUT0qTTGGRyHAIyxuDI+8/VbHM6SkcLpfi8rGQNwRWE9vmJ+wtZAdvr6JxVOZ9libSIro6ki52fhMe1yFj/ByuxfmL2wE6M4Or44j7y5HaoFWxB0BTwL9TUgnJdJl2AtrnKa3rNAIYoQB6SpCQ5XHEi662QAPc5xwMotDn1VZnKlhzYIIoFRJbBAaONzn7Vo2Z0Yx/HSRCQTvjbZGdojR7lNabuQXM6468Et37T5wHr8v6Zot53iCViuW700xmKsc+sxEqmq6tG443ckk/HPJrKOMnfYJMgS28zvQ2oM7FET6h5aox4nt3kwvTMAsMXH8M1mabizrfxgTVilM5XR4FmMWVwcoakieziQ0Os1/0iWkjW0YDf9cqceh2bB7vSz5cJg1fLUo0a0ja+clzP2L1mSDvcAOBd9R+d3bldI1+R4ZVan5Rgpzt4redVKrA/Vtnjnb5OTPC7Uhy8V/WFn0latIJ3tRVXNdgtfKRfnAQV3PTNYu4ne7dc2c89hdbVicI70KJIOGgO8PlRP7IKAtdDkpJUWTC/BNF83CdzbSdKCj67ScFxqgDiq14tlzXCqkCV18o44tnFkzuOatRqrRvV81ilU7CYPGZjBUGsSpdEGrKDOHRSzxYsa738tzRiQa5eOZjcGQOEzZ/sSbC64RiA3uRWEH6q3qpn4YZG+xrWsbGRxkbFZzHrNOkjeSAEZM0OvlgMEAjYr6mv4tuABOKyLMLPE2yznwkBtS2OdvCtqGkA2hMngWyoWiC8bAoT82WXAVPeFL5UY86ftc4OaBRq+uxu2e56RBKUe2S3NESpoH11sCxwZwumG3WuT0xZ13mPhM3EQ6A83vf+jaLgWGdmimyRIo28+vx9j6Qd22ZRWAdP5cHUvkTZxtULfkJtYwKE9DrZxYlSANjugHi7BwAEGOq44Gs8aXhgK16I1M2iiTvrlJRy21EfHDb3PUMAqD5sEVqU1HFNGTn3GJA0OYegdgg7oOMxJyQrCgJ4M8cWwg5JCODGDC8BHwEMLteNfFerH8NQHVFXVH/fkAv6bijd1NqA2T33tvcUOKN9a2INZck2ZXLiEwifyNW5Ovgw9FjEhd/g2QTbSe7XwSwLATA5DUc7I4bfDj4+3HUcYo +[+] Bogus cmd_msg: no_colon_5_////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: 9ciONJmVODeLn9VH4dClE/eF1ebH1Xnu8f16b7lO4xjuRZ3FDIFGgY0yaNCFKxKRcPP/mcXeL9Tt739UxPdiYMPYOpTGzoBU8hCwEQd2gZFSggvh6KvOWT87okCJU2aNUxjoP/iX+hO/YL/vN7lQFLGQUbuqCLT7crzP3oEKVrakpIiFoVfzeJ6eBhkbTofELty/KNyjjlbEOIweKAWc72JvMui7HoRLoiEMw3nqZlB6jOe/Tl+kMSKOeq71/JSEg3i1HdyJlfC4UEe/hxmBLxbOxC7GMosJr5MNsh77XiYuARVHnveD+R7B/tc9Tz1Br/4or/PkmqJjV3pw0utb+VLbAAwFkpq12hwMfjFKIO2dzG1o+wqIMzr9oGZnqb2YyM/j+AXWzGT+qGn7DV1WzFApcMt3cc+FVE0lW4FkWOxKIFXgFOs2GaDpAhDDVl9Da/oWre6dZGNI+xKo70ZHUXZmJU3q3k1p6A3mCPNsr/TQ/ZEHGG9/Wo6MWaSKK/OW9ZDFf7kjOO1gfP8mwfYYX+9mc2fIi4wPzOOTSziwPXV+kj8hRbwFmCLZ30QjXEGUYB7RTULPsETyXuEq2tbOwVoEYhH3ckRyVfQhlvRCkoj87PfPo3CTvfzXKIzMVbXMDWfz8pSnBj2RqYfBGMXSPbWhWXU3/jb5q7A6N5Uh1qRcPpIDfyk6SBd9NKQhXPREN64v9LlysK1vtI1+XLxv8CZyfO9b6RYJ0XPVZiLJkDhMmgkdPutNEqfuTQFNxqDsfdt+RMdbJULNH9vCCpMoknOHguoLxKyb0SmsusGDd4fNYTguvRnWEEmHJqySLTimBoCoLuGbQJii0Vj35vzDV3CwZUcwTK+TpaybK8/qD0S6nmP+P74LU6I14SHvZRfVx/m019Cyqrn7ftW7tCOd/eF0W3ow3giYxnQ1j4AgP5DIm3k0ihqpn+ZURaK3SK3xNyNAaBo86d8hUZY+BP5YRXY7N9efK1KaKKIBGOp4BUOmG31lnw9PvbGHuesTMl9en+Fcd5yxRIoX9rf70cyf+rd9QB9GzoyV3bqcREy8kz2fgEORpD46PAJqBWc+5MTdxjimXh8EQg4NTY8oOL08qqb8iNhBuRh8XCPn7O9JKTyv/E+Fo+PAJGpXUG5GrvmPV26V3KiHaINWm3eFIvFf+CDLnlrkllMOKm7XAWvbGZLR2O2CUcE0wlcOCSFdtDbBNweiC9LCxcZDWw7PzJPYGt2YOLsqSIaC0aMb4PxruWwzGAgxJLPx9mPE8VO12JCZ9E0GlznM+FPEOj3bXcC9lPI6Fv11mz3m7oWbxsEzBs0zPGOz6Jl+GmK0T1W3ePbfDlU6cODm8E2wxFPYZ5pLIH59mtNuEBHPCDQdQYj9mhy0+wvqGKFwfcvCWzQHtuCbSd/NOL1IkTPoMyXcnVo+n9d613YLKzTyE +[+] Bogus cmd_msg: no_colon_5_%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%, SPA packet: 8mZGGjFoU8opHEeskcbhYdhleu4mMiHhdvn5lje/g3ceEASik/KSLZgzAatLYQpEQtZH6cY1Fo7W988JJEtKV9UwLQkeRoOWrrCgikRjvTV3uumJF8W8CKr74aKdNlmPLZJYeyyCIUe5gOm5qY8dXdqbbmO/tLLOJP5VnDRvnd2o137QwwubyfvO7CCOMbTVF20lzcY7YSsK2p+BHtGsbtT17pvRPgzbF3X2AYdWMvomivMRCpQkryXwste8cSatqjO0F5rbBwaPJfCpH03rpiTpMk0afTy+3YkLDC+7L+BVGi0qnpLKPoW6+baYEZ23n2GNxjZhXTj0lo6dW/gFD5tOZnadpKzyQtdde2Tkc9XD/CDWx+021vNpnjds7JG+PXt3UgVu119UWJXb7tS16rUFfN1+gpYfkRHHVl8Y3KjJkB3C1qy19dFT19RShZHvKdD/q2R4GPam+ePO1KQpqWeYzgBDNC1dvj0TAuVfD0CitKfixhncVVmQrZot05QSzBhmWMVOqcYHh7scMe39I/PQbwgD3UreyWsY6OysvfYUwfoE5Gw/Phcm1kY6GEOHpS+HBYIgZH3xvuUQDCcJpBEyOhTxYMWbeTrXp8ux3blZYLR6M5HHTs5pc+tJAT4A12OfMVhq/RODNy6vTuAa0bnYW/9kLLf5djFXR2BV5MNOopoom4hlKeRZY1ZqO5yqs22BQquMSros0p2E96Knf5g8IHg6AUN1PUUJQ9VMsILQ8FIo7a3w8N5GNwp8o1xCZ2fkDE+pfkkEjwTZIyobDEweukwAW58S7Qb/f9y3l5iY61KGIcmGzN1J1lBURICFnSp2hif6h5IjF46QTI9PZiRToQecKlL2uOZEDlrSYXDzcrygw8MbtqvMAqpxIvsMnLPW1r8/XT/YM3hkT4nj4NklWXpiuB4MVTLrNw4q1x4KQbFSMf+1otdheKtqryCIIwfAHLq9JnRxJDoxkqTa4BZRuztfvPR23hKYnGu8Ftjrnpa9V86XU1WITzrpELyjtSdVMSPwJowAzhiDV/0a2cfEmwACxhngUs11kpqsARg45rBJtokQeEJSlIR/AeOaaf3kBNO1cUXvpcrRaevZKYVYrb4Oty//zuJxge1YwFk4c1YGxwG0+Xl80ratWf1PzjrNKYCRbrsa5hugcGY0ptgCY1kjLHxvxMgfW+3wm+EvqOCX4u5HZiMaYn+JdQ8seBA9JqmkEmthBp1W0auo/S2WN9N/MnIbRHmeR1mGfv7GK5LFPSn5m3Dn1AXyfVRSYr0P/juPEmtN6wxou26xb/33G/3CqH6heGxUn2oXFuvdtg+JT/diGSRjJSEzoy1SLWDFQjzrMlCw/V5LfugOPuC/eGL4CIQSmcHYoheqIaZir/bRkOGCbSn6xdNJ0SF/sIDiPNuC4uydpgiuDqK1zvhx36Q9UZg0w +[+] Bogus cmd_msg: no_colon_5_::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::, SPA packet: 8kMfHgjxCgFyVzsD1KPQWgBuUcFN3ZXwZ5h95R2w/vzCowDxtWyw6suTh8jMddkDwK6x0ryWJo6Q5caem0R1ZOqkzS1IDRqew8Ly1AcblIsbCWbUfxeJZuWLY5CbrCoXcobqRwE3NgPfaQUVGwrLP8fvhR2mPczMgWVnwtmNubCfz0vH2wGViInpiV3t1XjJghmhAK3maD7SpRtEFsO9pOuv2hQLDmnty5d2l74TacsGXpm4ipGNjBx8qf7KBhrIamI1jbxhyYEK19rhRna0KAq/uWmorJE2F4nL+22dE/RPzLi5wX9b0v8oGgDjTniW8rW99MI/PdGbqHPu5WSa72jYKWwf6H3TdREb/zfobwunvZuDGdAYd75yUJD37eP3DyzCI0Cmrw43Dxt4SSyWZZ3uqIe9tKTN0VO8VgG8AZ3Ooff3uedEJHzhkuvXpu7TTLBIjno7XW2mlYHzm6xrYH4Ux7du6YlNjuFA1z+uroAmAYMM3mDydadE/2xJ8M7sCNWXFualdDV2fGy10EDje9rnZk+3MFfNFC1dgkvUfYgArZP225RVyePQ5QPgui5lXdAc+EG6nc/uXOBkDuAfQLDUvPeXiX/hTSLtzeCUi4Ak9WcE/MfNIiLhMPytHwVEmsLLOYWNG2BE6kU24toAE99XyGSdkGxYGNTHhY8ie+X2T1r/m0w9jOlx+DdExGhBo8t+uzyJTGzHbeug5gXI0otpX8WqqH5CzwTKJ2mf3LoBRH06TTSD9CplTnNSx4BFIFB/c7A7cF27VSuExlS9n/OfVzj6thasa77QWh64b3xyUPbHRG4W1V+oO0Puif9ZCQYlvhXa1efCv7ufXB+Zjflma0MhUtLYLd5g9epZww8QPG0vT/vAVRlqEyJuJIsr5hK8UrVznM2Yyml/kRr3ZvKUaPBXpTwdw9ZQAr23bzwJGY5Gd1oeEBmMnJRUDvy7uB9DV2gKK/5c1u2crR+AOrIVUOfwoheBSCMboxsvwZ95bBjSWYD7jVsb7hEMgTJ6Gr25n6YS/rMRu+rA7xlSzyjgCvKNzSPsTUrRVcjpdI+qTqcQ3sWJRw5Zz3YQT1doTc62fJSPZ/5ocy7gLz+WEhwHQ/BDkvUMIphfiXoSEAw/vobLHlUc69W+k9as/7xF00aWlO9Fv9v0XGnsH527GM/ZTAwSKm3vphggjop+uIasSV+6EFnG1I8rOGwJHPtNbNLkJhBPN1If/ewjEHMDwB9xFaW7da5yOwELW8J8C9k4ZqGs3iFLfCv2VMC5REs70VljcNCx6qCtAkILANj1agBRqb4HCkN6yGbfjV3dUOqMFr2iOT9iOcpaARYwYfPBd7VRznQ5FbdS4mDEBvKVWu8hkp/feckE0SLAbNQjZNpsvwOKlRRLFiU1KL9xRNxiXL9+OCVg7e1ymQ78LE+tM6mU8Bq17a/tI +[+] Bogus cmd_msg: no_colon_5_(NA), SPA packet: (NULL) +[+] Bogus cmd_msg: no_colon_5_,,,, SPA packet: /nTwlEKPWr6fWYe/CGjJ1TFK5YVODfDhzl4bLMwRAzqW7Lyw1eMAlQ+kjX2Z8amYE53aRcAgYbbvWcPA0gyFt8roDMR6XlkyKOWlEUm5k2D8tF9eHUluAtwnJoOvf1kpIuCmU9oces9w +[+] Bogus cmd_msg: no_colon_5_----, SPA packet: /2yhWFMiOtAnIt2/ivb9QrqtRGm7M7tjduVPwd2QvisCZJbX5blp6nKfrABkJEXTXK+2laRsZLEuhHQmyvf6HExeiaTrONrlXapLHCRzaLzg38rcFFNz5hSsccVY+m0L8Ihu14U2jeqQ +[+] Bogus cmd_msg: no_colon_5_1.3.4.5.5, SPA packet: /1+BtGVxAV7Yug8p0LzCvt50GkSV77mp5YTAVfPKbApWvKjrNhhlRjF6ic6nc9Oux+K7IKKd7yw1I0wNykpqtPBir14LyPwQ0wVQ8w7t48kAj481bDd3s3xA1z0ex8vCzspPZKgc/m2KZR7aMBQgT/KI9K2WViKCM +[+] Bogus cmd_msg: no_colon_5_999.3.4.5, SPA packet: 9+GrS6HHHZgS2fYe1QLBQRRgKhgXeT9ZoJIzXy8ZMQYU1CEYm0DODLoRI9Fyf0eS10GEfodHj+jZ5O4MpViGa0V945G8CNcjIENb/wkvfL9fnmcJXNC9tSFOJ4R4HJXYMnF5Fd49l3wx/qV8sFGbwEHXNvOZqGJF8 +[+] Bogus cmd_msg: no_colon_5_1.,, SPA packet: /y2CIqY4X1tOuT5zZnIsb1tM2hDaLGHMIProevWEQKyvUXQ6cWVfZ/iv7Asox09L7a1HS92HnIvUoeFIq0cAPnHo6zgslDwqulj1hDagxDq9lQWEchYll9smFbTGSM+B64i1EwmwVw8w +[+] Bogus cmd_msg: no_colon_5_1.2.,, SPA packet: /yC34N0u8NJhFup7ycnF1v5TkeGM9jDzFh7fZXOo+ddha31a8U5PTBQ42daz+ehCOeM3GMcmXaJvzUJQvhmZN+J6WH1Yu5pvU8B9pKnZGF7kuN3w+8XRi2IfQk1Vv2KnZx3aA5k84K8w +[+] Bogus cmd_msg: no_colon_5_1.2.3.,, SPA packet: 8/MlTaRcPBygWWGuNkWzMdx/XcFonyFNNx2+ptOiUEsAuQxm8BsNRwq6qtChXQ29txsrYG+yPsEXV7mYWjg8JB+A2Z6846U/1NjTb9L+1+aknrRSqH9/n3qNCFpyWVFR2euV4f5rSDTg +[+] Bogus cmd_msg: no_colon_5_1.2.3.4, SPA packet: /2/K6OH/8uuZ+XgNwCjWEwaZb/7f+LcMsog98Ukzmd6T8omywiBFu028APD6uKgF5f/hB8B8K6YPWbUMsTYoLDlGu6awGyePxVRImK0mHHXBEkOwwAOeLiQQbEboSaQqytXPX4sVtuAw +[+] Bogus cmd_msg: no_colon_5_123.123.123.123, SPA packet: 9LPdyD2sHnR+YBXJQ18zgWZOuAopXhlZSINU2yNJJSI/5PvCJDgv8vloeZGgo/EFGOD1DAx5wwwxGPG2kASdnPzKvyPzV7eP4/74QZDooim67kBNTCcECSpZ5rdGuLw/SBemwUsdNHBgDA2kgZEiwrYNrXstrz0N0 +[+] Bogus cmd_msg: no_colon_5_1.2.3.4,, SPA packet: 8jWPlT7hOIzBWK07X62I2uId9ipTNHfd548+PzQLx3mn1BTT8TvC5bQhm6/LvR38+f4b/+Q/yYqZWEt1BViSIXjUGNAU1gxKVWs8mhrMeK+uK8sMuLXDQ05aZHotk412hHZPTGs/laEf4we3S8kLv+fy/CjgHYVb4 +[+] Bogus cmd_msg: no_colon_5_1.2.3.4., SPA packet: 9tm42NrvcWOfc3MS5+MqXbANt7oIpCOaeGqplcPUpu26JvXFu8SfgoJduksdnIRKapr9qQcuZsNrySZuMludV6K6ktrA7C+GfIscNUxTnFNEb5TCs3fke1n+LJp2jI2uTObQm7knbik25uFeEEJldE4IK2RAjGdMs +[+] Bogus cmd_msg: no_colon_5_123.123.123.123,AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: +intKjExKeLXlCbzoXWkzkdc/sdu8VLiHGGHKoC8Bc07Lt6lu/Ho3cdWJCGPnbI5ygM+tIicDOrxTDdQ6zq8/j0cbQ2WB8MGvJ6cvn5W52oX9uNFCIgwusehZrji+p37TfIchPAl23g/cj7LenZqYCsdEr6HVBqN+wEIp4Kh+6lNS8aQAtFAKmBpDwWEL3nquQu/NTPQW4GFu67hWDPFb4+2LIP2hiQW6nSD/iVin7yw7X1paOcAQKz8Ed/+SXQx99o46h/cboZkq2RIk5D3eZFiGeRLWVoENvO6S/Wsrxjq8rubutqnFzfPro4tVXd2xil6AccrEY9EsiZB2iM5COvmriHjadRlU8ioDIwRSepW9XCs9Pmso1A1jg+78mIxJ+G53Fp95AEW8Coh27J847wuCstv7vh1l9UeZxkGoPbJ/G1H5xsjGWV9gs+g+M7L9VydgY5v60pzMaKbsnMLMd1mb19SyAtcy2Ud5ZQMVHj8v+Wnjd43G5k0cPR2+V+seIeRrcDM346RIIC3nzK2eswOG1Hsmm4ZRN69nYLhCLVP1sdo+1hmAS2Lh8GrbTdQmpokjeYl7++auYthLlCuG7MMpM5+UVbZkZ+KlJYdIUIZ4a8Y73LTW7mUqakNX99uQRtLAy3DA7bMeQk2HQpaXKOuZBAYkJL0De/bCgKJk8PF0Y9HjkEjBBiD7D9aC6q5YEOMXft9wRdhMymZGnfEeGnP8aK3E44OaznPeaNRerY/QMZm/r5kclKndYfwvhKkhIzKaQf4bNSwODheMFFxzyOerglBwOin9uNKb/0ZaYebHo2sC1Y8EujHJ1jjou/WwwW2YV6NEO4xkVZt0I6NWrt+MLvBLlm9N+aCAtwBz/V2z+4Nra9qBG0QpG6P+iIXUAngITu0sln9yW7v9AJdOt9GNea4aej4HsKfrpPBxZgxkF1NA5Ggkxfh4B2rMB6k4XTyRpPG4kEE/A8QVcdfCxvoxAHOxT/CgP38wKdhpVj6uqqsqzF15Qqqx25qy8k6rK4YXAAV25ssB2ExHITGPw9FcjE+FgaOu4ZMfeQKzeza97JC5UZVDj4VodGmMT2xlyu08W69T1JBbMOi22GUW15HQ0c8lciHGZMqMKJEAj2v8iAHFclkwiUm2kb8zuk91lnZxQLzlbsZ+lN/us83NSr1iEGe9iLqB+oYjx8OgXIV8MfCcTMHuuxRE0wyEmpE+0KQYEZOGFu15mdag4Y5b7Iy8mBXsJYsr+TnipjI8Buou6U7ktnneA8WE/YWJPVqnSsq9nzupmuhXlGtkDWlEZ4IZX5H2amOduu8UnU25qkGcIWAag/Trf4geNw9k47hEhEK1Vv243B4KQCGvs5dRNa5SyNHFZKwjDKYz3VGG2BP7lhm9SGHGNZKtDWZRpSRvYOx7NxsZhW+J/6/tWopwCvCHQy76x+F8 +[+] Bogus msg_type: no_colon_5_-1, SPA packet: 9hQowu/XIvqieYzkCv3KckYlO9Z//Zx+oFKJ9pz8PjkyZZNh3ioi/w5+umU8+pjSrKvAQgGkYdQvfSy86g1V/ZrOu0Cs/lJLg8vXU4XnP4fOqL2gLnysRbI253xx3qa8QECEhYfSq/QowLgu6MJ5XTcDpsz9MZJP4 +[+] Bogus msg_type: no_colon_5_-2, SPA packet: 9JbupmCYzDMuW9QzUwFffTQewWTAWjxWgaTUFzFS1wcPWTvFXoltWu525w4MDfCLKulkbg+ximqh5shtxZoeEYVcMRAfQpMhF8mkNBQ3AUk1VdbmDN13civ9loRSE7q+yIEDz9diRWlWSAjH/+r1CSIxTkihG83q8 +[+] Bogus msg_type: no_colon_5_255, SPA packet: /lNJLy0ARHsgANkJ90VaQGsvamt9p2fA0QLOHks8Eukmi2F1XO8srrA5Eo8MdH+KhKVERDJt5Dj/Dx5gGbiuY8tNWKA8tv57InHCatNHctPYJ0QS1/07yRVVhS1GHd/Hc9WEBzIUJZwOLUb+2kd8C4LIoxlPpr+R4 +[+] Bogus user: no_colon_6_AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: 9JL3qqKPYtCkPw8JsC2mygGRmstOpocPdsj7jAaq4cBx5RE1ewgNMoLoZrd+SkU66I4N8JiMV7CTvNnPwI2+ZrN9mWhOY3VVd2y6gyUpd7Tcq970beQl/RSE4sb1+Wsum9afPHaLlA8HfpvZzM4UDOzQt5DFYhNvJbVtCy0BeUlueys9hu1C8X9Zw9gYuerJTDOTAt5FmcgpbKViSEruJiEDajRlMed1Uboinuh82Y2kiMrYgPUB1h4nbePDvU4emzfQ2N5mP/xw +[+] Bogus user: no_colon_6_-1, SPA packet: 95ojjMywG+mgRykG2Eqb/78V2MUGwq/8+Dia2s/st7rZdjxMWdOB9Fxyh4e7B2rYp7qtGiFnOovZLUp1/hAc65tjKpPeXoXQ+Jc4FAan+RfnPNsbpiUoQHW/hTNoIRQCfifhpS+nrg0/rAv9YL7/Xz9lonIRWCknc +[+] Bogus user: no_colon_6_-1, SPA packet: 8MdmzYIB/LYOFmvwwKRNyh8ApPHAdMLhP2yfxTa5CK30I85WJXmktQtp264CZAT6CqBEEsTOeZJGO/jEgouMAsRhCYrGRyh55DNipEGFCQVbuunHmukcS9Lx4HqZWY962YPy0NRQHzjmLbYZaakeZLzChHFVQetow +[+] Bogus user: no_colon_6_123%123, SPA packet: +QgkL5+d53iOA4+NlgS733e1gTnL4/wRTsO3MnaBA8yAlwCMPOpOHbWuIuvbStT3yewvL5/a04hRvkZlnw1UM83owGwNCklNPlhhKVrCtwTUucpT4bMhwYbDBVOcP292xyTxRnHmZpSKVvEkocVzvsRkF9K4txIbs +[+] Bogus user: no_colon_6_123$123, SPA packet: +KMdQ8y2hJ1ED0ft7tzgS8LLzxfKigEaGXJ7boA4K5efrSO37I7fXm7D8Y/5hLrG99Dlfjt8iE5dyAapPx3mFyE973YHu5S0cv7JbpqBcJuprSzOHat87Av5qeBG0RE0n13tw/IzHI5RgqeKYf9P8omiugGsRlbJ4 +[+] Bogus user: no_colon_6_-user, SPA packet: +3MdEluwm+5oI0DbAtdDl8V9H4RSbsUhx4UO3nA+fltjuDyjniBmQlJlbad+SAOxrcXiF8/g0XR2MCmcv7yT5S1/Nq7vV2StnJFcSBf3kcM3M4peqZp3sb1MlC0MBGuaX7BD2aOCIFFQZbuhnXISFhBcicP+cpRzY +[+] Bogus user: no_colon_6_-User, SPA packet: 8WnYEMIunt5Ca8R793Q+d50rO1I5WDMtVKrih1aVXwVzzG9xH6YXRCcpVKg6ZZV9H2c+vyiThFGvMipDGD6ZzWbxfg2hOiulQ+K3b4XAQM7vl8PTu/T7E5ep4psD0zQ9DfzHm3Ojv/ZRAxCzbJXc5A1eMdYcxo40c +[+] Bogus user: no_colon_6_,User, SPA packet: /fqpKAdXqw6JwY3HavqNKvXbSIRTlNhDZJM66L2Gkdm4wt47TotdILYqC7qbtfTmoEHuY/BE6Y8XWQ7S66o0m8woq4mcfrk6rhUooI81ZPA8ZJRekwSh/lXEpSmS6Bdtb0lyj4OefLkFgLdX/zQr242SUoQDJ4GDI +[+] Bogus user: no_colon_6_part1 part2, SPA packet: +F4wpP+6jVR5aLmHhl7AI9l20yFl5GC8L0JNLWIc/v97oew8BvQDVAEHXui4AH4LJLdl003o/a3KgPWUbfTuOaR7YuKuVn1wQVfVRaEMAUhdrT5POvJHVrJqfipkq4WMzl8CWLPuLgjxUJC0myqeWL0GXAYcMMnto83whamaigx3Zl9QzhcS9L +[+] Bogus user: no_colon_6_a:b, SPA packet: +gnkegEd4mtVbV9yUIj6xII7dPjqAlnlw59fzUKVOqFPPiQ9U7bxVcu9sNhPqTTq1M3PZss+hkltoPi4HhMW/EtmM1JlI2U8xUc7Q0xYUKlTalp501S+tRAuZ7N8uk2wccRd1JLYnQpTI1fRQ8XZqgIAB0tonfe2A +[+] Bogus access_msg: no_colon_6_1.2.3.4, SPA packet: 9m5NRTfezT+SFhjXPxikLePX2iuyaN8FHIfTPJSvJQ5qzx2FKTHP0PTfPdht3XfTSoybV+lgLQuqe4Zg0/3/csIu82/CMxcZJz8l2YTWb2FvfLBjJMUZh7etZgGxWO7+hk0vaTx8wjPZjoXRbP4OqbEjobD2/R1N8 +[+] Bogus access_msg: no_colon_6_1.2.3.4., SPA packet: 9Eb0f3V2dhXJEJRjKQyqT/guqcrRXDfZg0evIbL9ku8hsFEQFidEJY6aMTeqdQVinm5I5aDd79tpPdCQhd1ynP2djuxDIIZ2I9o7Y5vmTVNMrzl3uqbyUqXVNBDR9s9YI5Cyjq0Npz3nn1/gOgwbI/Ei+K4hW4DFI +[+] Bogus access_msg: no_colon_6_123.123.123.123, SPA packet: 9Ug+BkdBh7fLW0Y9KL/4kGR2MGXK2lBfPhE2UhmL3w5E8I8/ye23QOq3ETGxcsGSEM/s/yt2xEiqsoeA40umiBuQgZ4jv+cJt8CcShP5yP73o/3LIza7i11t7kNqnH7nq1WWhkE45vWYIppUHrZUMNgLBRoFCL9Pg +[+] Bogus access_msg: no_colon_6_923.123.123.123, SPA packet: /k30W4OGM/SkwO1TPjKVFht3QL6VciIrJ3BJ6D+oCIVviUtnN+I5mG9MlGNnrQG9u0T4TNNdGgL/cozg/mJ1Df5EaK7uTuoq+zvm/wskpE1SnK91BTYbpE3vaVA6vPlwURgrE/GXoepJkGzbf0Eu61EtH8paYwoyE +[+] Bogus access_msg: no_colon_6_123.123.123.123., SPA packet: /kHb3TwHUxNWuZ/uaVjtApRUTPpj8E6H4KJpXmVt5K7g4drg6jyOdG7PpycDKNnY2AOoIV5wS4b6h1dUZsv9efZ+ONd1bGDI5XMFwzC55Ad22nvbG3APc+W2OZ6HY6kJSo4fy/rkFSlUi4GKh8qCrkYDgSXyrD2Jc +[+] Bogus access_msg: no_colon_6_999.999.999.999, SPA packet: 9pAzwQjbhQ5860V4JZVV8ICIHEqJuqAckDpElnOaOXk7HTfv7IE1KY8sCMr64TClzLFNJhhp8rtPmDwP2DAwVFrrA2VgI8Yd35ax9RdbIMK5Hhen8f16GDb9MUpUJclPF/eWUWhYiXUMbjwkvyp6J6nGJrFUQwVyU +[+] Bogus access_msg: no_colon_6_1.2.3.4,tcp/2a2, SPA packet: 8SSz9wH3Tce816nBD0ZMfd3IY25477+gE5noXi4kDhIYZLexjwpENNJitO5AE7O9XLW0VgTTHoMG1nDwNkWpQbNqEuNTXyQTTiqBqMXgVkXKOFHIh0y3YlXG8SVoGVsyCllMmrYQ47SMr344pstIpODcbZ6aNlosk +[+] Bogus access_msg: no_colon_6_1.2.3.4,tcp/22,, SPA packet: 84cZHe9IlwZ4h6QBpvEJAmYABZ/2f14q+iVTLP6AfQbP0+zZ/nYHo246r/UoQ+GLCeHNfjR8IH12ONzPwhHVUHHxdvzHzpgXy5WbUN8x1dURVV4tKca+9tbCdmpPlv1KNy67IsRKkHdFc+UbVIxSHrp8AvsLjV38Y +[+] Bogus access_msg: no_colon_6_1.2.3.4,tcp/123456, SPA packet: 9HR0Ho6cWm3mQ86ByfeYd96PxWBj0Bo14TGQjoIYwNbzHdCQQRrlhEBjz875ReOhwCXM0Yvr4wGBsUs9G7C9b61vWjOes5z807zgms/0r9slCBmmTqIU2JTACQMRhohcxOCwinYDEYQyplEfr2lDe7QE3ROUMUV0Y +[+] Bogus access_msg: no_colon_6_1.2.3.4,tcp/1234569999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999, SPA packet: /i1bftIXR/QeGZGCGakeMXZ2qzhpftfaOoRIQiHbk8/hayAW4ozy3pV7I74oIqihDTK25zPcoZT5z7ZJIqvPZqNmOxb5NkhRpPnnvT1Gi6W3roNf3vk4H+BlRiEJxIaEdO8Akfc7/zIc9MAhfPokx7ES/lCd1Dnsd5CLC5sb46nIC7ODOhTTwC1vKekMXfrSvxlyIVQtsiBdAcoqW7CTMM5cFhGm/3q8QmrMvUPnephNSPNSQQ2ehFAjOcXYcyiTh0UPXoh8Jlxk3ypUUZx1f6EZtwt/VYXwmTk0OmTQMcJwlGUoj27HZYRW+3qLo40P9qFyhwPQHsfVkfx4i2ubkjvwd6bqhIwrQ +[+] Bogus access_msg: no_colon_6_1.2.3.4,tcp//22, SPA packet: +fSJy31R3FD5k29JJeHBvr2Hy/NjQ0tSjLBiE5TDZyU1ynJBUcXb/CD2C3zB+C7vJeu2ZRLJ4nPCWHRoX7lieE6WYwxeTynitB/N+oRgzOki8WEQxpOVeG7E9ZF6dZzMuchsVn6lhF9wqwT2+umdc7rIbF85Tre38 +[+] Bogus access_msg: no_colon_6_1.2.3.4,tcp/22/, SPA packet: /i546rxjbOXpbB9lgkAKUHV1qh90rkwKKIoasGVGGO4TdkXmj+z+JAKOQcH9rVY9Sj22b9oUt6/4iOa8xbYKO9YZNu2hvNrPrvjB5TbAdwJrPvqt2bOxyqW4o8Q7+ibvjViADd3yFHKHGCcK1tp32d6WIxi8qAAII +[+] Bogus access_msg: no_colon_6_a23.123.123.123,tcp/12345, SPA packet: /WCn2uCljRlwkfE33+hgNx70ho/PnmjxdZBpyhAKgHgWxptVEv5Xn/Zkp2KmrvOPLjss4SkgJnj+6hNttIPwD3lH1+XXmD3c71piskfu68xAa8OM0xdHLRQM0o5BHmBn4gSVdmbFaYP2UmU953m1LyCefZsY0f50P9cAtbhhQdXPTFRoAup3pz +[+] Bogus access_msg: no_colon_6_999.999.999.999,tcp/22, SPA packet: 9G9twev3WIiJvZOOHD65auv3CIjDYUSH26EcyYXZBee6dhUni0+XOnzFrZ0T0KlzqgLUwIgcwFWzVj6/LhmAxD8bwSQRG+pDF6CSc89xOi58hqrbQvsp9qgb+bzC4dta8Lc3ymERujXHSEmfEAXg7ois2cWhZUPrZ8wwmu7Y/KQU/hXUbuzevd +[+] Bogus access_msg: no_colon_6_999.1.1.1,tcp/22, SPA packet: 9tNCBjAPYgDtTquOYZUOTUw+muknofCsWMo3KsHFtNjYdS5VMqimO0RllIeldutADUlltSYb5m3BUdugUoXnYg+U7KjsOEPpha5vAA4mNkFgEwwGjH20MbiFEOh+OyrOPAGJynUrhSICxKoazeT6P5CuWXf0MdHUk +[+] Bogus access_msg: no_colon_6_-1, SPA packet: 8V4K63Ia3xxRzom6zDsq8uYi7bx2trYUJzl/rpFeNQP7ZXbBOJ52LKvT3hG+3gy4drSVkICJQbaIM4gZ3IDHIyeHKsEJd+pR/2QtY4sYzuDaryL+sV+2OhcENB1RMcEOcxNljk2LR1Cw +[+] Bogus access_msg: no_colon_6_1, SPA packet: +FKwngriEh5VGsq2PewYIEVtaNruR3+HGZNi1bug8xWP1zrOm2C6rH86rGcxi8AFILP0SRH+VRnn7gh/HW5TIuRoxjvpiK+4TTnSgGk89MlcAU7CdZZWr0DKo1MP1sZqtProcrVs5HUA +[+] Bogus access_msg: no_colon_6_A, SPA packet: 9tuLEj3GI2ZEkepOxus+kK6qvP42SDusoWZTQYNcLPmKudlCZOIJr6cvc9DnKw/G+WzHc2HHJuadyELG6a4qTvQByBubQ8wuzzwag24/kH5Kwf3+jweU2MvI0PO8w8lJQLNcTpf3qoLA +[+] Bogus access_msg: no_colon_6_0, SPA packet: +Jf5pkWUPCFeoCZxG1L7rEVatwmMGN7mOA/HVz/wzHa3mGBqzakhZTZ0NyVRAV8f43pgEH1ioOc3kbJXyWwWC9DizwMMAIsQ0TxqdtObC7n8BBP17w2Kmv9nPGC478B7J65vmHgndwLw +[+] Bogus access_msg: no_colon_6_AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: /78UQjiAfwR9gG0TJO2hHjc/SN3uY9mqxSEMHigix92yyaW7OgX98p2A6B3hFRQBG5s43b8eF3mni6GW/rYFLAopxnqwgY8ze/rz1UHJC5kffNNvF8TFTzVRD+Wmtl3MtkavGW528ACztieDSDfSq6wbocVc3I5lfVH4XfQG5WJIZSnel9mpVip/O8auwGIhzwX45QXWk7CQhedphvAAd5Znmup5OkCj4Lhp6qspFm09Nx72z8Zv20GywYgDrCzX9uVOBO0J/qmIm0H7Zs9v5Dimhb21aN3Hx6jPsdZ2o+G110aoXOMcx+4QKE8KmM6vRAEJhDUEG03iJgcdkiGgGu9U163A94kHOctMPdGUHr3mv/ohmOuBBB/2G2mq85NOnESIqyBh+2hCKL09m7afiBLAqCkMsPtf3UIVmBdto864/nuI4iKEN1Y1vL4qAdmatoxWnYB17ulmrB62FaUp/uB8NNA5PJWP2ZTvM+PBtFmnhVjN3XBbw/lMHMzXMUMVKwGX0+gA0AE9TiLzbDxwhTAySzUPFKGvBCNoc0eiaqwfozOFJhuqYm/oaDxWcPvJQeVWhOKuiprbjDV5Dy44YlViAesFRyh49SzNW4O8Z9vfc9BaGi+SxySuvvYbLSIq4vZt/dE9WhgJKie/rx+0+I1Pnrm8zkYULLusdSemrx2UMYHJnooxVhrMFZCsrdad9/9JFSnpAbqip3oW3oA9mappJJe7Ql0Y6jBqgM5b3ua/xCFQnOAxQWN0GjBB56ZSTEolGxtAC2lzldU7F1Sw1tRrsDLvcksInLrQMd3q3bC4lkxOw6+pIP3bKbEHiUFcC9M9loKSqj19FM5fKmR9FPEdXUqUBTNWzZVE4Km5L1E1ljAsrobAPWVvgRqsxR+M9WkhTawAU83R7fEarh2aW2DKfcosJJA+DELOBqNqEtckNk/6pQ+4+jff4zc7RRpJOjpAz+ft+Be980fj96yK82brQB/qDAPsV16LIM+h8rcJkDB0HnSMKvALjXICe9nN31CyJpXUbBSpEOFGVnNK57HoAlCR69jTazLy+RYLpuDuqoWlgt26GjabUMLMmIpFvMYIBIZEcQ9mYiCKH5usfj3QFgxTgKHRJVqgAIvuR0vxXyMMQ3qW77x/pRATnZTzQ6+Ay9KyELfYFmu+CGpTU5xkw6OGRCKkvnW1UYWTUV8k6GE6wrKjNtJbftlzeVhJ+AwZGQQDbBu4vnxc8ITiEg9dl+THUJgt132MIttHyCHnCKgVO4qiZGIuigLqAjfo035/e7eDVFfXSeKF83e6OLzd4YwZ667k6/NvWfqlpBOpkCDoHShEc6gncA0AZrfTGYZC8/4LS3Wu14ONl0sKT7EogOlFMByRSKHY9QLv2GePywE8cHsiR9iq6fCYeXQ26kxbvZ/mdI38f1De0zPlr2r1U0F4bnlLU +[+] Bogus access_msg: no_colon_6_////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: /EPc+p53RUkkcwdAWptXQZAsy7x5tljWwb7DQhy5dQqYdKBRbhkPujrlHHs1acyWPmVZyIYkjxEXTy529BOyexpGPvmmjFfzLmfRdQn73UjljsV28IeiECkUvKOmBf3uqml/Qb9rqcRtUzyPzb3Ic7U7SiWfG5WNu7gaci/pp9AQgc7abHu/qWLVUFnGtmr2jfw9aAzw1v5k+PyMdr8M6PfOratBS6suLhbdbZIm2hbWTKziO+LRaxXj6+KRLN4GWuMY/VxrJi9+J38P9i4VUn3khJbjZ1RYYEUV6cvD9AmFXPmEs6c85Ksr8ittTbWYgmz2UTGFB5qrsg5/cUzSeh/xKmMPnVvVnmiFfHLNfOoCnu7p0voKkU8BNjWwKk7Zw6451PGcR1NzbF6IYhOZiNWq/nVNimB34i8PtI0ik3dqGScS1hd5U8hMyeDKf527RP4qHjS7BoONIgdsh8bNrzdrjmWDySshLoFIaoq2AOFt4Mt5pzjCLrfVgdoCeLvTufqPFedtKelxfJ63i4ID8TRaFYenAMi3vtvz2XZX3cfFnXh/oHx4ISP8RC/9QHO03HNxFnUKV7/QW4wFwr0M2aN75v6089eYSR83X0qG1TdgVzhM9P6Dm95ygKvWSgisuQ+26gfr+4PcDwAzQjYTbQi7mMMHXIkjR7fCVcqUKjrCv+uZBTscYI8vsSA1JOzVvwM3ZK2aXRHgTQSjfPacC2/1F3Y4YPs1EjsIkEWwPS1u1v7TGdiE8pJp4I+nl9JiNs7+d1cMEJZA4f0kJoZZdSLg75Ws0GPYJ999P/GEM08p/TQTvz+PaXCNwGLrfWgGb7DoC0ZAEu8fWyblVi7ktC68SPx/c5KpQ4Q7XMQ3azaCHyYjJiAjLekY5T7zhIH8VUDsueONFTKS+xoTnwjItTA6YLZu0bYLPVv++7zEsjszf2xagyULZUo7gF6yKObv/eiJ7G7SQ+bWC6OoGbJTjMtzZPfbJzCBJEKlin0G2kpOMODt2u0t61SVHvj46OsDWN2y9cCT47gbUuexmuSZPyRxQ1aDoX5O9IO665/agtA0geytvaBBFy9t+az18zrA7dehOTDIlWgLPXk8FUm/u21Qt3SXQHoSzSHcJNFk3+GaHoNJdApS7DhLUqgXHF7S0hXGzGWA++pzrGL8US8oRMPPs0+8K3HDqvq1WuLU93M6QoNxiC1S4E8Dt54JxwIYdqgyLcIy7Q51U7X6t3mKWKXlYxcXrGDa37VvL0CgNw7cSp+5XNLWUyL/H6kS1lpX7FF/GXIsfohY3E/QV7EmI6XBxjdgPWjp4f5pJRegPlsFN4n0uPhYkn2jYJMB9TOZcRzXQIB4TSJk/SdXJ217TltVzYIp35eB3lX95mO0e9cmDdc3Q7Tb7Bzpel1AaeF5nQUkl3CjhP3EAdzRr8MJIbNkF+hzixlNw +[+] Bogus access_msg: no_colon_6_%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%, SPA packet: +GV4fykJFZTyoA9VttIlCoITSWlaVnrdknq62Fe18wVD1edQ/JhcDP9tCtT2KghJui3Z9GFQBgA0oiZQ7YjGg14dGiVjq8NRywTEq0rMjhoFkwkhL5XpxiIoIStGEGt+FoQocbZU4f0yiO76Zcjhr6mSBF4tA44gGMTdJ13JyBqf4PMb7v75dlRHXqHGmOr8Qa2Br0VekDdMCzf+t9kxHvZh0l/JG/DUT357BrBpVR7PYY6jhIfoC2ZhpeORj8vju4UWPo2Q1w1SOTYRLaBKQ3+xJjPqjG4O9U5JNbYQjxrKJpp3Jw88VelMb4hTKjyqml1u9VsHxCb0YUUj8eNrR1mFhgKoUQPgjTzX/m2zd/h8Gg5jedI4cwdK/myamVOCcgWofq23+hTLeF3TyPDfwbEufKVLp+3GgjHFWDWeYEMlh/wiYmlzckuAUqOR8SknNJ69KN3j255YCkB0QUlVvNr16W/QsW1+XNC7oOo9HNxGlklasOnziD+xcvkRXlPoGwfzkeToA8hY3yr5mhljO+aLdji2Eg/P8qD5pYsUVqzxnVDLaO2wK8IDX4f6RCNGmoN3XOVK4ydKssvFFHGyKQE8BUgIjZuPeZdh3IbeQ/5A4B5RU/MMQrs+FH8ZUK7YFLMOXUUQhLMSl21XlFRKBHgkN3nlWomT2NzFDytGJc4E20gShDIZANwNGv5itrpD6ayKlp6HzAA/mNy6oJ8ICk3CvDpIUSZD9h0lnV81xLMYI0Utw2LyVucnuHAFFAAAKXI327erKb/8ZRtWmFB6cl4Ngog9XkP0WXzC1GD8XkSfOPgghnSJiJ3DLLgzRWGD1Ig7rSVduK1Zpkxdg9jX8L1ok+9JAyH3o6TXYflAYbxaEmgRnEtdirqFsbzOMVlW5RdAyvsMhvmdAtreHmqfJZ5ADHMPxBG96hgbJE0N2jd2+CbcwYRqucajpJE8mm5pnCidoYGovh1ePRfn4DUO9B/LAszdietEuxbsuyBvzBKHNYdwWKcUMjp0FGNrjYrozRWLgz4/l2ivHz5gv+wUpfHtjm2pBYuWkYx1xOze7XemOI5ek2VR87IZDrsG7DZ1W5U/o9eodk8nHbvscItMID6E2OlGAXixIqRr8JTv7iYj1L4l0rFiUAk3+sP0ZFl/SwwYQkPBlow/vtRnkwG+1UKx1E5CSCllAGx5rUXJdtw8Moim0YqgAE9muSSCK6taThvEJOMm9O4yu/g87wr3X9IfEiHP5RjoZhKzeakqXOmgFsd5p9l4aQ8/LO0KAqqdY6Alrrkab9eYAK3CZ21DFWvXYHMmZRQKgx9E9HnqLPsFOIjXcpxnbBWAQP4sf4T/ruRkNmahJMCkVlAKajl1J8rr7464AnsxFYjOopkk10uh5zWc/kAyLn0Ck0nBLZ/l0dQobM7Mwn1VVRhZqOkTIQy1xF98U+YZg +[+] Bogus access_msg: no_colon_6_::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::, SPA packet: 9c9DnCDKtUUftitem0Zgkm0UZxpZa1sL5QS5bgABF1WOYe4NzFrMKmCsar7c2p2Pka9sjalJ0bkxzSpfXI3jyM4bmoZGJ5s/3GSAyCvi0Q545rmQfOERvFwO+hG/b/wkP3NKliCCRrlpDQvEySAbiwvxzysdD9WJ5lG3J9pecGiiybHjFbdYUd2MVJO75rK25isFw9tpk9kBt5/xvqpeHRSI5NKndPNnPd+438bBxYdPgPonoSz0gTeZsT9eemLj6+JBi2sghOIk1EVOkW2Q6DMUGrR3ulFodaGEyJ02pEKyhhD2C7UGO5Zu/e131Nb6EOuCbudk2oZCs6qi95euOuYs52FvE5cCNPPYmH2AU0dWgJv78nIDcDcJ9s0lYAUDa8QAtvMbkyHBe2eXHxicH59icmcoXUp0UwwBYRVwMJWBb8RrFOjD4EUb3yITskpA63SmpsREmugEjDNdoZS9lfmAsUxyj6uf1uryZeJf9ESHFV0YUFpmY263mnjhJAo6pEActAh6rpmxiLjv8DgxYBejPIhXTwHc9Fsu339C3SCrjJIuFUexHnGJ28o6zLaSkusKS/nceM3C+6Ri/FHX2z4wpaR9AMmmMx6We93HiQZodtOG12SeG6Ogvvp6RHQpEHckjtTnCZyh0D4qU7uzrmIUWGoUHygNzAOxjbagn9oSSE7zG9hBkaQmDBiQA/ehcgdF0mc7jcS1Th+KMMTk789wOw53lGegDyQPKrxW80lG6rVVDjyIzYuYEq8udDnBamC7QZWNRuT60ZVMlvbxc/qbCnjXpas+A8JBhx5CsyFcT97CI+Kw4PO14ryv+AK6xh8zVjW+XrU0Sjz4KLdF7mArMtPXrsapAVFqZViO1tKUcHz/LplOsPmJRx+S4bkdtqjp4f0Hd+Q8UeSNe0z/lyZW7nrVAua+1nZn23ycNh+DcaTrL9eQoV2xdXt+bOJ6gsR+08Jme+YmHHxXx//Poy3XmONGClOYzbH1o7NT2XmXKLbrmcNwSPGwXbtvdI5NNWC9jBJkfIL6U24+CK1rqB0rJ5zoRiUsLOYWFfVMG0TYFCR6WIDFcQX7dzVBK6eo/XIvp3MIdOEMvzIgoQJJz02SNS66nrNaGjnvrPqy8DC4fVjJUV+BkCfTDC1SR8jwepXNSPQBK+GsGDzrUPlxS/X+aVCDeKrzKoMM8gRWuiF8KD50IolpEjc+ntmI8UI6A/UYqIJ4HZeHo6bsmRalAdjnR9OuoGVxjnniwIUf4bHePP7V1SVC71iv0FP3HtzTqqpIkDU3U+TzMPJyu6mxJm1eFHuP0jaqWW6Z95H1tsgPeS1zmDyAcclGY5jHA7vf7UsR1cNNHkBT8cpSDos85lVxsSr4W8r1WjS6bNMofi/wja6+M/D9Udh23CsMEUPuKKtjqkfSHdbAI2yLJ0m1zab0hWDrIDlKw +[+] Bogus access_msg: no_colon_6_(NA), SPA packet: (NULL) +[+] Bogus access_msg: no_colon_6_1.1.1.p/12345, SPA packet: +CN3OmaY3OLVBfrTgetPtabQ3pPAtwnZauYlDF3IZUIGDN4j1mK3MszjadzTAqSnwz6C98wptx4N28cIIEoXOuF1UoL0QVkMjYbNJtwoAxEUGzkBj0HPIjtQ7y5+ZOC/QcPZInluc0K3BjE1CG4VoOX6yuOHoYen8 +[+] Bogus access_msg: no_colon_6_1.1.1.2,,,,12345, SPA packet: /9eURt9OUS1CpxuNoXwP33gZYbSFKD1KbdPxxwsOfJKRlNqSZpcve1/+n+f7irpr320gI6u5boBswSYvL9ulw0rJ4qsw7/wSgKZ+bXTQOKG8IzsndAElXqR0T036mucEv70//+O6+OMBI+Q0lr8CFMTXFtNJaZNL0 +[+] Bogus access_msg: no_colon_6_1.1.1.2,icmp/123, SPA packet: /2nYhEzgiMRzc9RADNoRKJ3Dato/6BGEeRCm7vSNylE8NzEpDVmpmQ6HcibMn56yUh96xVAL23PwjvJ+CM1M0AydzJz054YGYAXz8PFF00OaiHPzxWswmyJ5HwNI76MiYURC7S5byK9CvCaTNl5zBBuONszBqNov0 +[+] Bogus access_msg: no_colon_6_,,,, SPA packet: +VwrF8rcQc3rYB/z96Q3lZldogidD01dHEp2eErfNoTktvAxz4vVT97ORclwqUmjsvbAxJ/HOeKgVpIPp2/LURmTawmVRiCjCtl9LJ8yHc/nZxnX47I7XSDHLdXAhAx7SG9jI3wImV7Q +[+] Bogus access_msg: no_colon_6_----, SPA packet: 9Uyrs28QJC9zzTmPwgM0HjDdfCWSp7+xVuMAFe3Y1fHanWu6ZtkJGRYhC9jPwqKfzd/4xy6Wnv+HtD/ZkjJjWL1GMdX/8MDhjpTV4lpzGK3cx4ND1D4mamLvHEhSZlyKcfz2oflYC3+A +[+] Bogus access_msg: no_colon_6_1.3.4.5.5, SPA packet: +KlKaKQKBU3lOtFffmqFaqLiaFoeQyWkCtirSOONTrFkROCZ6SrSEjhK0S0toouIW7Vh0v14QAPKLm6k1y16gTByTvdvY8UtkndPqrS6RZ7MrKMT8qKX7GhGKdbs7l/qfVVUCPxoOLl5f2XIROJIjwsnB4nftjeL4 +[+] Bogus access_msg: no_colon_6_1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: /UPyZr/y9vEtEWBJicL2YUjYf2rUTTDLhCCHzB7wBxnync63qBezhl88m5p+KQG0yK7O44Tc6Re99cdaOYv0AnQ/VcVbR8NHs05lcvVzVlE2xgxHQ+7ABedoL/940+gZciXIPTcnldtDiPr3cuVyXt57ew1MAsAyhKJztTp3aeTcszMARYyx/VX8K9RFrWDrrWS/8SMWnmTX6Xlqsg+EJ5EB4xoTfooyonKQyctlPO6odr+TQpNpG/MRiOWgEHEbbty6W1EVYkiZgbNyJejaahXRf82X464s9o4X8+ycsjyUESqYeKgbPM93fqVUL7o3HfJsq6CP7bkA +[+] Bogus access_msg: no_colon_6_1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////22, SPA packet: +uwFkj7pfj/loyYj8l3OYJ3VjwgYR7NgPHI274KtLeFGDePFtGrWzNujq0DiVgLtohvT0cjhQ/QSBG1DaZcwLbkpK6fmI5XLJruvUOC8f1lMh1TMKuT5GDQxQVCQ37ocvd4vRLtxtzWC+tEkD2SHUaX2lC7X0Ex02ksrRSRHExktET7sI7/wwqcjISXU7f8Olo5FmVS0UJ7Ecx0TWz1jVj4UIx4mQtxxt5mBrTGu7nkJKaTkzDWTmC91UKUXTerQX4zyN2m/ey4BBqcuys9K8jduycES4qxFB28NP6YhyRkplzhgNg6nLArlBNj4rdRRfWWX5RyXowlg +[+] Bogus access_msg: no_colon_6_1.2.3.4,rcp/22, SPA packet: 9lQlGlG4M5XDBplJDJgixWlk65Vzq44j2MVUnnSO8vUUiAneuKNMd4KBdzA1nVY7Q0x/QqjxyLGeoKRRYms9EmPeHkUiVMaf9mj0FXRKuYJPYw3hbYTCeOOQ81uYs2YX+S3j4EviC7lwONA8sKE/Xb54k5Ew8zxHE +[+] Bogus access_msg: no_colon_6_1.2.3.4,udp/-1, SPA packet: +HkdZdeRCCXvZNln/DnrKoGN8ZnMhIzfnOo774U2ChWsBrTD0KFJNph0Q9LOqlL8SHHg/MPAk2ON0CUDByeylAndJ6TIssplVrJlCI8nbbO42+wgAt5yt9iMcPQmVfSb2Ap14DZDC0jyRZA7wIrxwhAjNOeoMnK2o +[+] Bogus access_msg: no_colon_6_1.2.3.4,tcp/-1, SPA packet: +8wNkkrcV5vqoSsEV/kFLulFpA0FTmYoNRVFcNGPaHVfBGA39101vRLarjwg2VWHsUFrNvVYy5sLDNy+eqdXxURN11cKhISn21liQ3EPx6L1F+TBxJT6DBUN4DVAAbBQDeqMpgNhrqNAxW84f9fkdu04U4FqgNLLI +[+] Bogus access_msg: no_colon_6_1.2.3.4,icmp/-1, SPA packet: +CwGGi+e7k/Rtn3K8RMpTeTcBa6GkEw44OhTJZ8o06fX5FJrRSSyMchJsv2fuTdI2b3IJk8vYJnMlCSh34lC7h5xOCpcpnUfBOEdfYQGMiWZzPvhzwytUmipe3J6ed3//Ce4hMHoBQc0r4fE4NseS6Ou9VAU0iCOo +[+] Bogus access_msg: no_colon_6_1.2.3(NA).4,tcp/22, SPA packet: +IZ8Qdng3NShNCPWaTLUOsEB+zkFHd4xztOjxJIhCStfvwJ8juhvRJmY4trwWqn6T3lkK4l2ZBmCLTXM9c6FC67qnvQRhahNRHCYp/UIQNiGE+gEDi56m7dnBOHZCRp3MLf9Ci/N0aYw +[+] Bogus access_msg: no_colon_6_1.2.3.(NA)4,tcp/22, SPA packet: 80p9ESC85bvJDGede/wdCr18wVdi8tkyKROcMKBsKzzxjCXvW1XmdKtXtHz3+EA+iIuIH0p9QXN2IpEbN3YXFahc0D0Hjk7c9zlvLzCYJi+I33A5SizGBS1si60TOkWg0+CDqJ+vMpQQ +[+] Bogus access_msg: no_colon_6_1.2.3.4(NA),tcp/22, SPA packet: +iiSFDHNVA+zRD3CaLDY8BGAyz5Pr17Y84+w8VZt0AbdnHOdRjADoTbO6XqtEDMJ2bmC+W0+rAun28PQjsNpTDixPIJMkQyqo9HrJE5M0Pr4f5VCYPEF3L02ar/sU478FZ80K14kUWVHP2iVZZZ71l2BHKL8NVTv0 +[+] Bogus access_msg: no_colon_6_1.2.3.4,(NA)tcp/22, SPA packet: /6GPsrTxItdrG6OPrpjOo/yBsHdQR88odVzdUWf149lHHOYgEF4PL8bgXxEHmses8zBPdXefPf0WafYeAQSNSkC0kWnIhb8WQKIjsN3qMqtSEwpT+62cO8t5IixiDFIjYcPchkYDqeCN+u/6FH/Pxv+KHEtnrsgqQ +[+] Bogus access_msg: no_colon_6_1.2.3.4,t(NA)cp/22, SPA packet: /fYkp4oswgMh+HLKuxsY8vOqzewY1FV/MDoUaGi6Nr9zx5O74VDowkNTagj0+GFvfwEy7YNM/cEHz7iOJ0uaVTmKOW1A8vp+GYlWG9GZd0TCUMMT21XN0Jq9ThwbSsidBFfguSIDcCLyUOgNbZ3meqUyWM3oSdSC8 +[+] Bogus access_msg: no_colon_6_1.2.3.4,tc(NA)p/22, SPA packet: +xuakItQeAClTwMG/Rmw/fjcf3tAh2NzFpfuwV2528eMAU5FyLoBdi5fx54kvfi7nPVAw46EQ9v5CjmxihzLwz8ZjKN4bxHBWSR7QgCdyuBforzdVaU1Qkbl+CNRwNEiTXJ/6jtL8LCSWG7S9ggx3h/hBivOpV9ME +[+] Bogus access_msg: no_colon_6_1.2.3.4,tcp(NA)/22, SPA packet: 8ILGOGxrqbGTeTVBhLnTCZHlurweDtj2jxzoRcu1sPflmJDaxOqpH1GuH/DC3hrn1WW2bF6fsnhnbTuMdc4vidYZyhflGmkMipd5MlsC4nzxeCBvdmpm6XjhuB7shK/vO1K1bDnDisqLMC7Y0WiqYH8ocK7UdGNAY +[+] Bogus access_msg: no_colon_6_1.2.3.4,tcp/(NA)22, SPA packet: 8KRYcsnG+OaFF+/8kgPG9MtHe3xSL/VinifOBrqK6cUOqdYSm4846HWsylrs/TMzBPJ/C8aEQf1+xMG4xiV3qtShcSgZSoWkd98VTiOQDHHKy/ivj94NJSxvNE53fid3WUsgLSCkHHK7rwZEAj/Cqwdwv4aqy370g +[+] Bogus access_msg: no_colon_6_123.123.123(NA).123,tcp/22, SPA packet: +srHFpV4SaoIkiH5qGVGXfTEcoKDEfNWstNNz+FzHoKWrihdAwJGgP7B72ksEV2ErIvm8V0SmHlk40unlJKPUgqmUr3Xd7JOTh/2gvhpkbp6MKRP6HVGhGt5zF4JxEVmRgJPn5Befsceyk8qOk/L37YQc49VvuA+g +[+] Bogus access_msg: no_colon_6_123.123.123.(NA)123,tcp/22, SPA packet: +fTj4eIRhGuIcnFS9ga+8TSuCgZVq9XLhfe3re94FfcQ0sX/B9W9wZDq8SufHObRL96+VCaETIPXhQd1qcF8mNdJJ4uU0Ph9g1TwVTq/nDgzSPPjXjtvnp6JvK2Z5L/uWxSv+gZ7kreBoKiP/WBSHE3LgH5md/Kig +[+] Bogus access_msg: no_colon_6_123.123.123.1(NA)23,tcp/22, SPA packet: 9d5dqBrK9U+acHsE+aNDx4uMuKyDAzfEMM33Mul5JTWqxJd/mW+ZKWjibLqbKZ83jIFLuy1sfY4zDDB2nDM4aXo01UE6D0l5dPbcNrvRN8czxvRsV7fiPTkijFMB12kcS8Kjf20Bq+B2I6OBg+IN3PqSIFAVUpAfg +[+] Bogus access_msg: no_colon_6_123.123.123.12(NA)3,tcp/22, SPA packet: 95TxtnN3gfjEP9EZy/nwz1LsmOYmaMWylK8qXbTntwiPTmaj+0pzfVdeDMWlyiQgm6ryRhLlxF1ojVJKWBORvaaLv1hNfR0W24Lbp0zuiQH17UP/04Ovvk8FuwEqOpL4AaT3FCgBSsKb6h3VXkhuVKTMgdINAZfyw +[+] Bogus access_msg: no_colon_6_123.123.123.123(NA),tcp/22, SPA packet: 8FzGrWo17cArebrKwyXUyYMoypuKtiv7EDdlUnuNKFv2102KAR0asDte0Li+R37CUFOev296NrE5LiFpJczK/pfGg9IVhTRPE2iBSCMn3KKfLWZ6IbSkt9wC3IdYcPIS5gAgRePKu/pE2joLO7A8HfbI7TVnN6VcM +[+] Bogus access_msg: no_colon_6_123.123.123.123,(NA)tcp/22, SPA packet: 8tBUCdgBWrcOD04r2nw1xSyYAO1+mAU466gvxCKDut05bzhOd3Kc5CihTXAyGzxMfZpLt0gcoIWWMZZwQP6jR0S4EwjPfGkaICP+SN8vEo/RbL1Zdpv/p3B2lCv38bkEiWxsFZRdv2sQyK+lIcRHKN/cYrtxFB0uM +[+] Bogus access_msg: no_colon_6_123.123.123.123,t(NA)cp/22, SPA packet: 93VrZUQfEWQ1YOYrgrcawoVz0n7Q9lli2ZwxtWewJ+CuEzbWsYUL/XmQt/cnL5JSiG5Sp2zFU1tU6mPQuF6CVSNxHWDmjcgSd49N7l5bS8D+CR58GK65t77vZCVkQyWwis0VFZ8T14cH3HPHa6nJ7ewpwVhuhBFJg +[+] Bogus access_msg: no_colon_6_123.123.123.123,tc(NA)p/22, SPA packet: 9nWbbynyDgEtBoje4Eks9mPykWbJ9Ob7Y8oHH8UQaWhS4jziguXZBRfIomnhSrpoHwmK5Ofx7KBTYcryQU2yPF3GTeGoGuESV548p4WPfk3RfwyvO0ARUjp67OwcG16/tk26Kfx2CMg3rV11gflMVawQhvKogArI4 +[+] Bogus access_msg: no_colon_6_123.123.123.123,tcp(NA)/22, SPA packet: +DB71Z6GlTxWEsQSGjxsBF8UzuSyi9Vk8pwUY1Z0nsXP6ylsRSCd6Q0n0Jx6Bj7DMthQ1Cm5Tyqy/QI8ZToYV7NsUEdmViLWkpbPIwlnhR6FSjHQYEUYgFQ/dAEiVvsp075WZsy5R4Vhze3GZH2CslbvITRcFhCpwZuUwY0WIB7H16woW6QpJ0 +[+] Bogus access_msg: no_colon_6_123.123.123.123,tcp/(NA)22, SPA packet: +wTWli1LhPlfhv10X939laKzgKtySf8DDp2PtYbzVFeWP6hM1fv5YsJgLu2Ny4fUIGefvZ3CN3ZsQBlq3wVeoVhTRPugXn6G8hfJz6Kq6wbmd6xAKh58VeUqqlQQQuzMNNb54UX21U6ZWmKyI/8naS7hx2OrjoQ3UD+vp0TSy6WXSCN7Mn5zcn +[+] Bogus access_msg: no_colon_6_1.2.3.4,t(NA)cp/22, SPA packet: /wo2c9YwnC3gWzvyyLL34lh3swXIXV3iuuo2Yy18Vj6tOxyusnpnYD5tOv/Fr2YVzoek1+FnB89utv6E7N70c9Rplhpfo9VnjPDUbyIPz92MazkDinMJa6+q422kV1ARW93b2se8VTGyyAHDGFgJbfCBHGAW9KyqU +[+] Bogus access_msg: no_colon_6_1.1.1.1,udp/1,tap/1,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: +X7eNtfvTLMRh0hw6aEvMUiHnBU/ynmIUd0kMA61ySGIFvVuIE6/K8V0NBBr9Hfg0rrNyXsfbAKDeMsDbD3v3sgpq6Ws1XGh1jyB7ILwPgKp5g/CFGcBC7LIuRhXxK+CXAxuh5XVK8Qpzqs9JV1P+ELX5kYfZEwzyxAmcy08NpUHFzpvuWN0ayUi2goH4ySFWDkEcfuhJ3Y/xtbnHHIbeIIGPwfMBq9gE +[+] Bogus access_msg: no_colon_6_1.1.1.1,udp/1,tcp/-11,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: 9tE0pE8I+Pp25ZubehRpXmmWQO/ayFG+nbXRgSqIufDxwLmY87aREVUNMgcnRe6XnRmVc19BEm4cmnffhODjRMCXLLhnX7fGiLxIDf1rJH/a/Cs18dVWImuq467VBnDDVp74HUC3qKmuvF3MYp/0WM5b+YKi6qQCwGJv941bQjxR49KrDtmy5usKXOg3t9pnWNbTnaDGhKfSaPIcB4KWd3u6vMSSgjoAk +[+] Bogus access_msg: no_colon_6_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp/12345, SPA packet: +saopJwZ9K0K4ASotPMXg4JcW75HXFhc5B3epRQQumkfOhBNkQJyPo54+LquqOqSERmhHYh20cIuKHeV4tUPnB9hBZ7JydNTVMPrCXOCgZFhTy9GnGbwzGdTHuv6p3IMwwsHcxpX1e3E4gSQixvF40C5KOWLQdMYKDSRN5B22KH0KKd1YK+hOYzhvdjXOie+nYm0mU2my2NZKZaqQa1drA0iKG11cbYB4 +[+] Bogus access_msg: no_colon_6_1.1.1.1,udp/1,tcp/1,tcp/2,udp/3,tcp/4,tcp////12345, SPA packet: 9Eqj78r4ioRsYb4R9W3m+VXjo+lQ8QA+D3bNb0AwUN+N7+CQF3XLC6OhkMXCdQssx72dtpwIIPG6VEfK45mk4Xg07ubZge3F5qCJm8RFN+Q91r4TIY2qpIlMuOfUHrHLb9T1zJuNKEE9ZJqUqycWsYb7YG4WMimXJY0JNWDMrMROA/apd7mwIoOEhwXPo2ydqiQ4onjlNe+2sdwq4M4S50fRaqNMmXO1A +[+] Bogus access_msg: no_colon_6_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: 8jg8gfNgOxHCNpsGQ5FZ6Eu/gzab6G4ovpsDAlXi5/LJbANqkcPrk1xq8m5vOne0d8Bl0WUQnTTmdOvCRnTbuxxQ3Ty9zskmC6i7WgzMjjElYjyd57C65hoSWe3QK9L5BJmUn1x41Xtr0mazfbYHFzureu7Ie5m3+5fWtsvt/6zf8HeaBMk85gj0pdqNyCjW3LsKJIUREce+a9JrClsfMRPNAfSfK4fcE +[+] Bogus access_msg: no_colon_6_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: 8hLUkIzF20bKtSrEZW4bbR154Ssz8Kks7qpu53/rtj6taKdO1/HTQ1QqrfPtAOKXQv8i0H5fVdQL2dtm1gBCm9djQ/+hh1Vxn/v57XF6trTz6IdPTfpFndQ2HypfrjDgw7JQ6AgLD+3ziRR5ztncJDAxHf7MNYY7JsIC/z2yLrgRhEuyedbgrZCnbPeeA7YPbuELdH0OfCBhSydviF/P4/ab0SxaOFH+Q +[+] Bogus access_msg: no_colon_6_1.1.1.1,udp/1,tcp/1,tcp/2udp/3*tcp/4,tcp////12345, SPA packet: 9Qzprx6zr9wkcaUiDy2Xg3ve/zF/cjBbSpD58lXjH0rlv3BKh4R+3fCnEXoWVb6/c7lLR4flOwWksUQS9KQiBmD610sx5SS46Wz/WYmZLv41BsUH4NLkEhaV7qDsXrMtxIjo/AVBRVlUqCQzSI3kvR9hgOc+a0U7z2izVMKR1ftqJJVlaeskxGgE0uW/svx/Gg6tXC/EGuek+rjiupJmCSpaXO8j589Jc +[+] Bogus access_msg: no_colon_6_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcb/4,tcp////12345, SPA packet: 8RIg8cutKohE6fkbqp0XCKJPamXpHFM5+Uv3bcztb+UqvHX85eZaczPbJOcSfz0/RHF7cQAmi4eoEdJjVAaxrAXlabmllOal0o+JOWzaF/9VGqXPfwdakspIPC5UJ7T+iJj8z59KzanTaSYLr2J8fHySlR4MqFdlYKnbeO17YLbX5NqlWquJkFiigMIgg51ftTOgQbPegYj83k/hDvUE8JNyO4dzquRxo +[+] Bogus access_msg: no_colon_6_1.1.1.1,udp/1,tcp/1tcp/2udp/3,tcp/4,tcp////12345, SPA packet: 9DSTRrwpK2YmxhT3D4SO2WXJP40URc7O4Ug9kERJEEDsqUS5QPGQdfqK0tQvyomCvZWGIKQO3by2sVQppZTw0mmQajgRzVmzbv4UquBORLLH4zxo2BHoggT8Rya6r1USMtlz6tULsAgY8vHm4dQ8XZ8T7SubdjRR1E4roX6sosUR6zwLlYgJFe6Sco5Ri5JVM2DTpvU6IeXNtxx4Npx5Fvl9OUEgTHVlY +[+] Bogus access_msg: no_colon_6_123.123.123.123udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345////////////, SPA packet: +zz3+LtQ+gufPHch/0bK1bdn3D0hjaTr0HQEKRlnELah4RFbYN/0XqtzsGyUf8/tOvB+5ZgNknxkHN/FaB+Jq4IT2+bFJ+8tnt0dCgypjdm8bbrPSaiChDY8ROj+bY5RXAlv1k4Av+aNRhTRAlEZHyg+zbfhcXCxE70LzVqHokPT9+QJwl8MP7phxlXpmif7l870nlnkkvbhEV4QjGrKtFqJR2/rdEAlN9K69yhhV+ehjfu1NZ7LnAHC+xTKdfoLcpk2wTDfvHTw +[+] Bogus access_msg: no_colon_6_1.2.3.4,22, SPA packet: /Ikjgl3Xib0AlvAFw1HLpKrku6/TYLKKyWYEbvK1LfAQyVtQUa2paqXKPXWrq1YRQTHEASfyjRcNe96RnzRLWsAVTBzUCM7gtBJ80HJMdyCpBc3VI9S43kOvyPTCUpa9QWsPFz6jRky6lkdVGGw2I85DErBRzXzu8 +[+] Bogus access_msg: no_colon_6_123.123.123.123,12345, SPA packet: 8CqyxGrIfEYb/uS8wh7vLnumrU7FRS1ZN1IvczuWVdipCQHgsNmsdgTXueEOSSlItuuxQ7pCFca+q00NKH7LmeyHujY+hU1iGhTNRw0awUq+macNtow2wfzGYIfXS9tJczXMMPffRTGJ0t103U7gNlx/vBKfeJfOOuPlhcEG1faFD0Ko8SxKrX +[+] Bogus access_msg: no_colon_6_1.1.1.2,12345, SPA packet: 8bJZrPpew2ANprfxdpIbP1e6YAee2/CNGFQbNEI5VLj03D7E0nAV+VHp+fZMfJIRSbppPt8x/R5waivCkbB+K34jXulB/zgP8XX8xo1ipdt1A/CV0Ftz9kluYyn/QBbpa/t8jQ5V2NWSLmQyCCNF7ajUWbiax0uxY +[+] Bogus access_msg: no_colon_6_67, SPA packet: +AS3jMnTwq3tp9fGWJ/B3c/ZBKRhn+I7/YFW/0weCHHSQ1F83fiYIknF/E3iRe6I4VXVezc7Qk4Su+h72iz0bp1RF9SUAHxjuMwNvOvkpszP2UxpxLi6/rUHHykKYrDXWuuMFZ4ZSsGw +[+] Bogus NAT_access_msg: no_colon_6_1.2.3.4, SPA packet: +Znk6P3N1LGMT1Cv9/V7LZvzglf1ZnPHxtKSGT4NJk12KLcwW0M7V3vGO6MN7WuZBF7FZRsOWfVtPHiRkPg20dXzXGSoXVI/W9HHNm8PQQqWOX1uL8TyaSvbGrXQkAQRret2zKecqwhW8hJzzPu0AxYVHxrxNFRsqpMFG+/NYuyUI519O/eFGB +[+] Bogus NAT_access_msg: no_colon_6_1.2.3.4., SPA packet: /zLh5qkvWYZGLhmGOPNoBfm62f3K8OOdPfEdue5MwB3a8Q6RQhEu2OWkO/A/X58TL61IHll5vvlwXiTD/UNT+bLmu+S+bH78iG53NvjDmd4/xZuy9+nFvpiXnFipB2xA4cT2fnUttvoijyItH/hAmHX2GI809l7n1w8I+p+LigmiwlZf4q6Smn +[+] Bogus NAT_access_msg: no_colon_6_123.123.123.123, SPA packet: +ulvLyp3+3xEVavm+DGG2qOq8l0iXlC2T9NPlgCLQkrWgG5w5KuHdmAqVMONZHoL3rhA+w6jSEniSFGKoQQUvMgMpnRGmpu2wLiLohI8LQk39znLivc7yod8AZ3P3LHSgnYtwcX+/TtqjzVt36xmsvXcWhbrsJIvNV+JNEhjEsGVbyQ+gRD2+W +[+] Bogus NAT_access_msg: no_colon_6_923.123.123.123, SPA packet: /JExibINXzGfNg2hEMipbTen84S2KrYkc5taDUJiBO5QofIO2WE3v9YBNONUTNm/PQKN1dkv7ADpPfzlfx0Yf9xh3VwxgxO+EiWNnr9g5X+57xpGCiLXrp+1Cc334aCovp6JCXnUvfWHynyBL24i2LI9X+5CZ+KLGhYcTIxdvXWzOK7RiUHJp6 +[+] Bogus NAT_access_msg: no_colon_6_123.123.123.123., SPA packet: /1LvC4odLEDCiQiLFtT8pCrcfxDiG/EJjZvNokBjBb3iKW7p8pMMQ7sQFgllYM0vTPRkCaG2dpfC5gPmS8au4axVrxnhbaKyi/qviaZqvcCh+nQGYpUiQj4Lad7xEQS/C67zxygj11V+SDzoi5pbY749JxEwsD6BfkCSYWwxhd6Hl5Op7YcFNj +[+] Bogus NAT_access_msg: no_colon_6_999.999.999.999, SPA packet: 93Wch24j+HrmQ6wZ3qw1Ze6Ig85jxy59uurhDYIkAM+X9148qNuSYaZGm/hPWnAdjUUVjGqDrcBpMLn2ofOHSWFhepdvxuAzU1cAYQ8xzAPI9ZpXfj37Wd1vpn5WdH8TVXUIVQnunIMq8mLR3qpv0jb/EhL56FZSywmJ9TkoJY5VTUTauR9HtM +[+] Bogus NAT_access_msg: no_colon_6_1.2.3.4,tcp/2a2, SPA packet: 973vaoZFoweqIoh6rhvBrI3jqp51IUI6ndNomjuSYb/gAUt4FfL754y1xmNDI/OKI+UVXNCDygYqPVN9ddZ3e03qe75RAnI64Z2LMkamlOv9Q8Ou7oB0AWCgw79S+7xb9gsjtZcVgOtCaGU6ze/SxqW1Zp9jHDvzM0vMwNMEBFthczQ9YXB6o8 +[+] Bogus NAT_access_msg: no_colon_6_1.2.3.4,tcp/22,, SPA packet: /9SkxHY9JG8e0SSkZRz9cfoP8zYXSTeh6gwUAjs4/DOCncc+cFEx5OwQuyyjbcgoVl85GfzA0meOdBU0TCJ1QL/UEURtDf+41nwUNemyJ8ujcxnGMDq8lB4Ta9o4DpZ4leqKGPlUgsDO6UMb0conwiPG5rJa4pLW3CApS6mLCeXoZrB1mkGVWW +[+] Bogus NAT_access_msg: no_colon_6_1.2.3.4,tcp/123456, SPA packet: /25OIyJxBAZFXImJrJY/Cvrp+/689BOnkmkHAZuw2cKFyAyvuVetbAiVlfGIHx3F6hePeavWYSgWYJAhqL26Uy6fXGQgf1SWdnNcFRyvdxoerQGunKKMRSYosdneaOtb7XriXNGOgly8asUiHP1U0JRaFe+VVhGKB/3928XaUZxtZmzd7nEKRrpu/Q2oFqvfgS62iVblNUQA +[+] Bogus NAT_access_msg: no_colon_6_1.2.3.4,tcp/1234569999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999, SPA packet: 9vq5UE1lPHaT1GJgdM8MPk5YkcKyFD5KlEGaC5E4Lgm7L8t6cBgkdfB+4xFjINHvaNZhCesyZKIrEbnd+m7FbxrwBb3NTC4ajf1HjnYZhi/T2esebrqqji1MyJjkcAv6keUY1zpA/cnsaxRqG9kwlqye65hUkhB0YnM7g3BGggjxWJMFK9hgBs/WcQhl8fKJhan6AXiMZQ+VdPBA+FXLSamn9P6lB1E6vSmU8Nrb+YmYdKwRFmcfOgHtYOML/z5OZyGCjj0SKURC5xY3TCk9Y6GSh3OjIfSRatHqVjuIOvt+gBcez8JSpOjuCCU2VmFneNLPNqE5rrtnMvSSkeovxJnW67M6Q9pYRYdEa2SjXE5mgXRd+IJH3V +[+] Bogus NAT_access_msg: no_colon_6_1.2.3.4,tcp//22, SPA packet: +QbY6qHrGLcCV5C9jTvV7mIVeSpP9mKS7sGC/7JnMhyvV9UN+PUnUW5fF3Eau0Y8pdh0bMd+krGPkqwZs5js6c95VhWfjltg1V8ZWxx0WdrKugX+b9JS+3r56Y4aiy6ZroKsbjqWD7pwz0BKZGwnMXQWGCTyp7p3R4c2guNA/FvsZqhkz13ihu +[+] Bogus NAT_access_msg: no_colon_6_1.2.3.4,tcp/22/, SPA packet: +SFCPfidHNyTUCk8jo+PY9XTqFBiACsugo+EKbEmKB4UCvg/mEOr1G1vNSzSAis77PJjqHivpTr0gDjtX3p16YITGGy7yqzs9aSjNmPVuiG7ICkxfjCRtgh6PHeALnXCid9dF8cpQxNrztfPnabXSglTxnBx96O+PRUrqz+sT9DRlaGZd6fjeN +[+] Bogus NAT_access_msg: no_colon_6_a23.123.123.123,tcp/12345, SPA packet: 9OCXHYduJnMAulf4/SBOU1sQWE7LfU1eeu/8g1/l7u0atvZZLxv50zgnSXf4Ue7L6whZP+8Vk8WvddsqcpCEeRJ6hL4JADVJaeU93n4JypSFdFJJOxz3UfGxKUWmxuXHYTw+YJ+4FfOWU5Xvz6zggoSmUK3Aiqt8I/4nRALkSEuQgZAt3sBHpX/qZSnyFv9Qd3nCQU98QlVw +[+] Bogus NAT_access_msg: no_colon_6_999.999.999.999,tcp/22, SPA packet: 8rUOg3PJJtfQnbB/tuEE9OhKACrWQhlY4+B8AOyqK21sQgaio/+PWEKjSmEzyFuVyh3Q3ZpLo25dJfycAj9BPHXnJa8izEwd8XcwEBkR4z7XuqFb2JN7RaGNi2+AqLz+lXRBYUxZpZHNX4AZKQp9F9suyCwiuef7Z9mSOlXC7FRIPiTDskpcVGdQKDaPqtkOz6JXNJDBIh1A +[+] Bogus NAT_access_msg: no_colon_6_999.1.1.1,tcp/22, SPA packet: /KBD+jc5fB7cjF/5X3TiMmO9IxjLpGIPznna99eXfoyb/gHXlfqcvDxrcV3whc6XAKZwFLOqtCsz2cPYBjsKP64hB7SuJPgQvKyloG7V9iQgPlX7i9mO1dhM1EGK3r9utUiidO0uh6i0pgR2fkYe1OIBRXzroU6Q2Ca0RGJJKAclfXuBm8Geel +[+] Bogus NAT_access_msg: no_colon_6_-1, SPA packet: /mPGk+YHyPGAsGPQDXnYjyFXCEp+dIjasbHCjXFqubURuQ757SmzdlmpCfT4y1OIY90uW026xkOIVWWgwDN/+TQlshs6Ahec1ChfF7hvNEPhAK3Dak38kaIJ1tXpCD/QwR1PSG3oUkbC5Zbu2laUn+bXx3L3Wxea8 +[+] Bogus NAT_access_msg: no_colon_6_1, SPA packet: 9O+hkh8Syie/Fj6CS110myCn4ID4RS+2BtKxH0lmmRW2XEZNCmxu5x+fi+iuPnRstxg/UZtytN0FRjyoyl+fWApYlKTSynE7moFeWbiryFyhhL5PgKe+//+GDEGzM66bt5bHC9YHWzmN3P62Bx61oc8LBoPitXTXo +[+] Bogus NAT_access_msg: no_colon_6_A, SPA packet: +AG2xr2EnJY1Oct186MdIzNih6DucELXSx13YiSEDBqrMK3DARdG2jHlXHJEwUCtw7rBJEP4D5pEYuWdYpnPzJB+5Lx9ejNujOUqHlO2JxQD8CxVUYcn0z1pUHMCIOoEBDjJQAA1EpLeomRMiOS57w++vsd7P6IkM +[+] Bogus NAT_access_msg: no_colon_6_0, SPA packet: +lwgwoJpTWsWJV/am1PZteGL5d08idNbDzbXrERak7q6uMrlRg+ODzORg/B2h2ABBxnYrn/akQaL31+JswdglPFaw3Wa3JHfofklsRYzdil3L0tXlsD7krnSCvjkHjxSGlgJyb1o1ltiy+L5tSIPegYopMWfdpfTs +[+] Bogus NAT_access_msg: no_colon_6_AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: 8sVYGoWBLGwauzLIfxZZy9SPiZ4IQ8fC7ovgrjb96NkyVCEzncf2XHVk4c+9XLpFxIor2SqsE2g8m59fCOMirBYEm8D1WRW2Op6IAoch80/G9A2GdTA6yrZZBG+bgn/u/6BRvystyMwyG67DTF+ne0uPOfbms9ViDIYk+PjrfsoEQZ+znM99s0fyG95F/mVqledekyUM7AHNuBLeWB+7uFJCsjffVFwC49TfxyHzvG5Wz6SaXOSiDx2JzYVpQGSi2+QGuRvHGIIaZIJfglwA5EjoNeRJmQliHwk4/cWu+EgbOfrAdHuv31jvblhmaQLmVyaPPbocdPt2k7etC/DLPnttGz3iFnZYKWxHXmscrcCQ6Oi3WdGHoTUcYHpnejP/P5APre7hWt3MDe9bJeW8O5ejgvNuQeixThkh0Lw4kQUcPz4M3wjYGqQ6i2/s4aZO17Q3a4cWom+XOUqCMg/SzRjEogCKcwbGzZ05DFgY6hmutBqLrXSvBbjVSOF+JySLZPk++xq6Ks2IiMeTHOC8bDvU/DRkdl6KG2TWEVcjCxCIL1GQf5n3FM+hCFIeC74ewDBobaWvJfsRrOwy40SxfAhlBCEm2IFuC+ZPGrxJwnpuHYSe++/seKNnTlvFV+NhzdP74lDKjyLhQUi6uPaxxnLOthFFcF/Bb8ULdbxefpdUgEMqro/lh8qS0rgJpHUjKey8y8n961nd4WiReh0+0qu4md+R+xueNNRSOphNZRUgWfZW5zAi7FbgcBCkfxGSeCuOGX/75M8zOau14PQGFzX5AqTkJSH/yavwI5wNcCHEHb+vcSiNvl+SdLz21iKUuqRKO1KZyrqwh2SrArmE1QDrrAVVRVo1b9Ygj3QCEol325k6al5WK0LirMBVrmUvMLUBmjudoVdGD8GPGE1N+YfoDvu2n1wBCCaXM77DYpoEzHNAzWIwpv0yCbVNmgwgtsdXdmw141QgAqGdk+yUE3kBvwecZwwqUjqZjr5hDw6hhgKi00rQtcrczcs9AaryHSHjzdDA/0ID2yhQSkULewxULoBIpDxpj9qyzn0zMBrJKKp/j+MFhAdW0I48DMKtWZA4J7GAPHyv3SYmXSaGRSW9dtqg5QCyQFylK+F3EEctxCNmbVVLTu6yTs4hm7OarNdox8cQsUQ7SR037itfnqNFyoQMPvL5bf7IP97QAiNSGs34jFFS53jsCaWCbw21q2BffT9T/YI9HT0sfQYxQgeyP6uwWfkgndy5pKU4/onlQmzsdblgW1fx+rW789585UU1wZ5LPb+53jR7m/7XS9sqmQ5bqTr5bnu4I0auE9O3GcKAaVSGwnuJ7x/qsgxnAdaC+A+Sb+cEb9BbwAf5zJyaFYwemDmpa3frnwgx+iBHVlPWNbo2nyWG0kvWuShjdjpIZYebj0eHNtCi4Dy/tvsSBIU/dzpIY +[+] Bogus NAT_access_msg: no_colon_6_////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: /dqJWUr05wb5YlJ6TsNDALLvqq4PnXYmImRbI0ezjzwp9JZCOOPllE3F5jOllw9dtkO6zJih5LX5ltl5pFlld6V1x/WaJcOE2kFtVGHYt5idCKOAZQ3EyBwle7TbRFnnNurTH3AsjLtffNi/2wZCB6sLypHCBWVwo7Zgf+bkvucKCIqE7kaXpBm0fIXFoBl5HyMgfOcQE4jD3UUeJc2jrDX4YbMLba6V9uVe2/hhnrFKeZISgYJiHtaIM5FW6KHnHpNSM6v9brpv/gfQca46qKlUiL8xFt5pYg929aAZLAaPYmmpWop8AKvROCux+Et29JEqjbEHuDZuXs6F3b+gZv6kUhiCVsuBSVkoBn3GcnqVoUYKoLJlB3dWwtRihswN4OdIvR0B4NObO9K1g6rvfrDWaYYqZkVWu5MLWJdneuyviOe+dKvE3pKuHvTmFn8YJafe3v6uBMVh8lWP4zlRaKXRiRB1ldGxa8rPh+Y0yP+2hT1g9yIyFcomo7MYieRX7dNQn9p5OIWr1DcQ6cpD5pRkVtfrV+VWE3gPk2fV6wuVmAC/fAo6cY22Yxu8keQ+TjzDmLr2skz5RZpZaILQQU0bqfaPAVo52yJc3v65u9qxPsJwsOYbILqlvo3XyIZVIxzk7vtuZxlM0xHUotMi/kkAzhv2Xedncj6RE690fBrZ3qKBJNmt4oUruUC94m5EYUssTWEGdCAas4PsOWhTZHVX2OdHDsDLHvrsFUHdAtf61s5M1hB5jOCh28FjaEiOc6BA91f9uReXycie83GTJRcpVQ96zd+xWokdc4MRciEEQN6EyQXxj3OsvoHUwSu32PACoV+OM8cGCH7nM6eI3TJ9AlgGjdgbVZ87HICKB/mjnNBSG3Quacda4eIQDnAxuIn13E10ZzieiFw2PltyDYgf8QhXh9lAD8Rex+BBHL8lC7XFiQrHAtheXjbmyuclt+t44qpttElk2HZYYzPA0WMpdwcXT2Tmwfy2WzjGEjVL16QAw0ThfuiM20/xHc+QmEYw/HMjTD+d3f/2LJcGZQNhX6EOz1aQtonjajbKS+2fsChTwtnC88Vhh7ZbKOzLwfqeoDcaKgcH4AvvpZQmitFXTC4R+j+j9zsoboiAjiYLXSfBBFAZftkk0S/BNiZpg7Gw4h0ah1/Cf5daqIgog9XKjvv+5tGCHMAWT3IgObYlNvUOC1PpOa7iWOtijrjlD5+HCMfJFL3CyAGJpH656jJeO99uif2ZaKJvhSC41jSqOsldF1HH//IsgVyiEFtrS6lhFSGxBOuzntkJD9YAfNOWHq6lOMNJdpmJHUEY5mLAegWXWG1ZSEtQsILC0Mo+k/sARmhaBWU5OfRrP9KZVHi533sgA+C+Hm3ATW5btgMW3TUfCC11Kb63g9F2FVkxUfSu6I33pOxXwbIIrqbEaJUZKqnnyDuu0 +[+] Bogus NAT_access_msg: no_colon_6_%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%, SPA packet: +roEVysxHEauEpV2zFVAo52M2to/vQy/mN0KxADweYcoGKOQ/WCP03OVcRE23EN8TkFKT3ZaJi2Kr3nsO2L2EpF/tcOw6qh7zIz0aVRfQFcHJ0xSVvqUfxzQBxVIFYdVzNmpL0SdXsqP9rQiw/x+BqI0I4lYCzyBItb4dmUzUwO9A+Q3+Wg3lHIvaXwDK+OA9vILglt6kRX5iMkSo+FGMQL1xIvYqBL1RJt9I/J3LgGqKqj/D0fC8fG+aL8I1SBCNhxeQUyfYkDep2J19JRN4Iggg180gCd8D3UIlKNBSSMkCIp7Z3Plw0LYzH+lPcTPCJ10aIw04JQlbAyECPO6eyPp7WtM45exF49Y16cTKqe6ELIv7ZHwQCVUtP4QUgns1heaSrCLWZIr/fbk96ls+Gm6t8iqX04X2qiN6dfTm2w8XCHyXypXjdVVOHOtGNOx/9eE7fp5wBmLzz5h7ouPKhSEFEQNvgu1W2Z8Ef5lOVsZwgwwmyFXMzj22NQSjE3V5b8H3zb2aSLEhRO2aFvCv+RQ6soJoexDQ/P2aoXtrd6wHzcjhyLNaowhWHlvZDnF8LBgSFhQ45UbEyuNQyo02cAtNShzOiRkLW5vo0HjGDBLFMB1Spzg1zW/mt9//UXWzl6mdbyNr4XZ2pJRtZGG5gSpW8rwiD+PgOdHf/FXHwCkvxMCgJVyUsfwXnmztsnIwNJhbs9MNB9UCF4BT4XJJFuDuLvfpXNCWPeMHD10nVE/jYEHXOp2tiJ630XPRMcPsuWqoScN5BjqRRYAs7HCZ/4xbc3JqhSqMBPgNXi61w0mLMhBeusaxPMI07Sktk1PBt4hKIoDtXvJX7cm5sZy0aRS+7u4ni9kGAlQTFhmlQvdB9CNo5qK39R/t91VrXB0VxuxY0Js2NdcjDAsbEfZSZaqnkZK67yiW5PwOfxAhx78Z5eZ7Ei5S0pAIE/RVZvT11jmbSPCKG4X1hBNZOY0QdYRMIHr3dfzV9eG5KbHyUUKqfcaM5JVX6AzXy2bn0mmgUEROu/wS98+MtksHeOe5r8qDsJuE/0pO5G0Nl6BDnLZdCz74PfnMcSgpTVzu5Kie3LIh6hro5/KqdigenkeQwkQ+2NmaN8gZmQJgYwYoFiXXhFgLG64Q9md8TMIlqLgQL9/fAlvFdCqmBSiZyjHh6rnDEGy6omEPwJKEaGPBRrc+/78cO0n4GahERKTe7EBeFIYNiO/QzbX8wHqbPDKlPNy1XREaGcoIU4uTfx9zP9J4lV3pKVJ06Xs5AveCUwgNY9TOyz+CL8XNJXagCuzKFDGqOt3CqUZGQQ+sYl7uDC+Viu2ioWETj2Ik/C+1oCjaawW+Cc4DwfRlP8f2WOmv+I/S9b3rgB42XVhLQJKGVkdw6ESwRA2nL+PvEWHeLKaW8aVpOKh89cbv4tJB7VO6x5AuCTGWIZ/g +[+] Bogus NAT_access_msg: no_colon_6_::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::, SPA packet: /lTAm0ZZgUFwrALe8vumZfun8nWAGc4nki8CZI6s0YieJNkFpNFprfbl11p4TpyroU6/eMQ9k4HrVEyRXtckyoTUUeDFZ4VajxIFlLNNtqfnLzAsrs8I81yn986NjeFpUsVQwz2ieGt47GpoJEuqDlHHx9ETJQ3hnz9X+YO6+PMjhL6eqPk7IbJwjCQmkAoamu+t9WPRKKp2sBMz2r2fvU1QFA/NACtpEzJmxN2HcVkusjGn7FLPaps5XHm5K+J9ljdOKt6PuOsjgS7E+VlZlJpQGhYFH6meSYCNu3AP6MEfislYFo+nh+F7iMh4wJCEP1HKpasvHvgO6eF/5vy1TeGBLVcHadSX14QAs/9kNz/HaCF9ocTVU9S+R2V5eZtZVXrk4sR3Pmk01SOTFhXVspSDAQ5DjqJoQl0yzJBHtIsC8vklS2bSuiSzI3jxn/TObNAl/Lrp+YDLwmjglod6chu1DMGU3dS8gnS356pBsQ97UXbven7PGYmNzCJ3kc+FdFwbOd7vTyDn2jz5lAC43eWKaoMO9GvPo5zk0WdzrH++J9xJKeYzDNeHZ69fNWwKxNCHdGlhFaOe3FiC+jN11jJghinRlMOQWjkszpa3LtVuNF8+s3OUHCX7QBsGPowXiIQgaA4TtvFyzssfCKy7cDSNbS/siirNMPPVaLCl2HdCyM50F1wyWxKALO+DbfgwairboTMX3stxGpJbTwa4OOufCSQ45F/z7kESY2Pxx3jZMBSqfa8fEHoPPGZrEDRMoxJvjbsYvv4eETCfrRPVopjAlURJi3lcveMDOgVISgKAHXr2hDAHECTe2YoYjnO2itxWWGbeI7KIeeuxP5H1rxAHp9+wYQDLlhLLOwaYiwYkMB8OxVlTCDu70xHIYE6epvFKrlBIFnnAyOWuKpC3Qmbbu2Fk1C+0iDFwKbjEB93sQKjk7QHsC7ZcjumMY5+vPvkaFlSHGBhAPCaGw1w6vn3lWWiCKTEmt0T7cX1CpzR5rRUJPrRkZMWVEyMt3KppjzrUqrmr1F8so3VXDCgNhCcRigP8Y8R8ziBomj+Ag7Ncuq7M0vAq3wCPdaE9pmnJD2h5ClWbUbMz+mPcjTAZqVRzJsB+4JjLMOHn1Q5xfhHDgQy67ybkjyLGeEWpQe0PZF4SkXLsxkRIZEG1miZ384FnzrS3VQYnDObjvinM+AosKAU8JAONjcKKLF2wyWBs8A62ByeL355E2Hx0Ifeb4LdQpswTR9tE1vC5q+yYwdrk4tcH5zaVQZ5r1kdthROOJZ0iQ7jSlMpl89K0MAVcPcJPMtgIscOAWu2NPvSz/VeeGMlxE3dPHEDdtJPkAHaE4ztZoKlrQRMguCiCKXmwOSx2vaod0SQcUP0ZPV9QyWO+Okdg5wcxDakQZdCaP3yWn7+d5+bRwnzbOGr/cm66DA+Qprc90wuw4 +[+] Bogus NAT_access_msg: no_colon_6_(NA), SPA packet: (NULL) +[+] Bogus NAT_access_msg: no_colon_6_1.1.1.p/12345, SPA packet: 98e7sFeC6PHalEL61tSu7ho2m/r4HFkP2GOb2rwfS/5HaRPvKiPw48T8+8Ov8HAxGZsqBT3wPieT9S6QAH/4FIwWMytRYLIlLbUrWrbqjoXeRayeHbJNZJjZ3KwAiW/y8k/+g7k9XpQEB2RhaLkU1ihFL4h3I0fwVpwjb5dWgNT0t/7hIUSD2P +[+] Bogus NAT_access_msg: no_colon_6_1.1.1.2,,,,12345, SPA packet: 9+vZlGg+islFebuRz1/m1ftRufohTh0GgrgPAFO2NKPzxBJ3HLPWlooPirDYInt8Yr3W6SPPmFB6i5MKgCS1nBYP+LXnaqUuYs4fC/TKmxu+Za+tIIl5eO133OsnnImfmsL9OE3xkjQ9f5TththwAQHE7xLy0wWlPuFg7laN4B1piW54MVchh9 +[+] Bogus NAT_access_msg: no_colon_6_1.1.1.2,icmp/123, SPA packet: +a63fOGyvshDVh9te21F0+GtsjPZJ6Kohs09e/mGHlAqbC4ct9cloy1xln6Rl0kyIX4liz0YkGGfLSg5u9C8bSon03TdgIdayx7b6bssyf3lFANXlbjDtkdTqu+09zU5GH8CInFDPzMtswJG35YMmGK8pwXOcBXqiKl5TxtCp+UZF+IiHENlwR +[+] Bogus NAT_access_msg: no_colon_6_,,,, SPA packet: 9Qyyj3gtf+xjb0+kaw3gEO3sujxnjprLf36+j1ngQnd40skYFYrvoNFrjo+TIq9TUGlzz3XUR8RkVlgUIJdlJs+rRtM/GxJuzE0uM/czPdzmZXTwNhqp3UQFVGPs0wQC+a+l5HeyVkLqEcTm2i2t3hstkRC7CQzTY +[+] Bogus NAT_access_msg: no_colon_6_----, SPA packet: 9ovBFEf4GCEGMNhGjQ1RqD7O3MOqNfi+AscfBoUS4HP4IYtCf1lUdmZDwpBhDISpc01boepRtYXy7WxDbgnbHeW/YqG2pocGLpyyXv+5CvzqeAITxW4iOQtwXgpuBJwoDsVOJHGwcbqmEH4gy2BUB7hEoaW/MeowM +[+] Bogus NAT_access_msg: no_colon_6_1.3.4.5.5, SPA packet: 8rJO/jrGu/YxXsKUSJD1VfTAfKtk69EfAUMrbrcWiIklB3v1hbJOTnRMTERn9XtNQkVXUe6+GMDbK3/9XClhJXunKAkQQMDRkOzNEw4ne//LB/GJwnUNMV2o05RU8/xrQQZwJJ+M6pfANX3ugzCwx957rS1Oo4sAdWHWQU1GiSEPhqWip8YQlC +[+] Bogus NAT_access_msg: no_colon_6_1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: 9n/Nk6/cHCRszNmlIoIOZi4QPNFhEgs9qh5AVEMbadqothqMpiit7nDeFQguZXOmwm78r5ZfbgVVhcvzsD/vpFeU5Yc36GXS8WK7ut4SIrSrUM30diisZ2vxw74YKJmmKhyzhG8VV5Twzbdn2JD+nT/4LDoIKwujajllVePKkvzQrvcYj2zfY4STbW8p6Ky0XUmbrg7ncGO594PnrdDJS1qYG91zcmMAxmAGR78MSnwYbMZZmYx1Yh8wBBvfaCegBdyxNfF1pyl1t4dOklfL9WyEmbroWLEjCAGJ820H5+ZROtQBJYvcKJgWXa0vmRNHgc4mGotLKsUXh+kk3annDhl71KGBKgH8M +[+] Bogus NAT_access_msg: no_colon_6_1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////22, SPA packet: +0vADDOvgHV79jHB6f6VGlr9m8hbPe/dk5VcbZYh1v2Zo4PKlM/9L6qTwoO8DzMioxp9su1f8CFAWAy8HRbworvCvul2z7iWnuNdXX7psfrzGfdbg63KOY//DYdBGpawAl08eogEJaBP5SCy7MoshPTb6stBjHasOE3UA9UvQnuZphu2c0uaCsNY3lRYU/0Im3E43Q5ebCF4PGrJZ7Naq5x8vAFc5YOQ13kGBSGqipPcO4tLzfgrNqJEwSyfE8r/En1thyxl7I7zFlobc2sReYaZKpSFTCEhxU2Dy591yFycwZDlCZuo3ZaXpiTubljBiLp5U22E5uMkj9+bMJ6zQSDZ9hPXJHn+k +[+] Bogus NAT_access_msg: no_colon_6_1.2.3.4,rcp/22, SPA packet: 9c3Q8hZUZXkq3AwyHLIzCMyyzYLQ4qFtRSGFB+yhVScQlqO0V0fOsZol6L3i/tbuYsSaoPgHiEsC6AkjStUCmXGJX5P1IFXA4adqJjbugUFh+oomGVdOI7TegGPJKJbfzzadvGm0d6SGeip2J8AoFmFc2DN/265K7FIfqaR1k8wjSIqkEpM0nf +[+] Bogus NAT_access_msg: no_colon_6_1.2.3.4,udp/-1, SPA packet: 8r7n4+uv6D4BsFRAjQKZDQ7SZhk0JYI308DhG9mktik+hNVIEnY02H/Xzvgcurdt5FNlMX/8JK85eKdaCBJ3ILUIBXVXaCt1k7sIfDeRAGzDtmqSqcSD/QLo5bc6zT3KrS9J+0M/j6e9+0eyawn4WMuFTKOrNZqFaOCKuKv36skp3oRaWPQq1T +[+] Bogus NAT_access_msg: no_colon_6_1.2.3.4,tcp/-1, SPA packet: 8w8dG7+S8ONjnNwYFcB0E91jwMllgKZ9rYDrX73bV85G7bouq3ghVeg4rKAV0PXsLREO7Dxw8KUisxhGjBpLVCJ3clp15yiMITJg3h2Afsq/tLw7MAEYUButaPQ8H+FxaoAANrhFl5CZCkQNuaMy+jYzuQZei1tOrUfc7qesg4IF2vrfabIdYY +[+] Bogus NAT_access_msg: no_colon_6_1.2.3.4,icmp/-1, SPA packet: 9y6dqQFaZUpqMysCHMGih6QxO7fHBIKvRbiP4cpT1EZE4aRG+3Mzh4dXe8vzNkxj24oIKMyrfC3XZg6dGcKQhZVq3wtRcckQ0wTzJfIqkqn29l2MfjpjwD2loDb5fLKFZbJqVg5NtsVR2ak0F4zACfH0Bp1/n2zrO/HvBXRfuc8bWn3QOgpEcH +[+] Bogus NAT_access_msg: no_colon_6_1.2.3(NA).4,tcp/22, SPA packet: +H+fPYDSKp4NdtuwMRfJwLn1lflYySvxwdiKLIOFxZvUOuBTUyAF7wgM+DSGpHd2VUI/4+JjjhpMmu8ZU9lgiZTxCIv1t5KyAtSMDCyQF0P9cQa/9urFiV8+/R+Y9IM/ABPvHTa2zPjIm8VDbwx8tNDyP13Qce88IcaLXuVP+vqUkfDR4gbtFF +[+] Bogus NAT_access_msg: no_colon_6_1.2.3.(NA)4,tcp/22, SPA packet: /3OlvdZw0sCKVXV4bvwd9qrgd2Idt64gkpQ6+VbIb/V6Jm/SlBAHlrQeRJV15Z3BxMtstmX9i2A92cYmzjuS6/lrJqgv6Ja5QMhdLmMzHZ4KBgZbr8RmmouY0vFDjYfsDNK5BOr0omu5740nhASEz/2DFt0QQqx1yCgkQCaLvg1frdufYY4SAW +[+] Bogus NAT_access_msg: no_colon_6_1.2.3.4(NA),tcp/22, SPA packet: 8/kb9R9uUNK0/vUpDBZfx9Sa+UasarCNMvQX2gMxCbmq2AXEGFRO+PGP25Mf6DZOCz17YbCAP61u7VqasyylJpZrsRzJ54hv8VHtHZ3FOWeE1DWJqW4T7xD6/+uE9VqYP2fvqUeKbxoGhBaOQjwAwMLlglEK/BSFFgtgBnvn78aUNWhZu/6R30 +[+] Bogus NAT_access_msg: no_colon_6_1.2.3.4,(NA)tcp/22, SPA packet: /u8bqnUAT8/Y21ELytoyI1LJDeBBFA0Tpm9g2r1WenRv2xB1JIs8S00IDkEgnm+7dOBWiXEI0K894G23X5NKfHAxGG8h4/sR3PwqyLoCY4fGGSEEubPROXTnKL92FQmr+6M4kOlP1KsSXzJAWXCLNdiUiaQHFHHQeb6StOZeEsEwiSP8yiburz +[+] Bogus NAT_access_msg: no_colon_6_1.2.3.4,t(NA)cp/22, SPA packet: 9zIF8J4hMHuUqcN5GFSHZS9b9C38oFZ30FJ17N32tL/QmZ5PM/pnAFydW7Px5pIu2/KUdM0mBryiLo3KF6tIuYTrItfbH6C/hHUIzZzJggBrNA0HWZy2IwkwXpzjy3sP88gnNJtyR1RGgWhdEfjCxhA3PFYEtiwgR0uuruUXpUyqqdxaM0YxC1 +[+] Bogus NAT_access_msg: no_colon_6_1.2.3.4,tc(NA)p/22, SPA packet: 8RuoS1LkeaLUwMpglKMzkNfVpPTUSk4MgsJ0qHZZPJWvly2iPajMgmT8LuGAWEJN/r33v9DuoP5EN1cBleOWi/VfQifOmy+ikA3DVWRVw6/UFYa9AqhCoyIOfIsMBWLR96rmnopUuYA9evTyfmtUCc+H9u6JNGLlNwkHrdZsxl921O5d0RKpNg +[+] Bogus NAT_access_msg: no_colon_6_1.2.3.4,tcp(NA)/22, SPA packet: 8cXkhKz6+NSX3JWqvrPaX29uelcSzF1fc1EPM+icX+jzixY/5iSnbhfGpXhe+mOOZ055EZw886IwC/eq4Fdy69zTdKjmLRZo0evynABR7PZqoVkAdGyhqNY9JZegGU7Jd4YVcNqv1kb/1qwQM01Ys2L1M7uyZr90Nsa27qtCKKCMtVmZ7gd7EI +[+] Bogus NAT_access_msg: no_colon_6_1.2.3.4,tcp/(NA)22, SPA packet: +dmLPetZkOETNgKuuphSc8mT60oXL4GCTXek84QL5KcEYnmD2bPNz9AEG/yFUPe/z8u8uYOv8dLzoPqfKMFKKvr2UMxsrgXKTr9MUACOr1tYbxZm8NKxPpy2YAvATIQP60W/jJsEGglzP8kQZN/YePvYuhpy4S+ng8zqsIaGdT9w7n3txKN7o1 +[+] Bogus NAT_access_msg: no_colon_6_123.123.123(NA).123,tcp/22, SPA packet: +8T0FClqugqQplnVcVyAJRAJHZWb1Lgqyi5OsqcyDEQzxk/opmVCyNzY9TUX7uIaENMt0ZyVTeiCyTjvUs93RcBJo0ZtfMC4qVop3dQ5UnWIo582AK/wGSDT+ZTev/EsbKUb7qF7HQzRadF5/eZ7NARTN6iH2pV+hekaC+XvB1wO0E8GJ+iRHs +[+] Bogus NAT_access_msg: no_colon_6_123.123.123.(NA)123,tcp/22, SPA packet: /VShRkpjelMqy4L3k2nFjJ20ZH1A4cJ+9WXLXVUPbMfY/rhXEzNBOH5II6JNN1hpfmCbVz22CNO0wef4+/JmYOhIhRoJpMbf+s8UO+SrBNLZ3fFO7OKv3KUGk22W7X5LkOu7WTKHN3yZcsAs22+Szec0CbK5I0ARF7qqvGrvQcMh/WuGCnBKNV +[+] Bogus NAT_access_msg: no_colon_6_123.123.123.1(NA)23,tcp/22, SPA packet: /CjgyLSwAU3N9+fS1Zdh1Weguvax0jB3+0Hu+tXp1gwVE7MoSNsjkk6axr9MWaUBD/YtRTbL/kgrRyddFNcKpu/8wzPKoBxemk4LtRJDqNR/66uxAdTuzaueLIHwQXRQt/019IMcjUqgZ3+/hJJ8d9aP2D6rJYdCvdXLnK0tCrpProltegWZ5e +[+] Bogus NAT_access_msg: no_colon_6_123.123.123.12(NA)3,tcp/22, SPA packet: /KL349GxROCpUyxutBtaPCASKm1ZkInhNOZWLO7028gFya82FeaK8G8h4fftIZQY0nS71ndu5hvoHGiu2r82UszhWOBsHQsdzua+C1GTvy9Is3Zb9xmoistp4GulVVNjYwSZF6dAWwf1yc1847EF677shqyq85lVWFFk6LojGSR5/7fmsZe9Zq +[+] Bogus NAT_access_msg: no_colon_6_123.123.123.123(NA),tcp/22, SPA packet: 8jp5m1FPi6xetvlB3SsMrOnbCQk/hHGNocOW+WMqReol2Kd/qg9s4+tsz/za06egwVEyzAMG1zxMbUisbFR4UYgGYp2t2E/5OFKTFRAmRBJosLFNiXF/c5nkeQjBc5siHPHXXts7GTXfsF5+ThnipwQJzH7dzG63lNegzt7sB9oZEbPAgibFP+ +[+] Bogus NAT_access_msg: no_colon_6_123.123.123.123,(NA)tcp/22, SPA packet: +xoOZK0Lpvmm8Qamg0NTrQguApqzFf3d9IoynE6wRZ7SkRT2/TSFHo9Q/sVg/G3yfEuH8WtIfSFpsAFAhRggdQgvgFRXaFalZaHmdWllRxPF70jXNLSl8ajxjILopDG0J9elM4tYpdjD6AWAJEXD2NpC6HQHDHyYzWPQv6aNvxD2+FvA6VjZj3 +[+] Bogus NAT_access_msg: no_colon_6_123.123.123.123,t(NA)cp/22, SPA packet: +MvJEnePfACLRDe3lzky7bazu06GSzESK55Fqx9wBYSbH5wsp10jluyseK2vAwnn5M4BPd1oEjlr68R7Zi3w0A6eXK4qocyc5hoUtiTzhr4GfZZk9oGTpCdu9FsbD7epjAUoT4BMiga0L9+TlYnUM34P1aiqslCefgM7HULPMq3wcqTxexz8TP039TOIIGCd/bsvZ7TTYZ9Q +[+] Bogus NAT_access_msg: no_colon_6_123.123.123.123,tc(NA)p/22, SPA packet: +XVK8gq7sECJ9rzZ+OBV4E8NeTJADVfrWPJyysUELuUFOUb8YV7z9aRMjjMrv76q+/AE8dlIEbJf5QP+QvR9qqvaPH3ygtd1qZfzLccT/wRgbR4zELOLv8UhHYP6YQtEMbj8LCSQ62CYOrWKMSoQH7V7CdrY7dnCq866TmTkEPjkVdHHquBAQmZ8az8livRTtZVaLZBlMPgg +[+] Bogus NAT_access_msg: no_colon_6_123.123.123.123,tcp(NA)/22, SPA packet: /hMA1VM8zMSQb2pVFFmAfDVhhdd4jT64e3i8GT+6Ut/qyugFZOtVFlZ0pLxDdQD6ymYImf9YBdE3tk5a8VWwC8c12Kpn1eReoP65QdQbM+KzsoivsSqiKaE+4ATvVydmiBTULBlnQXvBkysy9zN2eRHKYkbVJuG0SSHKpuh9FHZIq8x6x5OI9oLv1zwY0r4cT27plTfb89Fw +[+] Bogus NAT_access_msg: no_colon_6_123.123.123.123,tcp/(NA)22, SPA packet: 9YlhGZpW2QsIZMtfqh8zQ3QVFLvaZjp1ixQXV/5DoFdLuij9kydJHhUKHEXKwgzmzhjaEmu0I7reC5wLIzUDHDNKUHMrJa+IdUlxG3WsVWWGqqanl/OpsXWhArhAZRYkBA29GSHUVbKkh+iLfFhFwlcQ6KeE/HQcBktNBrE+KqjnVLbUOpFyT7D0FLRlI6ukqbzU+nnvq6/A +[+] Bogus NAT_access_msg: no_colon_6_1.2.3.4,t(NA)cp/22, SPA packet: 8PqRZsn3HMoX4m0yqaY2OdiDPDrMzENqjeqSU+OIiDwkHgj87PoTpZWx8SqRGNryHjXuoJ+8QQLdeXL1WdFxbppfZEcfMCcZZWieonTeFsQshCxhBFOkaUlK66LWbNcr+OQqZ7JEqQkViKeWuZlkGM6Ui97V2QAYJNlVGHEAAAmR9Xku5zKz6s +[+] Bogus NAT_access_msg: no_colon_6_1.1.1.1,udp/1,tap/1,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: 8h0y2ombMYl0FoguB4329msfZNI4oTC+zd/H6IRn3ZPZECmI3SaXFuhtJsRPJhErEqJSEUrva7sPXRCUCAfxDU2iYdpRaz1XxC0ON4tGEXES1kDfrQ8LSoHdXJaGK85MjG+vIQGbyGUPOiAm6HyxZ6njbQCxDmK4mmw+/5BzzlafgdjxSVC3Zj6wjIpq5FI0wfy0+eoQ8FX8gKEglo7FObx18maDBb9ej8qrLXvTj9fdsq9HKQMLCn +[+] Bogus NAT_access_msg: no_colon_6_1.1.1.1,udp/1,tcp/-11,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: +HzMG6WlHw6/bn7h2FAZOk1tkedPDKTHjXoT34M8ZsbJJ3WDic1K29MV2dyKIAATEPDCQNXoTHz16Idzp4m1OGZqvuriT2/rDOynBWwhCFeM4maG6wMMx4BGu8b/kpAQeFgriM/mLKtNp6UsmPdAzj9Y1AmIyvE1fIUr0kSLsA0lI2ouGoRSHhkVgy4PBLpNWposHbweKjVp7xdBfWWwczvBI64dmYW4JUF5xfG8xuylXue4QhEyk+ +[+] Bogus NAT_access_msg: no_colon_6_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp/12345, SPA packet: /DcwR5fuQobrmpzJSxV+P9dvBSptdPz06L7+tQoLLtay9nv66h+PFyQXGm2p+D8pzoXAUxO1f7+frG8QEF0apHTRGxSa0cTytf43+9xfpvc3Lk6Uk2bRRIvPDEEReJP/2sharJfc5jDy3WQN9FXO4HraUjESuyqJicpY8bOT9H4QOjxR9vMfrbSwNSXVVbo05A4wzJXNCWScW2qQxx1eCSzQoLW3aPiywVFxKnptDc1lxmGZhZrIdp +[+] Bogus NAT_access_msg: no_colon_6_1.1.1.1,udp/1,tcp/1,tcp/2,udp/3,tcp/4,tcp////12345, SPA packet: /Zt2kW/Jiuidjg4FUfdBwzx0LYCxTRZ2QZAFPR5ukUTPGF1kQBoK99x9jPZoVoRM855dq+MX1tZlgwAp0tU4nsL6m8O3myShLUEcVMHe09hy4qDgKrmQFpPxn1LRZNHEeRDx3f2fAkQ2Ed03ZElqtmar6sD8pRNCOe2Xf0ozcfnymyvqpmiAetG5Zya3I/QqKyTxOWZu5yLrxeJ4DwLqMllrwD6AQHSzTsRRAYOvR5cAZ4Rh9E43+u +[+] Bogus NAT_access_msg: no_colon_6_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: /QrXXh7QlrTIHDfVabIrnl5CsiVcV12YaWgRfWsYGrojbQgn7Pxo146k4dOEl64M9rg5Zz+kX6Vnn5g1pq9inAO1Qo+Aacbzie2Tv5C1d3FNRjyuRX449622Ni2n1YE3qayct4Hr5xS/qQWUuMbJlDOY1gp/PHR1j2aCxOgxx8z5w3+WuXhXo5Irs1X6Er3lrUyRRUT95LXkYHARFiFryd2alj/occkxRLnCFiQ52Gth/aE09A4oGP +[+] Bogus NAT_access_msg: no_colon_6_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: 9C7MBoTmpqmFRxSDRqubKWOv2oJ6RxXB9rEytI2pAtTCfwQp2fgJefKd+nctfjV8FMBpb+CwK+RiW07sJdalWPCbhUnC6KjLGanoSedDpQZQZ6P85yWnAmIVTSq+6eT/tlzhWH+R1QkSA4HtMntXrFjCWnq6vzHexqyU0ajIoed4rbPHy2noyABfmzJnPRGdohU1O7BlCZVlEjt5he8m2B55QWAR56pZxFC2aFPm6mFiYrX5luVCxj +[+] Bogus NAT_access_msg: no_colon_6_1.1.1.1,udp/1,tcp/1,tcp/2udp/3*tcp/4,tcp////12345, SPA packet: 9Qf9fUNKTTHM7l/49X11jxj2Qee4AA7XG1nq0NFXNUHBoK88LWJLT6OvwkHkWYbx3FkyfJ19JGzj0xbByZ4Ju2+ysv4tmWLRHd8Jx0S4gtToEWW7ur1PfWU3G28rQtVo88tJSHVPao9HKybzvWz4KOa1AvnNx3otPGxOVo6N2vbBLhSSa7JQNXwft7O+H6P4dr75MNCdFh2341fYaePQYb4spuqZsRfL5yqPxaKqNysGpdQS5NPeyi +[+] Bogus NAT_access_msg: no_colon_6_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcb/4,tcp////12345, SPA packet: 9y4SWXAxiTUJptt2iqr8VPuE/hUkh2PuamcuF7C84+4EQ21PnO26tZGk26qojMv1zHU9pXIhaQByLMHzTJFEzJBi/nGYMe8VhOkC5AEF3L4Ka6+Ehk6dpy+Yy8o/EbzLYyB2UBIsy7wfQLLzDuc+bYc43mYOy8LhAQy3vBcUglGzF4V/PGHjGng1lO0J0VKnpGznJlnhxXQi2DzKBTfdNng/L7G3yeb7hZYxWQRNmhV1zYtB3/oIxe +[+] Bogus NAT_access_msg: no_colon_6_1.1.1.1,udp/1,tcp/1tcp/2udp/3,tcp/4,tcp////12345, SPA packet: 84EMcsqr4QSvoy0xwVixOu4Vq3HW52CVe9OfLT11sIjl/eam1OhDqptJ6VKWJc+SSA+XvfhTUHjM4LsgV5rBRzpBKW/XYfLNcWxHX0wqp7xC4Swh3cTtLo6qeNN9tEI6/L6AZkQHgezCBVUhzr5knh4psM6qdk0pPuoosp0wpxMLWvizG1+/JXmeJ3Z2AEMqN5MUjbIXclvRS8T3+txu3yETBinXXEQ/sEgw1j5gOo1BbFLxYn+jfu +[+] Bogus NAT_access_msg: no_colon_6_123.123.123.123udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345////////////, SPA packet: 9Sh4Y3io59eFQTaz9U4s3cOU3SkJJ9r7CQQ/w5GfyFCcF/jRNVrBhIidA2wnfW6ajoyfGGMGSn06QKaTUBhMUS25FkfdjU1radEL2ZNjXOvntr/mmJ90ZvTjUZ89ULAxnnaM/zzeLWo2GnMvA7RscRxMvcrU8QanOXa8A13437+6mtT84jMbjI/BBYOKbmik+bSeQKvjqsG0zjjcvvJe+ftovXYNMb3FsnEyC2gC1fP3F0NLRbTxwALowfdxOSOvQfkBYUbPqSxiY3n3cEnyqVYSsSkvjbfjQ +[+] Bogus cmd_msg: no_colon_6_-1, SPA packet: 9LA2ak0973IJUSxJOHa8Jbikmp5RCxm2T4ZReDZuLD81ZUi60758EfrqLF+Gl3Qjyu1S+LvKSOsjZZc0XprmAZLfGBWE/Yd2fLcHB35QyGpnYyh7L6QV+QxJk8BvjqYVrEQ79EcMDPLA +[+] Bogus cmd_msg: no_colon_6_1, SPA packet: /i+nV6vSYTTWklomDY3DjqhudvWslGUly48zv6ZqM3/P8oXPxvgZRn8GSY/faNOBR4KK/lTGTfhKY9o6bOIy/7GCOsOX+c19k1Kz9X1PzP6K8QZdOAa8vQCqLuz5jWGrDfNLm4MneF7w +[+] Bogus cmd_msg: no_colon_6_A, SPA packet: /MXN9+1cExSTdvaQVAOjETFp2YEwKhKNt2i9kLTctWjLAhLZyOLNYxayD5cyp1riqHhWRiD1qoju4Phos7aXcOCmwFBTh9n4e7e2Ys784YFfWLbbNhLApBUteBvvOJzhsjHaZm4r5Akg +[+] Bogus cmd_msg: no_colon_6_0, SPA packet: 8ZfOemDpVMG3GuF1UoMEI5Y3D38J4dOJ/6AHY6+ySS9wKfoWzwcJXk40EhDNE893/xqBJxt5wlvcKDfgFgFbqheD52cCM1DcFGui3v9mGwjvSnwzA4e1Uaf2PFeKHBQ0qJl9N5ETgQzw +[+] Bogus cmd_msg: no_colon_6_AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: 9YBD9cs9UNFhO0ZDykQy//N9LRYwLkIa9v6m8KEHqH97ODGJ5Gh6HHsmmfu5VEQq0TaX4ud4+zqTLHK2sNFxjrdv+31l5ngFFhPYmnaFrXPA+8bQ81aAMj/jtJZpNx3skpLLuVZpww/Xw/9FexA091j9eD3GcJSBqlVq8EGzigNulk2VdOwKMTFoOk6SoYkUk7P1qLWBK+10oUkQRVqotltEhv3dp2cqaXpE8CTKTzTAbGuid8tT6JmKIg6AoJY1gdsSIasLWPmhZzu4EKSiHdvQ/+nFEayO6XPJzfwJc7SPX1YWu1qHOBWTckXmCR/S7uA96SN5sO2Kmegwkc/xxSe6Gk7MPnoBL0OBhKc3nsfaYHmN89nxgry5X2SgPbi3y3Amw6h3WgOAnIhfTN2Dxk6RCJ4huiIk9F9sTP3mH1QxYV9Faue8iXiHGjym5P5aypXITnJPCvLoM1eCIaQbsSB2Sh280+UVy52dw7a49QawT+Fa1vO6dFNL0/UHmDMlLKEYibKRSQmol0qXvG3vbcBmsReMGziDvHSFHMsjYrmgnWkKKFaIR/VdIQVdPkI/FqFA75qEvPiXV9erYnAi20ppoNwZAmIKnW9jfjeuJH5AtH7Lo9qXQ7ovGNNDJaOmxUlD5EG17tK00uEUVqSugqGa1p8eBX7hD+mTwDq2ZVkqPxXdpyFG6P3lL79DnztLW9CfSwJYULWjOIyt+e5XSVqQoKSEiqexWXKQQY/Fm9geHQLDVBIqk4GV4YgNmsl2Bu+HNfBHIhQJRm6GElyW9Mp6kvRQbIOalQv3tAepPix9sDLAqbgz6paMtObuBp/GxjRg7ijQPOPRLrS3YBWMrFjqMs8xE1yRKCUbe7uZQsI2AD2nLt1j0NeGQxId6j+ww4LysKVOHdfJn7KpKpLEXHQd+L2krAg89AwbeAdWn57695u9C2lmoNOHtaJuVZI1CJkjIBftK2x7s4SRqbF1sdBjcXyj70lNoxw3V5NYShZTEsYicKDa6xecxaeB0KVXX8X7lWQ+15zUcIkUkcQq99Xhrvc+dbcBkxHTZv8hvX3WzhlmNQcoqgEAyflG+rFWVBKl/DvCi3+K/Fs7RjEicX6ZIAbKAqCiQ7X961oLDniMyd89FXebUKXpfJoxNUqps7lXiOAB2jGLIeoOHERC3g6kbW65lsoM2l/ne5fqcmMW8volb0LALPT4yyNsRvclvrBWxgGN4YH6nWRvhNN9ZTNccMgGISTSE6YllebghW5QLsnw1s/pKii+5j7lkRPZDBLuT17OoZf86SHRg+mWKnemVkvK8KsilanIVAF9YilHUGdDZUFGMHXQ5HnPy0UdpSAgUC6q4KkW6E56Nn63YkpItshHzL4zSFGW8ibSKSTJvQ/opUHaunllwdPazfyi+G1hvKzOtDtRxnqhDbAKGN3Ah8yBR1Z6I +[+] Bogus cmd_msg: no_colon_6_////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: /BjQF0bn/Xy1o3h+vS96Fo+h5ox1Jc9yx09QB9LmllJ10locGbIRUmAIOQ+k4xONYOuo9AaiVq0/vp6FQZQJ+B4ePp1s05eRVB6YIoJYg6KogdtPmTXLwE5zHxE0n1xbxrTPfUyj7S8tHRMkWNJryuRFSuo1GQsmGNOjRtSkVWsDMKtyaU+aj4lSDn+iwSaE0FUQP/1gznYEqJuMm4dJ2LFR2BqEUZdqX/uNXj1IyyRlukjM2Clln+l+aVQTmptQovROvTDjsoVlZbPIyluo7yR0iS2zIkr5dtNXNW2V7uaYkFdQWzz6zViLI7NpQSNFo9oWyKj0vsVL2crASOVdbNIIM/heiI2s4/v/K6ESFjI/I/+hMDfUdtjiU2RiUORshL3cRnywXOmvvQPOkx6yXbQzQQbeAg4b9PK26dviGTTa6XbeRdjFALFvPxYTMDEDAX5BdHF4VypgHV3fcWy5U5YYtLH7ZuYYn2jMPIV9SlBYMP5WRYylxNwClIAs2hE4tGShdeXd9vomSglYQxf0+u6nI5t3tglxCo6O+iW3V4krFEoP/TrDAtxBATBCVW/imqeG2y3Pv+K13b/DK72UFxjhROw3k9NTAPp3MqMniP43jsIXJz61//pdiH9CJHofSyoDTLobOXul+1flKU9IgbeiNV7GpBtrLr96lI/7X69DBW4lkW4NT+P0bFU/cpbkBJ6wrgUG/Bqzx4woBboXUDDJtFNG6GomeTOY1meOm0STvNShcTDpWvLxgNWgFku2ad9Uh6nJFqk/EVbEtd15AGoMq+AmAyk6966asq6/DsE9FQ+lWTWSQr+s5ilK182DREuN4tc5h3AWW2kGbOFUeDf5lntesglC/go99Rmkkrq0qCViqP/EzNoVtjGX3VF2uRqJq+iSPkaR+Z1vyi1f3QGkOx5VyYFpu9vZzgc5n+JFBEU2Ob/m4MztFoQHncKU2htNTDSR0XzfzLecgdGkBWS3ajVD/nzYZYaZgawHBMqd9gh37WuTN942IhvXOHRuAFGh0T/QbdqobcGZhmfwhun9pgITsfC/pQeoGfviuhkizGsF2xoRYHiRPRj4kyruus+0p2ye+MfHb3Yi+le9W7Tk99KE8ImV3wTu5GhJZ/Igqd0/jCJv1zZS9nJwGKK8YQcRHwAKfAMSNN/KUbdlQHfR1g7edqYEKaBKwRPVbXbETEmf8n8QP4tsoQB7voQBRucgFIwYh9xktLQKuopWOQDdI+CU35AYkgZR5rTdLM9LvYsl19syN9+zAJXrgeBGBTYV9LJNNYMYAl5jjVmAaD30Khz5zT+rOi7v/F+kNPYuvNRmYrXACOr0bfJ0rYrqiNIO6xGxSlN2dO5TdhSh3iEG239SJGeHsdHbHMBJeQbel6cJ7NULh4HSYtze2cAs1ZosQcES4boOzX7MhQwTyG2d+t49EV180 +[+] Bogus cmd_msg: no_colon_6_%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%, SPA packet: 9HVEi1fdtWQjUXviVMArp3OqcPgx04bSo59frXT+fbKdSa49sH8+F3UiwJ5o0Bgd0amZXipLfHC444/y5aGOFJwGokLj2yzFAQ4a2KFrTPpwHJ0gS2JF6ATuOOauz1SgFpnMTuwIXxwwD5Rg23HZw4e/6DjgB6a2+JngbCMoEuFGHgbsJ6RzqraFDlI9EdGsDekrZWjAAe3h36st+nHgo0KZTC9boWE3Gj2cYogGtj1lbppVFtE4foqS52LdpD9Ds0Zxcp2b+jGCT3xknGTIjoyjGdT6mFoNd0HZFgGZ+sLOwgXgHYI16NpmPOFoieOsLydVwrc+sVo/XfuwIntLOATCTlDv3jxyeyAeycl5iBIfcVHtOdykKbBgewmEOxuo2Th+u0OFz5CAlCepONwi061BwnC9EtzRxC6FcGw8H4doUyGCyibQmwZIontClkBr04QbLNj6ACRPDQbheWL51Qs8Dj+zDYwgIY1/T5yqdYQ9r9tysR3NdgQnKvgm9aUN7Bq6wgn0CcId1nUBZNqr6P3ateDs5sHv4KIOfWwe16BPhbFRJU4l5EbdihV4ghN5BFv+MQ3hpLehoJYJBhVaLTN9RxNe9Xy2hBp43YnuYHzuf/vz/4B9zJTfac1VVyypkn8b0/JiQyUPb1nts1AAwyp/h1spFoRTDYtoFD5QPTDfU7qJ8ltD72UATh/6hCeHf2u4ofmLo+fAd02ILXuhNkMMDxbVM7Z/9KavNe50bo7N2iBLyvpEfER1boMxB0QxW4MUAzV+DwdLbWqwxNNiiduXSicUbH6MjDMlTZZ2AiUwwUEf7YQrzd4E3wW0gXYcEA20+numB7D+t4BlAWQQlPsh1Jf0Jy7HhBack3K4hp/zCtgTc1/1AIaXMRiHbkSu/JSHZNhRbZj6vojt7KbKTx5xnZgMRRXOY5P3EhxH66h4ZYM3T+ExZ9u4wFlxiI0ZSGFkkrDiyfiVLPDhkPgXqyRGf1wgijeJm4t/52qGEtmidKmmngN6xHWUm4aL14GNBru9fmcl+Cm3rwzI6h0q8BgzEvZGPfBHOQuBJRkw4eJj1MXAZSBRCOvrhkwHEeT8ZIa6ByJtt7zYJZpbKknwIdrkEFu+cuwdJgu9yjNHbWGruuW/9WZsVmv+eGfz18dOKuVAYDrMPwREXVt2qAUcfLM9SZK8PbV/zKsnptAr5iJrY6ZJsbVzaaFUkmY8O4E8QRMITOcyrZUFSe/FBufkqhy+OZfFFJUwk1Rlv/4Vnh43Xym+hSseetykX4E87lSWALHFB3T4qtYHCx5qzHN1UxyXnopmLDlYL272zkcluEmpOZoQHJnxDxkkoIKaA2to/uILe13CFqzKyagVCMC0dDihwjaFL0kaHeVI5/xarqP+Myh7JIs2LLU7DOT812ifhD9g15Y6/rpBScGbnm4bM4mvucNprvCfY +[+] Bogus cmd_msg: no_colon_6_::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::, SPA packet: /+8a47B3+32MMj8pdMOdeAgynu870UlsHaec0Hqc1JinxYr/q8CZNNAvvbtTrfbXVl0QYv+GW4OtA3OWDeXfmlq2NammHQfb1fKl+X2ZtwfeNGYFuA5IEQAzCEs/TLi5iBLBfc8PneOKZWZE8YYjbJEagLfJIK6kQK4PfC+D/aBGlqBMKzTgcbdqRgdEf6/EmJf/5qG3qeekKVqBi13Yb/4oUTvMt8wQx6aHy/uI8fMzgXWRDT/BPdja1mM57VU33i/qvPmoA9/jIr+PmGhTFti2zjCTfIGhl9Uj5ZzddmV8CRqn2ZCHexMl/auFrURmdw0maRjUH6jPBB3ZcKYtuOV4UfQ7SEkBpBDmXbNb2VkdzomQxHKOR46R3hf6620WQLqvZ5MGGmkWCrcUGwThQ7cYA/IbsazGjd7B4xg+sI1JzDmcpwHAnnUgGHwjbkv94uC8pSeO6vBCBAT2pMFb68aHQUzNWdXAXBWN8CADOMWnoq6mKSZWn7nMSJVRPwFkPF49xOwcszhGuMIBagJvGM6MpXJGz8oU6TebkKtvF93IOdYOpl6vAF87MmFAxNNYX148GljmpP/vU8ExgwtXpDQSFYIPRcIbMYGb9/wpODeiC/8qBId4blkjNkunPUArSRm1ZmZdl5/wFT2zti5IXInyLmK4pBIsu9eJfirXfi8+elgpPbni9w4r2npRCyMyU6omAkJKBdwGi9Zct51lD3TSB1hDbwl0MczBsBUN8c8rDJG2xE0fsDmqvD8qfMo33dDsl9ZsX+bUuwbXVimfWZXuFVVVOQomS4SSYvNtmxvYrcx6Ay64iyxg5lypQyVaDC92ocTI7gDSEVrwgPslH4aJIlIs8tMGK6SbI/l5FmRSAiVqPn3mRVk0o6mBvN95/NuUvCQ1WQz2ikPaQI+W8amQZSRxJFIsNJoRzgfx+rKdaaghBMPC2r3hWjPzXNS4744/PWSZK8TLYDYO+0NgkSfwFd7FCcaYjrtK+R7PzoF0lI5GW2GlXe5MYH0IfKQh9++RvBTGSybu/uIZnZ1tcNKLkdV//qJ9ybgWRbaRSfiQFHqTjByjz6+kQaloGfLcn1wPrxDHF6Q7zrkJ4gGLvRz+kNBVo/8IZHlAUxrWjX3X7sn+bdNVRyWt266DJagh2Fst7HYqAnd3BPf/vE/orRp0o+1FTw+NFz4GQwqGN7gHUXOTZzsx6dD1XFBB1o1xMpwqaCYuh0GJxkjuuNF0ycs0D0ZDt2LuGOcF7X1DHAZBDFDr4nIhG/VRaSWuxZCC6FZAXhyC88WFNHKZ+eMzKyOz0ga9d4YnNDfeCYelfGOXMxOjJgCy/05M5kw4NAFwAB3n1zEPYxQtQa7CSwx6kAYGBHBy9Md/Zbh30O6bUosWtLTuU6UnZrL11Pvk0B9qrBlzHB0PEB2bXh7CJZEfnbvFAIP1kcbjE +[+] Bogus cmd_msg: no_colon_6_(NA), SPA packet: (NULL) +[+] Bogus cmd_msg: no_colon_6_,,,, SPA packet: 8aBvPu7GkrXZ0G+3vY9nwdYUD3VSDfaF3kkMRG30kR5/jZLhJDgwAYFMKIgCot1cV6lIUgq/k7CJ4rioO/oWGiW0yBl3gJEt2EhTkGb43SwV21OCX5XHrQIdUIBphrqT5g+b6SVTEUFQ +[+] Bogus cmd_msg: no_colon_6_----, SPA packet: 8epNu2kwndbg+r/o3XD/O3e4OpdeP6TqqUxZtd+Ont/imusfF0/V4hIMIRx8zHCXTLExCqOrwOtUAY7TxgxiHr/1clEjUpNXyNhmNtsFq6WqNdcfEml8Oy08BpL7fsdUgcA4c+5KKw0A +[+] Bogus cmd_msg: no_colon_6_1.3.4.5.5, SPA packet: 81gR69hs437UyobRnthGZAvvgVb/BaQGyIHX7/Fe5vx+XbnYcoAAsKkHoj5XK+tg7zSk4VOFdoLz8nCNX5IDKjDrLLbLkGGeT+yRlRRHpFjxdd0KTAgRYerNMLUBpkZ1D9I95vKRfvt6SwDfTu04A/jI7xYW/rJf0 +[+] Bogus cmd_msg: no_colon_6_999.3.4.5, SPA packet: +3XFgNpuaEJhHdkDCGDeecQNeTnCs/vRR7t8flmETGhfuqXdmj9hytdsErSKVSiGCOOR1UrCLx9snGcpqWQfgdTzHLkeur8LLCUj4sVbBEkVB+/dlo1flKaU9gi1OKqysKGGmJDE6KmOnOo2uPw4Xugx3VhfL1YEE +[+] Bogus cmd_msg: no_colon_6_1.,, SPA packet: /ARVGfI8g4pz/Jh3ANrzbmkEI9AEsBlotCMBa7reSrKSjtxaKoqY6EQ2QFCruihYOUdqujl/GbQ96Y/hTlYTIzVU+ZDyN5ouK1gMMjLSUOIV5+u601/4S5ePcwhAbjfHYA4AN453O/HA +[+] Bogus cmd_msg: no_colon_6_1.2.,, SPA packet: 9Jd5dx1PEsqSRZlJsmwXg2E1iLT4ioc95Ja/muoaIMV++NjUcJDJ0yotdDj8+3btJNJ102mxBD5uYPX7A1A/8AXRk7f5fTmzD5pZztkJqPEGv3wQkrIO8LInHh99WD40vFBgtMki3Pjg +[+] Bogus cmd_msg: no_colon_6_1.2.3.,, SPA packet: +/ysIPWCJDsUSboM443aCJDOfCkoIMAzjCVU8soSImPy8vCrBMVdOJ/B63gOaW6nJQdByZCcLDGwcraiz+yrw6yByKO3sN9bonSnelOXn2Sy5es0Kmf3eG6a6t4hN3be1F5xwdrEwh/6QKAnsG63iWo+LHVsy/dbA +[+] Bogus cmd_msg: no_colon_6_1.2.3.4, SPA packet: /q79HJlC55OyAbWQKCdMdEM55WpOblf8QHgBLqXD7nkoPqPRFvmGxGpZnC/7smolbgMZEtgmDKK9M+EqbLz77DJyVUDHsl+4h+NAoRWQHMEW17SPGNciCHLFMYxgQsdB5q7C1GESY5vLb8XOKz+oupGFqsLRMQ0dU +[+] Bogus cmd_msg: no_colon_6_123.123.123.123, SPA packet: 8XxgrlyELV6liCPvo4nnJ9iYsLl5LZ2Qc4uYYKA/xspc8/1lYUJsVdctTURFDICAvZoxnAyLzgPM/OuQW1CrOMG/vCCX9HkOHiMi04tj6ahJRz6TwFRWxfdlRy49d1l5lw/HHZiphTV1QRD4A9lfZzvHgbj0rvlYg +[+] Bogus cmd_msg: no_colon_6_1.2.3.4,, SPA packet: 8MSVPdHCpuSeIAT0/vyCj6JYliJPiGw3rOCcjmwgbAFgE7o6FPjrqL8/GaQOQsKj0Xset3ujwSPcWpbdG4PJGoWtg6da/YwfbPoLL8cuG1bGR590whKH7aOK1llZddEwxoQ32J1mghdvOCDKdtdk1iWOXsAb9aXqo +[+] Bogus cmd_msg: no_colon_6_1.2.3.4., SPA packet: /NwTBTfNABk1H4q0FMZCf1JUIOEVccMVpVWbhKLRcf6+KJ8UTIAXlOa94xxNk9fotcFGSS3GX8i3pR0eMKfJ3N1QboWPpW0xLh2bcu+tTYVdZCBL//R0htqbPCKpJUeC91cfsdnswDH0qgJf3AVfJecbmHugxKGV0 +[+] Bogus cmd_msg: no_colon_6_123.123.123.123,AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: 8Vq6V7vhhGi/9D8Rjt9nT+c3GMQ1IxfpzV8hsxHlYHOW8gc/9kO2kcv9InC7FDB23pKOFwoQvTWtUQwH0SA+9Zk1ZTt5eJJGge/TwUn2sCuVOJjygui0LLUFjBhyUf2st1XRa/GmDnLwZ1HEinUbpelwAT6EBF5T5WE5eimHPaUNz26FBOiC0Xi3MCVx6vGGg7zbxuuxR08ekH6JWIPiPmkrvxOcNkVu4TAv0zsgVVDkx2whtfihtZy80BV2sz1+wvLJLc06FL8HfiYacVBtXxgDuDlO0Ku7VRmw9X3LyGYdasTLCAwP4AQGxNrrgLhU9umEutAH7lns/YuoLTKXnyY434RXXZ0sZJyBICD6CTEhD+AZ3UKNFfAKucjDMabDXK6imsp2ljjKkn8FLis0uuSq8pHVHWhixI7Dvm4q6qoEYTS5YItF7SIrTmJFNUI11OVnD2aH+VMQtLxwhRJot2hbDZRFy7UUqL2b21w8NQuqRZFObR6OMX7hzRqzqNoTX5vSTCPREApjjt9jf8JaIk/rNiAUENcI6zLV6Se5Q3IqtM1+U+W4lfm6yf69Am43AX3p98BB5ezzRjyaexOQm8h/1Nc567zhfnTGVt1NyQGf1ZTdZgeiuiFd7bUOoXrA5CGML9lMzZFNnlfH0cTc8nQyef9XkHR28h2+YyDGnLCS5g1LW0WSdHudIQCYfaW8MyYDb/SD7R0NQ1SePPgFczrKdZGJX5L+NBA2JdM2JhKShelYEqm0TTLuxIRVV1nbMn7kDAMKHDFypdHRENkRlV02EDoSBXuTt5jdg5HqmzZuEDQH1cWE1K96z5LhsFMLAythOlwXNe9Yr89o88IgyFxZ1CaMNobxiMSU491uiys2MzqLws4MCiyJfMk2ynBZwmfPYHl4OWwpMzyFg53uQP0sKaLBdh9QFNe8F/InwfkF5nzjz4DqidTFPcB8O4E0SNpDbKVqq+3BkdqGmYHFFb8r1rILhJxlh4C4JkSG/1VaVq2MVq5KhCv22o5A0IbVYEdwlTFyvkuLleq+U57lH45anC4vxnOFDKU7qZo3xuBS2liscCOWLhwXMXAyVdhITiZXkLJ5YdIABMqxyGpTtPpnEYQFp42R62UEVwQ6OKGgOu81qb8Emv9fj+OoabZU7fLmb+VsyEM6LKQBDYb0oTzkf6FGT4AZe1fnrsnVxF5az4pbPntY8wzrPk3MiNDtsTlBE7YTk7nxakrYRV0T+SOvU0M/kTEeu6OcMhOT3F11tf7JzOe9vcWIrXCyPX8mz3Y4L7GftT9pj/NwTZFhciROsbmlH5ge/azHG+DzR7X0LFJKVCjoMrvSDTkT0iglNWMmRzHUQ7lcx8fH7X1w66Twr+RpbKnhVpqrC7pqdmx4wBsubW444Qbwsaq+ugij2dgatACyoRywS8a5NmWZmjzlqNdVUJ+qA +[+] Bogus msg_type: no_colon_6_-1, SPA packet: 8vv84cJwosCTyDmsA7TQxrvROLmT51f6aYdsHthbq1JcQ9b8d9BsrH1hTX8nkDeGS+8j9offGjCFCcyYLjQx6nd4YPmYfUOHsSkrnM/mFGqDBwKUPIW5s1ThZHthIxPQX3T+Ya54RnHxan6UleI7UWoC57CsiNZKk +[+] Bogus msg_type: no_colon_6_-2, SPA packet: +7Zn8gjNV4LkzDj1tO3/kTPYPzKu7VAWLfa2ohfLix5fcwpOuSR8sUgn7LmPTU4GAsI/E7Y4+BNax8zMQVB5tVY6a/R9NR0GltRir8pUPIqLGE/4Mfo6Z67mOJrhqwrfUgGORoRXBUQlC/lZstNi0GQPxbfBon3mk +[+] Bogus msg_type: no_colon_6_255, SPA packet: /HPHxRT+IbJ2J7BbOioYV0VRmXNpsyliu5hvgCXrYT2LU89VXeHrKNsSy7UpxgtngG6c0VDnZ+veWErD/TupZ6LXPJFq055uBwWr7W1eQh4Z1Qf7Cu+dlpsEg0cwQoOy577NgLcDuMd1jB8h6somlGqrrRQrbyfEc +[+] Bogus user: no_colon_7_AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: 9zuXplhtpxEGFWKLrZTq0bTUGQ1+XzwxQKSHN0YU3mNNr+rZVvWMdDym8Bow8rXbZEcvjicffAGb5Url5+4gWsgKrH+4VZKU02cjYiQW8xUewpV7IHG7L9Y7LmWSffu4Ch4j81Ysi67UjrNmGMY9X2Pn46g4TFGOtqBw1WhoBvuNPvCnED8AAVEpG3Rt71IdmrhIlxZRETzhytMJlX6OuATUFX7MT6/UKk+ja7p4Ud3hS1dskR4/BygYSWQ+1rPZPYoh+x6FUz3w +[+] Bogus user: no_colon_7_-1, SPA packet: +3XfCBjPSR5FSeMlFt976I/buOaQNrcJD3zo+l2sMHmoVY/02gZjVj3u0QKGjLiU71SsTDeXeOdC8PkbNKqA6hzFigJ7cunbe/7xWi9kemSi9Ud84cAIAbCCqhh+dUhNmFaLcvmjIXmDLMAExBZflCMAhJzHjb6IQ +[+] Bogus user: no_colon_7_-1, SPA packet: /WOUWzuLwoqr1xKRQmkcveyk+A85aoACFAu1wjfMNanoC9qskMJGaxTp3Zf10iDg4UEhPfwUq5XCfstZBocBZUZ8a9wCi35fnFslLLm+/hlxUnNkHN4xjv5CT3imIxIiSarKDelCUfYTALs/Rig8E9urGn+OMPAgU +[+] Bogus user: no_colon_7_123%123, SPA packet: /OZ91S/vNO2GYpIEfS0zJib1ccZ3tfxQ6dz38yZxrAN4tLruvTHC5ksx6GSUD31/pu5vdiT9pu+lP6HoghHZ+AtLiGr/UEHdwubRV11/H2RKq6Ev6JQs+4X2hv+x1VHKYdNCjZSmpaAElU3eBMgVLSrAsWSXy+S3Q +[+] Bogus user: no_colon_7_123$123, SPA packet: 8Jt+rUz3cCdHtyaOKLtVdx07apUzmT44odxf+Q1MKhLOGlwnpXWL6/ejMEZz5BKU2/ybDm0AifLoqRtBHUttIjVPyx4wWTjr/WK+3Zhl783a7hHTvcTlka//VynzN8/rBKUIA6dMETrlQO1TpuW41lPziuGwCjczI +[+] Bogus user: no_colon_7_-user, SPA packet: 9y43GvDRQ5+8//1Rh1aVIvN8r6BVcdF5FRf45E5IHeqQOZQqPcXjZMJcFNYG4OAizFt+f1rzk0Abat9tRbkjJCe/tvOybAm50Bx18IlN3gp0HsUzi5rdjqY/RBtaKMAbj5GapKeT7UrPFb19EjnAmmmLGW4sl+ED8 +[+] Bogus user: no_colon_7_-User, SPA packet: /bbUXJIn3CGVI7h0hliFSmlbT/flU25MKhd2RRjF/bHTChdVLt8Q1A/NceHVNCCOB+3hpsfU7kxmTF3+VweaE09s8bkcs5S4H/13ooETqLp6bdSDIWiKDAV84OzosJUnyyeNhEzadnJiH5Lj1kAKn+iOlxCRxHg40 +[+] Bogus user: no_colon_7_,User, SPA packet: +ykM1nVIEv9pG2FkzNIYbrg9KsumJoeHpbA4BioaMizfjuaUD2jsolXnMLlXDt10TuQJzxWo4QbtXlbCrZPj27fTdctvqCm582tBwlAAju1LU5lNu9AspmTcFz6Zfe2edt0qT0d1C43RcSG32K4okroZfABFEFMGk +[+] Bogus user: no_colon_7_part1 part2, SPA packet: 8W/CMXEyAQQ/UL2BQQpE5/XPVght+FPZ4PeJmJsHJSaD+eBg2u3ZT9Mbvn29FXH3Mkvo8gdoULk/ub2hwAFydwSkvXhOSaa0isFxFaM3KpolYgAY3FpEkO9V+pwlFQa5HpcRc0Mck3Kv8WTjdv0TbMe4X1PovXCeEj8sv3G6LDOI8xOJHUSxWO +[+] Bogus user: no_colon_7_a:b, SPA packet: /yjPgsE0MPdQdwHPW/bvF9jLjGZ7xHJKg0uQEABMvFYNG0+6mj6XjSWDOxYN0QpPLRr6ezKRXUgO/B1hNxBiSAJNX+QbDbVlkTvEb79bx7DNLkbHX9vyU0ghrWcZEth9NTLkdgboMQ0kL3lqjnG8ICGKnJR7GLkt8 +[+] Bogus access_msg: no_colon_7_1.2.3.4, SPA packet: /yIwplJKQextlbHR9yr7L3kfM1TalXZikqi/aWhEucmIILphAw8NrPy/vaGULa2j7POAXKEAOVKy3YlaXCw/MQdndP5sx20ksLHy5nXyibvb6mWjWI+NCROE3N/RX8P2IP7UOCrD9ArzYG+6lG40VvGF9bZgEXj98 +[+] Bogus access_msg: no_colon_7_1.2.3.4., SPA packet: 9a7BWFGCoPTX1ZNXPLQ4cp6Amj+Bv1Xl/VjkHYMUggwLZ2tzL3L7ehvTJvwHhvjJX9BkCSdUVScKLEJoMSGLTOaoQP/Zwhd3DVSdLStR2py+P5Uv2z6f4x9JoD3e81N8BLlUDNjYTpXPfjQPzzCYXe+/1uXx3HpaA +[+] Bogus access_msg: no_colon_7_123.123.123.123, SPA packet: 9lCnx7e7VIiXVH/4RsNg2kh+Sm9UyT9eymaSoggWJsLdFmj6R21fgdlfO8QGH+Ej3Yhk+78eK4Yl5oegCakNKiOpDAxzU9bpV6IA/rWspiGttgtDufADQgJIjzZLx2Lb0fpzzM7QrEtnJjZlrADHBSN5wMiZCqRlE +[+] Bogus access_msg: no_colon_7_923.123.123.123, SPA packet: 8rzEKub0boEPBZ/YYlwT3DqtRY96rVNAlvITHYuUoo3gu6jxxmS+vjyHHdrB8xxk3p4LvGWeVL/ZOjTo9Irvab+esv9+zByEg7V8LY0kT4k9Yz7ujeQWotGCqJ6Uo5d8F0+YFCyQFYoePRTrufL9MPzdz1W/dLFEQ +[+] Bogus access_msg: no_colon_7_123.123.123.123., SPA packet: +W8qNuAPnY7sMJ3FmZI7KPIQc+qY7kWSbuT2IPrF/vWYh7dI+XytJHGrGMZqu2KHCKajR9nP33FDfDQP8JWKS5eTnf2hdXjM3ElA8sbWOAs0rNyPsXd1CsZ4LStrJvW92M0gkBziOOdlmhfp40QgBvIsys1S/pM1I +[+] Bogus access_msg: no_colon_7_999.999.999.999, SPA packet: 8YOw9wAxT4QiqJcnDPNh6WcyJHi7T/wh2Ru1R2aq/tUX/BZlkGMTPbNyxQbLQgQNOhtmScSjrjxatzAsHNwFKcw0UzkIB1khQ8P/uj64oja2u9jPlOhJ7K4aSiOiHhvDhYV8rylzWcan3Z2VakZa35qSbJ5wqF9z8 +[+] Bogus access_msg: no_colon_7_1.2.3.4,tcp/2a2, SPA packet: 9YjNFbVJSC67BPBYL1w0zU3f9F7odW3KPN9/9geDdaCOnsEMkKrQ+JhAeFafWT9pTFnYdGxoy8yVfwEkCFkY6Kh6X7FSsFuKUQKq6t4HrmjZ3AcoaBNU0fhUnrU5cFHUg8gc3gWL/0hKczSGA8eS28MRN1kSysO/g +[+] Bogus access_msg: no_colon_7_1.2.3.4,tcp/22,, SPA packet: 9Q/IoxBbsuwrO/BIo3jAFQ5zTJ+gkzukDqJ65ib9ZcGhUaUmQOXHVscRzW8kh6TA8VTkYgizgENZXiZ5KgaX7izrRFiTO3xN4VFUciXZ9DMgE33DJSHPLen0kEAzsNw5jCkXHcwDC2ggq2C0kXPOS7qeFeXWpqNcE +[+] Bogus access_msg: no_colon_7_1.2.3.4,tcp/123456, SPA packet: +px76sQofzfgtWdKKsOJD3+AipUSkeEzEGiI3DrlR7j5xiV5GbEk8BoYGrsCIxJfvMRkbhZV0Hov9YsSAE6+dxn5jK0SoBOc4xghuNjOA7u4Wn1G42yehM/oZUtbb7Nx/xDvVBogo6oDz0edf4abqVq39XOXXGJxg +[+] Bogus access_msg: no_colon_7_1.2.3.4,tcp/1234569999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999, SPA packet: /V6IsXqfNoCUkW1uYHWdw4YpbaXyojiefcGTLR3gj3075T2OU8n/ebVEgZI5AkSLGUyhtdtNqdEBx89TEtk6KcSIYQBe/RvWQB+C30MD9fP16nOuaBS2mjzxFcCMg6MXwVx0Bj+/liV2tkYQagc/OSAJBKFgY13ogHPNGy6GXR53jDVVjhf3gfIoSAqcT5N9dCgH8ziH+NJzvpecw7YzVsP+sR1H9IfHT8AC/XA97FpGwwlz1RoMtZRk6OVCsVfz61VS6bFy7ZfKbeDuuO2MQda4SVWvLpFdCvr0WxYoQ1UDDycKoOP20LapoKGpa/54V+7A7AVjkvGpeu6LmqJ9SNVtU8YTYEhuI +[+] Bogus access_msg: no_colon_7_1.2.3.4,tcp//22, SPA packet: 99WQhEuUqKs0XFsXJwBmlZoDoNZGRbIVMCxRjrjDUgnW8qa+KIJefVaKgh9Z73/wIr2g4U2F1kGHor6kHBW/y+OB/SVMr00pcXj1vFRxoH/E7hcGWvOTXKXmbktKV8qxM22ZEEHBcqJ1OhOBVUsX+FfJdC4Kd3UNI +[+] Bogus access_msg: no_colon_7_1.2.3.4,tcp/22/, SPA packet: 9V6KalU0FC9vWW6tiBeYkSqi3g2q7e06bDzCGdC5kMElGEIeU0aRXKO8FVCzbv0ks1G7wQxz8Z9pt6n/ZZok8f7UeQwtoJuWHoWtmNgPyl+rJU3lvvW8WrMrJ1I2e5TqFYFQqsN2fl5Sm9+c3UQDDDAVJ/JgDABIU +[+] Bogus access_msg: no_colon_7_a23.123.123.123,tcp/12345, SPA packet: /Yma0cVr0xK8CHCE72GBQWNXLr0OKDW/M2EEMW6+s7fKGjEMQM0qCSMPlUhbnX06zusbNP9CPLM5vFJJF57q8JLPLrd0yO9ea/iEqzgIHglpRiMLETPbRqaZy1fIafqcTRXMYsDRIob2Y1L2pj5HtNYDz1coeiVA7Cd6MdPTaQF/FW8BF8g92E +[+] Bogus access_msg: no_colon_7_999.999.999.999,tcp/22, SPA packet: 886osWYXN34yxaPMsPckbJdCccbY93QRlfL2qvzBaQHEBSNJqPxBJBHmlgCDnCSX2jRCuufYDyR2IW222a4aFGM8NzHIXCuFovdEidC6x26XDyncrSFwIlr/UYKYEyDM8DTdaov8YeFb6Sv7Dl73BeQMbeduK5HTIR7AFOWw1hWYxg3NX3TGD7 +[+] Bogus access_msg: no_colon_7_999.1.1.1,tcp/22, SPA packet: 9JWwE0O/TJ3WLkty8hXXGuAH0qW4hI4KmZPzoXYig49zrxnoiCT/DnLxKi4z7/ihVOvLlxA252NcWL4d/eJGgPg2LHnsB59eh0fDlXeGiZTYaGCJtgH8+N6CchLjDCpnbujMTnXDJP6hq2NQ5PV+6Pu2DD/CWkyAI +[+] Bogus access_msg: no_colon_7_-1, SPA packet: /JKDbc6WEpxJJ/P9Gl5BA4iJHP7aHgPRvBpOScjJGYnasRQNe/KK8aN1nrZwsxMfCvjifq19jtuvk7FWdQfILrALxUPibpgsKNiJGjPqau3JEygLkMkTQTW21mtlFg03mxGwFybGFouQ +[+] Bogus access_msg: no_colon_7_1, SPA packet: +GswXzJ5TtyqnaVbOOHl024JJTm/4uDFhr/nYvv87+qtsMxsUis4oMQ82HvCznmH11eQovgpZzPnkOpIP1tgy8+A37tz9x6FlNzKds/d2d4sqOkhcSLhTyv+8KUMBK/vVRs/okhtFTUQ +[+] Bogus access_msg: no_colon_7_A, SPA packet: 9p44K4XoQ4hAjoXIHJi4ngRQ9yKBO9mkpT/uGovAAvd/3bCalbUfDdcxVB/wzlWo+9OBECfUVoRZ4zeJaKkba6npLJsKrAPGahkD1jPL2QFsmLT1CYW92jZXdYRUwQ0+d55w1Vcg6YNw +[+] Bogus access_msg: no_colon_7_0, SPA packet: /s1DkVDcXn8bl8dGpIgwVqNgEp6i4SC2rk2IO0/g79KU4W0ar53IG4CldNGWZjL8iHHlyIZ6H2k/zGfBMo4QGXqFbFLrU/Naq64XEK7w3aLIBVGzdF090vj/CGDqMu7wqpM8xG9NCTHw +[+] Bogus access_msg: no_colon_7_AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: 82iCHiu3x5ZbnOqJ6haMSA620FPw3EecadO9Qo+mY/rNc6vXeuqDTV13vecr4NCXc/iXK29O+PLaqTYhS9tpMzIumqEaywONHvSvXjBoAx/Wn40FZOD4YeL5ZCs8eEQMqNNhVB6MoAr6PRJua6shMK3cww9JvZRcjir7bmEwfB0NrE/5BEjfL7h2ZJJT68f0EVcij9UrsqkMv9V3fgT2ar0aRg+RlW5UUs/ebQiMPpz+1V65cPYK7oWbaGO1xchcuUgn0c7tWEurxQaA6el4Oi760HBbNXSDEXJI0hVI4nDS8/BZkM8Kp05iiDqfhRE6dGRuefYQ9uPkX+Hz8i16jjo14rpPgscCXwthqLr//smmdqNCJscQfOMQf7i5u9c0WUIwzmegzuHstkUSaxqd/kfgpKcIPZpDuq/OCoDainytR/1vflGSWfYI2H5nzJ7yH3h+NBE21tc6blkFapY96s5QXqY+CYcJ6fseAQv+yNxGucKO1sCmFSObDZ9o4Ud/ePJt1wZB7EhMi9OSUxdNQdJpW/P32UEO/+Z28BtOEGhH7fgnIhDYnny630Ec75+hUvTxaz2HaDd+nie4AO3onHRpuQ5qoXFNFSEeJEm7aQmNvIosBJj54qpJRkYTDY/VBPph3lxrlxGEdPSr0S0MyTVvMKdX9UZbhVuw+KoU2li8++EH8jn71wNuwMHPlployc57PWhQ704jVi5LwFnvOHD7RKBPEssHPtdmOJL+TIpmtcSQVr4K6VZ/jJvKLDBP7SCLEglgj959lQtKx9wNPJ5avosZqjlzBVAL1yGvqxwisYf46OPWGFYr8t/qsQWKpRtUtgmESf/wvv6ZsFIbeJyZUlfouZAsjGxHFg5zICpn/+CeOdG/gCQviDi7aggnqHD10nbhaRHtH4EhA31Gw35pX4DFIIppGIs3aKtel2s2zdHhZi3b5PHrDxEr6bxxAFeBYk9Zk+yBl9NHLEta7Ub9vzznvbA4fHbWfDAReWHvEy5qZNFNXJdI5Tevu+/anVqPANgeIk40Ib+MN3RUF4EBUOEcoYeQWTOduOaKJkJcsgJDXMYwaj61+ILWHPyLGMUFgxuz2RzwQmfXbq1aX2L1Dsq37H9dUgHkiEIMn8aAzjEvjVU6QUpb0f7yrswVWEn/eymVsv5dUjmzJpLrJyQFKGN4NDnG6vG/X1a5xSXPsSgmJz5rC0/07jc+RibvyGQvWa/rMrtaT4WjkgS6oRj3BxscnBkWa7aPXF2h/ol9Y2YsdkM6gV3yWWI+QTcYeXf2nqeMdWETIdvQQNeAOVd803hWGPUrwqIGAiAKLW52G9TyZdkUlkX1rVyZNtNURADgO9Xm3k43XOys2YZxjBdzCbxJscj+UiCkWWowukdqiRt3bnlMlbuOk4ON9CJrMmrJ2UJW5sA8rWUwc4xt4EppRo6jeO5No +[+] Bogus access_msg: no_colon_7_////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: 8Jw6ToYmFYiD08NBSEyxgNHGhxzxhe/w70+VWsQ1v/zKrFw04N9227fYBg69S7EOUIbR5yllMcFQ57jGGwzAKfW9XjUqrboBakBkPFth8kdMPjhNxUSJTmFVgtTNeChPTw1Pu52Rv/MBSxt313gicm/otIoGVShQWxjKmTbssFkCEUocU2QqJdJeP4UWI3dlDzBGyuHTsw1q1/e+FKIvkuORcUmPRDlELnsCSTzTasDBLIWMd2zg+RPXQ0vOzCdAkIQ0N5F5YH3xzco2DnuqNBLmaHL1ptA3UceRSdRuBJhVKyNKu0HyaxMQaUfNISjlnkasdWkhIqWwyg48CqsYbVUXEp3kGo3Q8GOnmel48f6TmMcXsCZnuQ1MC0Hc8V0/9AA0fn4aib0d7EVglx1+L/MjWQY/daSxBhvCc6POwlo+VKS3hTpkRBB7+Rs4O7QfQ0+cZWiTEpa4ltgiw3D3FvaIOgD34NyHrEc1XByGv9O+UPVFfLezBjtiFekj3t3blfzwosozYkpai3kkZuLHcs6Swx8H5NYWl3llT3xf9qY6tQEjH7kO2SgbFggqfL2tIyY1Pgo+Yj/fVNTyg0gDuaUxv8mY2QigTicUBF3zlWxSuy/372Wro9zu24eB8pqVsxcY7okJ9A1zlamQAEtI9qeZhpp2YsPFGkxmYrXYbcJipacqqmLP+MUyrgymzOkKFRTrJEijcmr7/hwr0Pw9RiNgfDrj5d84D5GJC6R5NAE6Wn102c8e3dGQr86Xs+AVkuBsth8uHCF7qjXzwudoMQNnpVm0c/D1a2/UCigwoMv07IfzFD17FBmR2YA8Zr2u5hViS+4tvQCiblRbpICkr7c+O9T3wSfmp7VcxXbJKpuvwAy0wMC7ASZWRg5WsBB6Rpyf31oqjDmzaFwJ1pygXvuTlT/Dhnhm6roGOPihf3PLS5Sk40xdcL2nY2aF55P6vW030fqLCQHdXyCzGMYRDHSm4crFgXh0htopwL0U+U+JDk3YQBAcX4tkiNCffTq5ooLgrCIRLUhTqCR/OuNlgDT68X0oFBQfx6c7qUHQBBBuLmNcCv0qFACN+3JkFdS6TJOsCTHvSjqYjt1/HrfIaWfN3g5W6SSSO5aB3BR6LF+IKFnPqivmz7s8DfHuA6pb0FOcfNqLfR2vSKQlGhMfByVSi2g8NacxMXmhYelujrvQ5rDToEo3t53RMtZHsTW8KdCsmRBDaXT4UgZM82UzDRcN9W64p7x3v070W4xJgJ6lTRxtS6blPPsP/JHD7Gln/14gY2f3/ZXDdaHLEJZWGNPte6beGPMRy4/rJ6H0TiRcZzlN7hh/NkWSB4qUaQuc1qyaiEdvt49rqk+vJ3vtPucRplmTntDOAZcqJTa/WGNozOjabjHP++iLEi4Ki8AhV7fo0vdxPuUbGO8PmIpfwtXwXhNO5sRAU +[+] Bogus access_msg: no_colon_7_%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%, SPA packet: 8FqrordoSSPyGkqOWPQEPipgbiTPvJQOWEXZyUBZKzyncQWpYscVyzdI54M6M2kYS8Cs2a9gY/UJrVPmK+rzJN6ukaMgjkMjFwPzfTFzIYJ6KNb94AioKIDWyfdLFkxIcSsVjeIUnW45SQjemX+sJoh7iizHL/vUnoJUOPOyXP31jHX+S7WUf/RQ17KO3fke9g61Hb0E/zpQuRvxZygmJAiK4zmQ9T2B58u3D0QgQhrCBt0cVaiJmM1oUEpIfkEisIhB7RcTBXXsQc3zFevT71BElGFAqJ3cfvMjELGkPXx1M5yaKUmFPygxvTBdMhBn0FYM6dxTXkhUYNhzcikW6TLqknSYGxIJ0UuCtWV6GeDyHMAM+zpjWDmH/M/oZaFwhXUBRp5EXXU6h/I8IGLdbwyjI+0RYfgc5Q2eK8wDk9GktIvcUuvNfmSul3oV03vofArf6J/RlRhCcfDypu36h1UJpVpak1VYFczIjYMzuo7jWO/mFhb07f7pr0Axd29nERKYuZigvK25hqUQX4gO730r3pwboxX+u6g1WNXHjjSZQmfWsxE9gZ1eE7hTWgEKKPY/222YtfKZBbI4+vUGMopwbEdiDNUTZ8pJNgZ6GeygwUwdL8SAkZ3jWFTxOEPEVfxx4DDMJXC1m3WCBP02aO1qE51zhRZAoDuEOOla+6IAnur/uzClEYNvF3TAf9KWToIbDgzqS475dUweBTgHt1EBg8fDfm1mBAv4h9MXA6y/rOs5ZoRO+2TXL2TymEuUwVYW1V/JGkdmEE/tiJiBQhMzvx/sZEPWrsXOBEjJE3mLNvxh2xQTunI+cyEFgsxvs16RgoTa6k0Zq+ts6bhTz7rYE0b/y+OKxVgZr5sEJCAbc/t40mewZ7DQj7i+eEWSb96wWlTzGj6Mr6tKi5+bvxlq5O+LidWnjQllIAjOLw0sz4Pv9vo9Ya7iXj3BskOnk6PB2B/i1RxF3qbcEfu4iurmcbcW6OuQUmx5cgMWgMerhtra33Rde4BieEhLuDTVemEry73kvTC3otSjKdmvKjsxjvVB2+nKB9zV7XsxfJBUR/5fjP29SZstfOAXKuZ/hmCFGQjF3ermnKX8XM1AElpH6Vb8KD2QEOAgJdDROdzNrmlUgiXImCG69Pe9PsZMFuoNYUPiPnaQxylkv8ULCHW5iscoBt/xOcu0zU4XF3uWPNYCy92Pgp9h/pBkh3vjzMk+mQrg0otIbiKU+J11az/1P/P1E3Nczqnw9iMF2/j3LDbe2VLFgVN2Q/LSMn4Hd27AhJcpG5C8/C8qdTxYd3B/pGEdw/YP6x30ZrgEAeqgfrLH6SnclKwDzbugyWqfQyHpCypgCEuJsKG2H2Ci+xEv2YRbJ2MNsKyexoq+t6fJzBfdUZbKJDcdrNuBtHJvpzOjwsYsUtiCrV5DbG5mQfV+Qmh4y5VTM +[+] Bogus access_msg: no_colon_7_::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::, SPA packet: 8bCWEJkMBiYSiw79MrzbeBc3qTDNVZuHJNOkY6R7sf58wRs/JzJnkYR4v+GL5aPIBYx5dhT6hNyM7DE5lD35Mza7GE1rMpQVFwHw3liB09R8LDa7oZcV8gJ8ghBukBZuqW6OobqgZsQbgNHhDjRhg1p4CoSjq+AT46/laeVc/05AUWyDbvbjXFkZBUPpmgaHRW1xC3jeo6WIyIFWZPchgViYFrGjf+m0/7tGKpwU9KqyZlvVYB/KO4DRZqLRC1T5HOcYl5v+72IzfKeiUHRv2Qiwp5UQGttGw0JnehpwaHaEhkjHtPIL8emfn+S7Mv8UQEHox1CyZ7PIDHglnHhDUpLvhMOj97DjOKj6JlmqJoqsRIIAjGMJTBRMClGHZUbQv+j0purEbq53sOnyqfBXcgeoPYR0GAP0ilXkmT9CI0Q3N4Sjwx/nj64WAbnaP5AYPBPjeqNXnp0F/Npy6S0XFSi7iCDQZ+LuOGPJu/TfDRUA+QTYvF3V4tS1ePXvN7yFO/okjk8sEMh0djfNFQ7SV7NbdFYX7ZqsoPhMcEDz0q2STIa++s3vFmBB73y5jXZw6THJcer4Iib3lWlEJLp7MiJpPFhvSnAOgkeGFGg+AxamqU0FsWG5A+nZF7OSiYoshLoV320UT09mhI/Y77trRH9FXedqsg4cQe0b3W7qmk2EU8h96wlxBCNvTovkYgp4Y7c6VD+iWCPJP6B7DnZMn6Miy6YbCb2wWDDTQZyu8eK4Vrk8jXG3tvE6xBZWHMs7jwt/JkTgqHYSMw+Kz/wL0gzFWLD6yApUf2bYaggc4mkF3pMgBiUKdZZCpOEfjxD7ayGdlog0LaqAc8LaVUG3GH7Ob1Q9+IdCQFixBnqXxJeOPI1ekyG2EZnU0YWFEoi75BZ2PULRVopkCX6kPZwILMrXljp+YIrhoKJN1qTa8xgtLYPNBQeSQf6hdKjrtMz4uYMNVfxpXYPtAierxrW1hAF28d3aJYqNIpcEokCnb5OcrTcNfIOy7+3h/4GhhU15ut6BFZ4ooIveg3jUTtP5K2FDSzGYzI1ywgYs07oaqqI56OjI1W9YleAZNYydpNG5y0x7InjSTaEhrWDsqZAEpZZ30lfDsfvIsZ5h4Jdx0kFdqfm4np4ZJNdO0YmgHYLuJwuqU0gWBcfpL9F1bwmwflV7WiC6XyiaAfaGK6Y4dPQBzPcejWotbZ1WrUJS3CXaGtaBhD9qRmW5AP6DR+8PhkEAZ95Zo0RXv7R3kvIPv8S5Mtp/8xHk5IyK210e+XUfXtlbsrXYLm1RDLLMBRGgaX8Xuyul6ttg4Bq7ZV+tRXC9MooOnE/cF0OPne3ekK9MyZAj1ic4Q/23MieAprND0Ckk74dNlfD98lPJM6PMmQpL34z3cs8OK3eqewtP40uI12s6PTg21jW6CJGD8gdGm0FXK5K/6LjjU +[+] Bogus access_msg: no_colon_7_(NA), SPA packet: (NULL) +[+] Bogus access_msg: no_colon_7_1.1.1.p/12345, SPA packet: +AcQPgc1hN75GsrwT2mdho+yIOne/HW7qGgUcFc2oXu0E2IfmguSyGt7A9VRPgHOc04gnj/kE8eBu0MPMwdXCGx1miuXt34SK9fK+MWiHM5cwnQc4QKY4x+7ukjyb1nl1MGTNc3pLQtgHVsmfVCfOOPqc/HlhUXIs +[+] Bogus access_msg: no_colon_7_1.1.1.2,,,,12345, SPA packet: /kpaUpX6CJXP7KgFlAHYc3cwXlIgKobN75dFqESc5PB0Gi35aELaFDHSucH2svwc6QRpu9a1bC0kq6bQ+ZXaRsy/NWlOp7Z5U5t8M/ocWnjUTW3p3ZEEe7ca0hdG5OF7zzNkWNul3XtaLE40AHpOb2EYNmpd5OeFg +[+] Bogus access_msg: no_colon_7_1.1.1.2,icmp/123, SPA packet: 9e2Yh5xefAGBvteAU3oqxUUdTa3pi6TBhjgm47dQ6eqHKuRby2RJLfYS6O6Iko57yUYjcLtUzBoPCb7Au9vd2YTf12bKB52ZJWEas6qEurMjsjA9O5WOBYFandqK7J5fnMVy1RTQizi/3/Fxtg/UuhAg2jSLbMSfI +[+] Bogus access_msg: no_colon_7_,,,, SPA packet: 92J6G5eq91K6ueKYgTukS9FBWmFTt5iIZl22LADQFpwa2DQ0eZs4KXin5Mgd/Ix5tW3mOw//MsMUjU5WwH96JxehYqenIvRmSI+XC1W2Gni9GYVxPKYeaRKZb7uEbXZmqBrWcEUoMQqw +[+] Bogus access_msg: no_colon_7_----, SPA packet: +PuO9ttdgpRYZW0Y7OgLlY82Ax6j6Ey23Rl8xsaeZjECbb44BMK3gz/pxu0ymw1R6/NTKReDbrzwoq05keYbsw0tEwUlVC5f3bPsGj8QVWGHD3aPzj4lGRB/E81IMyu67x75rU3LYq9w +[+] Bogus access_msg: no_colon_7_1.3.4.5.5, SPA packet: 9EN7e3Xs1YtcdFKRbmAMiTpkX7GMgqjR/nFxUJ2j5tAMojn4gqF9k62jpvIZLJ+TIgoY9L8SZhNlLGFZgpSltrypYno+IfsN7L/CLllcAb5/nGUp2u3FDru1umlVZKEuWIzSJPBnEJCs1Q3mGxoWC+Nd+muHRv3bU +[+] Bogus access_msg: no_colon_7_1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: 8DJMnKCk0hbLKqld7CjDqrNFmKR/7MzgZob8wBUggx5PIDBc92vjg4vBwqh7ssfilzlvw4xNV64R8yQgmS5qJMsl/OYgurKYMxOQa0mQcxxoDNFn4543R1Hy8E7SeDqzMBjCVteZEK/s8AVTZaG7fgkBD9NJWcgYt8q6i5uUOZ3jAS2IjyT9U3rIUycfKIFNt3Ud0ykMCvIZmt2vA/qW5Su2Jx9tOrwrqzvbJ6FJYJDYvP68kP8f2s8dT5Ud0CH6mD5OXlZdJpeVsu5VZtf+BFHtvDDc43NHJMdj5yF/iC6ija1MabxQsB7065udAbFToqrNT6k+VYIg +[+] Bogus access_msg: no_colon_7_1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////22, SPA packet: 8KSWNKwUHC9nZTY+bt5BjeA6581ukeg70IR3JYkRhKUOcV4aDHIsjiVQ3W1DAx0GR9ih8sBpCI7TJwU5OhcE870/+K5koaLCTP8MZ+KGfE4+e7K+lmkimArQbLnSMriDNl5eRZYcO+1LGf484eDX7dhmCsJLbhEKbYF9M7f9kJw79dV7P52tzwldCIY5xXFgTWFlyRwz24tJedK8EYtldzPu1a0iooX7MRxQhZpY5HsCE0fE9OdimxTtIZ0nBQMjAAU1TkWtERLw8T8JBbTMsmiE5CpX+0TWyocehjhoC3Bsu9v4ccKJ7kp7C4IpGXGiuN8iYPZ7zabg +[+] Bogus access_msg: no_colon_7_1.2.3.4,rcp/22, SPA packet: /ygTHYAc60p7/mkXG0q0fhhocPpFYEJo9+sjUTpyyH5a5STkf091tI2eOnCRPRVA6ggQcFpRXTtHT5zyHCDOEZRAWLOv3zTjnKA6qX3M35Z/2Byp+NiFChznoE3kxdnsTLFxDdsXS8R2iGU2Y9vXLjdN1GNKxvB4k +[+] Bogus access_msg: no_colon_7_1.2.3.4,udp/-1, SPA packet: 9UgSgaAfPlUBMxd/r2SL5a/l1l7SBrkwAVm60KqL22cLkc9/zTpwL1AMk49SVSJyoKnILXZ1rSYVgWTJhIFLFl9a3vQRPx/VS3cKqGxQlKmSoGSIPr8CyolASwznE7pD55AaMeiDbz6R6zIA49xKAxnvAazPLu7+o +[+] Bogus access_msg: no_colon_7_1.2.3.4,tcp/-1, SPA packet: /nC2DFymnaNdtmu8dOMyBMHXoOfyQ+fC5TjaDmQFDGDMiINPZTlDkNjesn5KiQdFbqeylh9aDFrAQDskFiKiPBfIXK8756uM4ZFK7mKje/H7iDBpYXFtxXFR49tHKkqZCTP8Xe/UWolKY6wF9K+JypLFu49Xf6ik0 +[+] Bogus access_msg: no_colon_7_1.2.3.4,icmp/-1, SPA packet: 8kr/8+gh41s8eDJQ7fUAhLoxBDCDAAQanSrZTcvMSbrk3ghNelmX4XqxeBxfKjf6OhNUmEhX+y20DX7d0/hunWoPwlRhZJXR8vGXBXU0FmIOCCvEFxwNS+e36MA9Ny7q731w5YV9zWKW13zWedHPmHLPx5+5n3RoM +[+] Bogus access_msg: no_colon_7_1.2.3(NA).4,tcp/22, SPA packet: 90avYcHgSySUHKFyvAUx5r8mycb5COHjCwIin9vus7P8NfLSwFtY+8DOVE7snPj5L6vX1Q11V8lOnXbpDARdhf/4bqPT2xNWYeURQWiaNXENzHUBkvmugMkSu5sHNio/vgPraai1AA8w +[+] Bogus access_msg: no_colon_7_1.2.3.(NA)4,tcp/22, SPA packet: /6qjRcf3mmL2HfSRVE04X+sCcjMSHy+9EmVUvqRRSrngIH2HcznTAaiwKHPYqvivFSutnJwVOtXl9kxoeC4x0pFGlJnXL+YCWFEPlG9Kd8RH7mQ0jCBaB5NmWH/O4aU2SR4Qh3FG+Yzg +[+] Bogus access_msg: no_colon_7_1.2.3.4(NA),tcp/22, SPA packet: 89ozWt+pqw7GCuw/TnZwp6Em9qdrRiqex7hluF42/W9MTCYTpnQnqWWCFB3BPnw5NfrtuPgmcxb1Gch8whNk/EnNTid1rgTZy+ziTaTEJuN70kM7/GMcXbVgASOECN3s0GUcKex7i6qp3RdORe7AHeSvRjEfERe3M +[+] Bogus access_msg: no_colon_7_1.2.3.4,(NA)tcp/22, SPA packet: /Ri8V2nMIU4mMhr4evtNg+lNf4skabGCGKnqSPKYj6XQyn5MjaRMbRQHHAQYcz1l2SehRimUFEsjK6r4X6ilEDD3OK3GzU8IRFuPpwCgpTaktPaUzEUbOWspMeHAIDjkM5AYUIL+h3nnwQr0AYllrOIYcBtfK8i84 +[+] Bogus access_msg: no_colon_7_1.2.3.4,t(NA)cp/22, SPA packet: +/zy5am9tt/4NFWAIZ5LEuwZDk4vGKqffyVyjSsbvmGaazFCn2c1NaOO+CjtCoxwsdStXtl4bNchbNYyuuHQGqO9SRsoiEDzHDWz6IAWK/mahcmlf5ylWmrixu7cChGaD0Iuf/M9TurXKue7kBJRvdwussazY3KN0 +[+] Bogus access_msg: no_colon_7_1.2.3.4,tc(NA)p/22, SPA packet: 9FRhl6jQ2VPL75VYNrkWPWGyGjO0+tsbUTboo74+xlf7H5KNnw93apYeBWhm0SRQknW94qy7msZsQHF8pSWwMTxsajXoF7Vlmc8USt3PA3127oYmHKlWEGwatj+jQ9z8GafgYe99oeAiF/uJUgRMDtFPEfKHsa2hY +[+] Bogus access_msg: no_colon_7_1.2.3.4,tcp(NA)/22, SPA packet: //iuVMOCnAzbXtR2BuHeHF9qSSCkLlOB5SqxzaBW0wC8bNPWqq6mkTObeYA94AzT6N7W0Ug9ps3e4+1Xpprfct5QVFy/zJB2OGPlm0YiPdR0Bx5+lF1zMsEoEdg2aZzmETgEj9o+KWTK+2/aGOTH/cqciRsZXyUL8 +[+] Bogus access_msg: no_colon_7_1.2.3.4,tcp/(NA)22, SPA packet: +Pq3SKkZcBn2pUwKwo6+a4KJK55bWC5gC9aXByT/0VhX+2lDN5FuI0lu98CAJUDRLjjZ9jog30NRlKzPTz5bwpeUGBEdYeEbTr8w2G5UDvVqjCzXwhwy6QNNnE0etWAxwnylKloYfabYTPl3IuBkcLBa00a9kxrMU +[+] Bogus access_msg: no_colon_7_123.123.123(NA).123,tcp/22, SPA packet: /U0ycPtSZsaAaYyD2blB/I3wSEIXVXH37KobNzMuh0zcZQUl6V0Pxlz3jpGjIEVc9WqPvT6AijJc2FCXIluKxjhE4pI0poZIlnrTIxmbRUreLuG1JTb1ye5SKFxWPLYqe/CP//Oje1G5sUyQs/qWwabnUtxNc+9LU +[+] Bogus access_msg: no_colon_7_123.123.123.(NA)123,tcp/22, SPA packet: 9UtO6SvPplUeI+QfDmfNXnco6OsSVm4Fzj9IghE6xJDq0alD1r9eibKjeCpmBu4VBTO/vQ+8p77Jrtzg1itb7qXGbcG4ECADmEgQZWj+G6GQedqyNE6xLO7NTWXS7VVdr/xNIg3LfBHZKhox87oiP2P4doqH5i8kI +[+] Bogus access_msg: no_colon_7_123.123.123.1(NA)23,tcp/22, SPA packet: 86oOc34i/6gm+UQFvBS9zC/wfhL53xuC7hPketf2NAjwOJlfN6t1ZbFoEPFfeaREEmPD0b4uvypPzaK6F4C9urSHh6ogDkXuDx7grHyH0fqlCyxQexb20Nohx3Ok/m1+xC2Wj6eId7vXig/XcNyvqHg/QY0Til27g +[+] Bogus access_msg: no_colon_7_123.123.123.12(NA)3,tcp/22, SPA packet: /oGXmgeh8/aGD1TmD0WIQ0h5Rmva9zG3hNHTjvX7aFRcPcWjqeHBtWsLlVuzRRDE6UJ6VGi23TG47Du2wF4I+znrSUEPx1wvlR64b6QHEfbkPcdXocZOBDl/bdj9Tcg4iB2xWZ/PbFr1Byb11Ljvg5M/q5NJXyWqU +[+] Bogus access_msg: no_colon_7_123.123.123.123(NA),tcp/22, SPA packet: 9+JQQ/UYD5BrnfhbxsZwefsmsK2481qZUdkSsdWwcajrGM/Qczs8L+dlIKhpWX0q0l1lm+nXjHiNXDgI+BNwrgKZveS24XdsaUjSgcIAoco/G0neb7qMuigqTXeJLIhBH0X1RYfdwJbMro1q3p4DZyElbkRop5O38 +[+] Bogus access_msg: no_colon_7_123.123.123.123,(NA)tcp/22, SPA packet: +0bYspsLOmt4BYKmEmuy6fQToFjDOAKvNA90JP3gRasRFiFLlbPMJlUYC0iW08eOohYjSaONRfOYMNqdDthCKRlBm/EOl0YJ84P80lyj6SeNiRj50PJPgZSZn0RIm65ZvpLFuTkUSvoRttEql8CeAeelHyw61UUdA +[+] Bogus access_msg: no_colon_7_123.123.123.123,t(NA)cp/22, SPA packet: 9O74esFf5vcz57xJIogYcOo1/3iX07vaXVulEAfcUBXnjk0US2C3rnWf9nZ01zMgjn2bZGR+ga7duCkwMoVOW6NIzt+SPismNVoL8ohy4Xd3GTxgBtwXNlAT2NdNQeWfOupSGpsI7iPrBxJef3mP9ZnVP++QlCd9I +[+] Bogus access_msg: no_colon_7_123.123.123.123,tc(NA)p/22, SPA packet: 8haSvU9auQnNXavmS+XPE3uBWrevB40PPHqXMUqfSV+DsMto6HOJjhq/g5dkvSRohswXYkMTcr79dAimFgUG31kzPy413GE885NvUUa7SjkFqc1cm8HlZUB9bp56WraWmdCAn/ui6MgCbIaXaBQS11+cV4lQH0Bww +[+] Bogus access_msg: no_colon_7_123.123.123.123,tcp(NA)/22, SPA packet: +OQTS734DsEeouqONsZxQ3dpNPOWq3XZ1i2Kx+0imc6uLXcc61lSdw3ZlW5W39l1aR28nL7D9WQ5V+zttntDr7LMkMowHZ2kPvg6V1KMXniiW5xQDnJK4uZN5P8NsWzUoxtAmHeJv2kt+rrgncDrUjb/+7sntpYuwNCfEGHs3eBBo5XqaAkbzf +[+] Bogus access_msg: no_colon_7_123.123.123.123,tcp/(NA)22, SPA packet: 9twAJvtOy/jS6Zub+2mTVthhLMx37/xx7MDumXWJ4kw3Nsu9vmolhjJYZm0VjV3P8oJhaMeBaV7K3oi2VkhlaWss9NgH5UbERfbgdxwhKezQXvb8fw2pFq0x24qPRMbFMNu3f8Tu/xkeu83YYDucRJh6DRC+7SRF8bL6kocGbLPg/xH+1m/SP/ +[+] Bogus access_msg: no_colon_7_1.2.3.4,t(NA)cp/22, SPA packet: +D6RuLZF5ISjpybDvyrcut6bdDmj/RgEvYQwU8fOB7LTskiBnwHZ7IGkPGqnJ2D1AkOsI9qH/K9zq6CuM/ZioB7prQQCccu5TNkPd7nkroCpXWdYEL9WDPmEgV40lKJjcWbljBH7gL9Ci4/tMhGZpAL6uFbN9NKHA +[+] Bogus access_msg: no_colon_7_1.1.1.1,udp/1,tap/1,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: +RGIg6TMxqt69YEtzoPM9XSX6fBccCBlF9dg+stJINgrSi9FWPHnlb/o1D+3eOhEMnX+Is6Hwfrx1O+MTMPV5mgh6dn5Di5QySZ0KOaMLTrRoPmwy3/puB2y3L8g7N9ssQ1ZYG0KxLrhOCiO4bRLUgSxzQtuXVYg0GP1P+N8ep5ZD273l25y1YrWCf2FlSuj9fhSsMOFCdP49KGrmQulrxQVmkmXjsJfk +[+] Bogus access_msg: no_colon_7_1.1.1.1,udp/1,tcp/-11,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: /gWWxKktSNo1JN6jZEqP/YQvcK6NE531htUt498SIjNtPSV140OCfMxb1xMqD4m6H7KqMrWtXnUl/jRoX/pg5Cx2fzdjJ06R5ZLtspNLVfXZ7hkeqvJSEPT2lFxqZ486IS5bneoXm2Ow1zMhOwse+xEr3AqFDZy32oXntIT587I23h7hdp2GE+3SYxAMCUx3cOxEF6DvI2ItkzRgEBoSo7wRiAvzTX898 +[+] Bogus access_msg: no_colon_7_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp/12345, SPA packet: 9In0AJp5hFuOtLOig+iK0MkeyjH1eSCBUTX0rIZDUN1JXKrMpgXO+eO0NEOGVq/xUW2zpRgOtT+6U20l4zOw5lBhgYINGG/VMGsLP/BH2cL0kpx9enpKmW+yOwZpFbub4uUSEpszsZPzKUy5ng81lzRX+VRrodDxJlmISRZ9I07D4hY9NidU3Am0QHnYS7XZ0YMPDiU8t8dZbuNfK5H9rqaXYL5/BFFPA +[+] Bogus access_msg: no_colon_7_1.1.1.1,udp/1,tcp/1,tcp/2,udp/3,tcp/4,tcp////12345, SPA packet: +MXYapRdw/9M2UcASuPBA11J/0zBIlWJgpAVNX8++Ayf5SA7V2s2D2+sqYViRzgfPBJLejOy1IoEOqxeE5azRtFsijPftYCulkvAnX93+Jp8KRRzAzcJlIUKRIZsncWmNmw9qb43V60AGENVnmK+UnR+7aXbqwP4ULd+W7s05wqfmyOvhaZ2LO4ZJEjTH86Gj1Cddc7PPyebt3ul3/Trlvg5/wQPAFHz8 +[+] Bogus access_msg: no_colon_7_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: +WwbaOx49vZM22j6enmhxf3gpigABHDBGXzlfz1vJUIQWQ4QmMhf6JoSgnyJXckxR1rFtvXz0Y5jh2wxuLTt91GZMWIAQT0ZiIV91cUuBRdBwu67MOaelkTbZ+mvNNx/7KSejkoVKRGCVywFbI++Vr5DLgUR78q7VFj7YdXSMWnusnFgnr6H4WsJtufudq2ZR9D+UhbBh39wyFXbGZNh+rsQmMVgQz2kU +[+] Bogus access_msg: no_colon_7_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: +MEpIZNdR4HDdxXy6r0zR6PEK/ZAZ+cVstvxJLkWo+PmvWR6yGGBWme+maFdJy/SRnq83Hz76lEddf78N95Jhwz4/d/vBNkNWCLceMSURDnP6n7FBOm6wHdrCsJ8F4bFG5GECZDkO3Bnmct6uCNFGbNeNvsOipvqd+TESIhyV8wTDA/IZeWjAyV/7yEOzSYl2yVU0DxUkX22WKtLH/kHVF0b5pGoR8ifc +[+] Bogus access_msg: no_colon_7_1.1.1.1,udp/1,tcp/1,tcp/2udp/3*tcp/4,tcp////12345, SPA packet: /gSkYeQlDVG6zU+JiKZO8PV7rks5wSTZGWdXY7sQz9r/yPDgb+mPlwkjTNwNvb9BgcItVIUTRs2d+Ewj6PIb9CIeBwugV6GNHzN/cMDiqk7ROrlcRqyDqakklw5J37VU2fsvbNbsNvm/9YS3XxJmBZmig359W9rlMmjRbOjDZCOhCDtbqhf0Mm2xiPrOvJdv/7tTmTWxTULa3hVuleZkGpPDb/2WeLqrE +[+] Bogus access_msg: no_colon_7_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcb/4,tcp////12345, SPA packet: 8xTBn0A15tv0BEDt3vNysmbi09foVkWa4u+UVvk+abtvjdIKD2WdmfrNIJu/HNIw7zV8qTloFfZ6X4V+tiEAlZdYD+M5wm4D6OH4jDwOdxLOJIs8ExTGAb33bfEyV0Xx7dPz/IwQHbjKNiM6GC0vdYM+6/kcwwXbPyu5pKcFEESRzNpM3LjidP04GID3Ch1kYT+1cHjUrZwuH6gFS3imTHbjtUSH30RJc +[+] Bogus access_msg: no_colon_7_1.1.1.1,udp/1,tcp/1tcp/2udp/3,tcp/4,tcp////12345, SPA packet: 8spBCKkY4n+lavdLNwZWTkgemHfrNoAF9Mk8izX7EA5Tlk09joxQi5Kl9V1eGRlDzr1anhyeZdqvGKZIAu8uTswGKJFmpWrAKMQGlVSOpLZ8mTpChYJDamWfI75jiPnxS4fOFMl4TQfSD/W9wN9XwUkRgak7X6vwuDIRSNTev1lpwJVMSVRTJ8+4MneZSbGe/r99/p/9oIDBmMF5bbFZep0GkRNhf7c3E +[+] Bogus access_msg: no_colon_7_123.123.123.123udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345////////////, SPA packet: /TMnjNht4D+b9FrBuBTCVx4k0HZceIfJrgMI+BIWtyPyr0gCTOUD7OleZ8DBZxRQYfMbIsIjlFfJmQOorj3qp4M3iLa2rlyf84nHpbLNefQi8yHTvqmLSFspG4uYM4d7R/mM9h01O30Q0oVzWGVvxmcNcyInBVNf1rG8vIvpjlQ0Qus+fN/2l6orV9iUhpPVQMbHPyaulujW6g9sJOw1kqCepMfbiIWq8sau5Yyryv9068UiO2VeKMI0+tNxBSAnYdGHopFRkXow +[+] Bogus access_msg: no_colon_7_1.2.3.4,22, SPA packet: 9XrkZAxYAMjRrdEPIyH/nxVmZkKiC53OMHlzUPxk0KfO3V+Uqs3jI9MyKHFPj1fR4JEVT91xoHViJvAG66g2hZFuNYh4wjmsYHcAfQ9LAl3F1rOvC2D4jV+jddYdRXEj3OILqAdMK4gCGJkJ73+qpkB7ScaGtvRsQ +[+] Bogus access_msg: no_colon_7_123.123.123.123,12345, SPA packet: 8MvSLfoAHm9e84N9K5FBYLG33ElikHU+8D/WDtW1xa+xu/wLp7hqdZDwqHgiPmM+ImbvoO43trv5dgY+Vk5+vaorhpkF+Zv1Pp7WjszUY+AV4gIsoqY7RUWi8UryJwU7dwekUeXzGlN1jRT2Yj60+RFDETGMnO6lyKRbWi0v0XLyTMOsqMTpqa +[+] Bogus access_msg: no_colon_7_1.1.1.2,12345, SPA packet: +7rx3mpKuOS6NxYsaDy/T1P9mCPWOOJAeDxAZyYizZahSpG2+Y3+heFf2aPXhovcpJtJo/sCrd/gzMrMSrvON63EKHApGpEmJR0kJ1CPyFJkockiI7WoRrZoWNPArnzX7bqVUNFMqNI2DLu6Zsaeitl3+ED1z6fNA +[+] Bogus access_msg: no_colon_7_67, SPA packet: /WF1pIt/sPl6aTeLcvGXfxu2v4gRJrPy0bxcN9kPTMH6LlELfu/wZJn6QQERonDH93+UCuakDWVLTk9f/vrUTbDMvq/ZTDz68O+2KKJ148P4yiVjzYCp3irawit75Uray9MUHQgg+lAA +[+] Bogus NAT_access_msg: no_colon_7_1.2.3.4, SPA packet: 9RJeOONCCVVvmr5dG0c/tEK2nvYyyk8sGa8mvNvkLLvbp2MZFxB4oiuyfILIdR5Sc7hzcK0UNCjYIt++fYAR8kIJRJL2FaAcOg750TVN37ZDTERHYBqq3oBih0QQJab2IAQ/X90PaArRw9gcbF1uiMmyW+LbFK+ifbxDIALamMvESniz7ebw2p +[+] Bogus NAT_access_msg: no_colon_7_1.2.3.4., SPA packet: 85g6jaxVzmoDfFbVWizoc8DShEBolIou24NdASsihQJLGncDqtUpeEaV3sytyC1kpwVH0apPOMYJ5ZkKQEHBuIk3cbQUjiZmvdOthMoFA/mu4j+vti4bddu4DvDgvXSge6gZM+m6vgGHnWxQFM2ovQPZ1jrd1IiFubun9kb5z5IMDHdc4MoKWx +[+] Bogus NAT_access_msg: no_colon_7_123.123.123.123, SPA packet: 9zTOU2jzM2LnO2WRz/WgiouxIHrOYgqOS19/GlXJlM54FiWAvcMdLskazJpSP8GSLI/h1XI8yOXXDoE2GX7e8zGF6QKwuW6U4NUj7quiM38V6YcPLxdM3HC+TqEiqK283bByfRSFmSq5Or50FXJyijwJfL6aWrANaycIscpFu070EkPGbh00JB +[+] Bogus NAT_access_msg: no_colon_7_923.123.123.123, SPA packet: /Ear9ogy/+492laOf2k5IsrsBVm1hd+ufx5elLz4sJIvzQZ8k+zYI2cSQcn+Sa/wHw6fpcWRChwZentZHrGs677jhV2e2zDQqfW1rdLlsmWjJTXkeYRuPBzOEFSuo9m/jTdn3cgvpqTISATRjYFlkT5n2hM+2gRmUmsIQij924WPbVGSRjjb+o +[+] Bogus NAT_access_msg: no_colon_7_123.123.123.123., SPA packet: 82htkmiGaSwHpuoiOUAZS/ZSCErFKMtkvp8U/O3knp0sBbG9M+hntlwtLLg7ih7WegJOWZ6p6kUS3NSZjiaqOOoTW0RXhTFRGfVBGwu7SCwRz/iRnTuXvPb1z5Ogd47f22m0jSqe+oHFJHP2KMWKaIE3y7vi4SHyY77JH96RtMUJBedpF+c5aQBfXL09t3XXR+iTuiOPs7XQ +[+] Bogus NAT_access_msg: no_colon_7_999.999.999.999, SPA packet: 9jFSvgYS6Sl8grpSHUsQcjjHkZNmgl/LWGNUokLAoVmoHR57GkquGj2xwvRuKpRmTIyMroCHiXX7Lptf8tQltLUdUShr8vE8ZGQXe6qQwRb3M5ash5HkLgiR1fHanTuCSgAmgI4U9po5jz2j3iW81L1FllUirLcnYdY2mz8rO7oDy1Hc7zrLNN +[+] Bogus NAT_access_msg: no_colon_7_1.2.3.4,tcp/2a2, SPA packet: 8kyA8UzmTllN1eVdk3bJulz7+TCGZCHv4Bxvy1SgBB1sbeCIG9H3/QsdWRRrwq1AcF9WaXSnwfuBH+NGnStXMrlyUq0sLvm9XhzR5BPLNDhXnVeLF9ulLTAqkM8oUggYGO+WMQJF/g4jAOIBeJcUAVCezr/CTq5dBJw43shiC75om+oKUdRjXO +[+] Bogus NAT_access_msg: no_colon_7_1.2.3.4,tcp/22,, SPA packet: +Sy9PCSzMFR6UPvTFWxsPBKkFXGUfnhjiorr7GmuS4IzGHJbvsdQq1FnjiN2RMTTT/DFH4lO5Y/FM6X9wnGpQG/kDda3dLONDPVQSnycg8qb+pCwAD/F36Eck2azptmUkoaW1vSeqVQgD8dCAyIedpQjhItwXFbTP/i9/q/mEEIyYtmlWtVbUH +[+] Bogus NAT_access_msg: no_colon_7_1.2.3.4,tcp/123456, SPA packet: 9ggHJ0+2om9Ne0F1Ts3P18VpF8jWHq/3lGstsuOFBvHE+qgYMxpf02vuEmCYVV5Pipw+4FgcuBKQwftK2a1OEufbqaVisBuqZRFTb2hgmadkauxaq701G7SMzwANU6Isw9R4K5Qq2cQ8XB/JfYN+a+zaBd36ed/MfK+Uk2IDGFOVHjAfpzFFrfWc7CIgCpQwKYctriQ0ucbw +[+] Bogus NAT_access_msg: no_colon_7_1.2.3.4,tcp/1234569999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999, SPA packet: +7UtT0pzbUCBcKC6ZPsTvmueiC5rKXYGCu6s8nFVEU0m1Lo0DJGsBFg2oLa4DFlSrVgBnHMjZnpM+nqL4lJG57QunL9j10JbCWPZZI5Pgm4yWHubDksWJJ2BuRiMdE4VlKvGctuMz8jkaxnv1bEPLwMet1yzqSu+pa8+XqRjiQB/kcf/w6CxO96lVEf5UMtq7GC/P9+pTmArmv2TOLXwCJMG9t/pf6LvQEvRJbJvwaHAKi5/74UQhDE5mCzDTRjIhlA/h9ffxEVnxhIp8yz+hCPIrunJ16Gi6My3HC1BE9IywQDy3xBRmfZ5Xps9wwnvoBhh4F5Ix7uoJ/Yj1ywzxcnzQujUGSFb9gOZe31EaP66li04yOgOyc +[+] Bogus NAT_access_msg: no_colon_7_1.2.3.4,tcp//22, SPA packet: /6/FjSSzX4RirR+UnPJ35GPlug4CYAgCD75kxcuoSQ+718yC08N+//bYcCYvlxhF04jtsBZTuyQhK7aIxBlOOgJWAElXc5m8vSxs6WGHQ+KrAlbFS6tWqgUCL8t41v2yG0ssgHNRUFuZXM/xd+HJ/zmUHcUincIquhQB2c7le4qWFOi8TMrkqr +[+] Bogus NAT_access_msg: no_colon_7_1.2.3.4,tcp/22/, SPA packet: 9OShllgsRpUaShIycsnt0h8KqYUiYafsVVpt2ly4dAiZJxHXA+R3CwseWplR4ljr7DoDRkSy59raR3ADEpBY6nHglZQ0Zj3rZkYW+wOdTbFjIBqDXmMX0wS1T2zTKLeKZhw3OIXKMTaGzVLuSIRTyaHrCcsGo0UMynq3ETzMKHdbb2FCwasLp8 +[+] Bogus NAT_access_msg: no_colon_7_a23.123.123.123,tcp/12345, SPA packet: 9FdUV0B8n8rZ1dLE+hvuBuHZZ5dQwj39deV+4mdbaOzd4e1VEeKwGpveqJhTCqLJAJILoqDuMoO/8U+G/mlvRqVurPbYa5DuqC0LzVqhxYcnoIBw0yRDQ/okTJR9vZM0CveIQceg34TRYKe5nXbRtxoQhn6SVaxgEbDL+yNjyknrizuUFkIUMrqSB76tqECzitnO1i7r6idA +[+] Bogus NAT_access_msg: no_colon_7_999.999.999.999,tcp/22, SPA packet: +ubZ8q5Om2DUa0i6NEgrl0eFV+sVdQGlpNI95tvEIxRKFXRV3iupE04d2q+zMkWN6rrOmYnaWSfudvJ2HFLSLN08uW/taGhonnOjqO2RAMwCL3LRbDArGn53h/Rt2GjQaKgUH35oImMRjcEZ/AydnIem1xYVt++wdqgg42+KarG4SE5GST/ywMs3AzvRRI47whabFIEPZdjg +[+] Bogus NAT_access_msg: no_colon_7_999.1.1.1,tcp/22, SPA packet: 9cCUZl+w/r128ZaU8GquVgvP0Qrzr/TIFrJ0aNFYv0QIll0+4C2D7WT7uUWOlhl/fYZ3u7GQL2QAIc2ZJUHXSxEv5pLZ2FOcBNKsAyZSz1BjkYdgTj78KdeqwlL2fZIdOFEEpcqi8oJyCICi5vi9HaNtDYPSWnVHJNvIcWQYbZ/+HSkGOsoNjSej372DOee3RKZgRnzWfSEA +[+] Bogus NAT_access_msg: no_colon_7_-1, SPA packet: /RRWpxVYGyi1QS+8nxNPUC7ZNqJJ0FvkLTl7gTd4tC53cCa1pD7EdX9IDVrllm+fQI2bwkeSdLTmetkKo40ZDiE8RW1QsGXOGbBNDHrHAcagQH8/Yf62rLuOQoYnJ2CjUb8sguI18l+apAXfMVARVaPYdJg+Q2QXE +[+] Bogus NAT_access_msg: no_colon_7_1, SPA packet: 8b34btEtTG4tNHLCIh/cMo2m1tJRFsRF93kNUvc0ZI7/3q3tehdwHk44DviKoxAS5Xl6gPqyZiYiHyCc4JG9UcmOQ4S+Ua7IGY9sCmwgg1KX6XLKxKQ5+JzrjO4HmKmrn3tkb5jRIqsguloe4VxOuLK8J3nPferCc +[+] Bogus NAT_access_msg: no_colon_7_A, SPA packet: 8q56J5f+otYiIWr7oKJp5NA7XHTxofCuKYDKfCD7SS3HV6NJRuqwpc3WxVdOOfL6SkFNintfqnCtpl8gCcIy/Gh8DCYKXoJMbwe7YElTsBH0F84M/2UVx5D+cvYPKDt5ken8444pMzNEKoz8kCkREIrZxZrUe3hYA +[+] Bogus NAT_access_msg: no_colon_7_0, SPA packet: +ema3EXstK2OtyhyNIh7P/y/g1d5H4AjqEm3UY3MoopC3Cgt9/Tu76fFlg2We3d5/AvPSw7aykhsnExa/Lj3sifGPC4uyE/Z9o7lsca9gVphPIFDuy6+4EMLb+2eq1K/6Ftm5jKUhOH4aahChe/rimjGaQpD9Ahdg +[+] Bogus NAT_access_msg: no_colon_7_AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: /6J9KhB1DLEGM1gmtpV598VuQimUepuJvsofnOtsBZ3kjc2veuPLj4i652NuYsiY0NJ4Q1WxqQPC6Uaaa+FI2h4uJeQfm8HAUNNwZmVXMQpn8zgjffKzdTZFcyvnJiIwScJfvwjbr6rhQstouicwShsqadR6jJABLe72zptqTAlfgAp3XhcuigbzGhjRnB+e8rbuuFFmXqMp9Lx7Gjm3zrs9rHrcUyRXmQK3PfhLUa2udMwq+jBbjY3h4FV4WmakzUuVZhI7zwANvvQXYwp4rS4jkKCtid8m9Nq2fNFegvVPNilS+u4utfmRgO9kDpWjVUZQjZKJPckTv/xQv4dD7+Lc/BKuMB8+hw0MUwgEXGPHcp6Ych+bMF+yvo7dXrJW1CADFSJUpnOzayphiMINCB65tz48U7nTE956tPsMDr7vJzMNJipUS07ckP/6T8apIOI0XLVV2xVPnY2OO9m2bmQ/vu97K8lNOFkuIO6HAEXICRaI/2nhh9NXNnf8Ja9Qt0jJrA2bcT0yUUsatefhyunBi1igY9DT/Pz2spl8Xo/Lv108bA3IzSw8YbZtg5i22eB26BxZA7nJYcqqsdqbDUvOPwc/AJtX9FyU6tsipeReVNh5kwhiCi9HSZSXoEM+x4RRfNahENlA0j0ZZxviEHffUBFJk5ncth8S06ZRj024q9CLz4Ff++7QzjTLjLqgU3Gy4KVJ36SGm/sknqna5GUEYY7Yryj33jGyPcOxSrEsQWT7PMqB6ln7F1CvasmPTUu3iLkxF6zamK5U2DLbpLk3lNdHpfeJGd8wvBvCGKCpY6orymjOMwARCAQUDQWaPpLBCJ/Pirs3DfE6zuCqRUGNUNzzXchEWg4uLOr0yEYS4rSN7de/TiYtrx1rVsC13rL8v6aKxreC7b2k+oMGtqC3GH5wqEOMsv8ER30bkF8pbKk0PlrgKh9rOJAFLTkl/vbKLRGeVBXljquhbXjnq3ivls53QrX+r7/zCS2/ujs/v2m/IbnT7jQm2Ib377GpErN2J+/5kYt3XHJ896yuamW08yT1ynxPiM4EC1xxPtjHzMdBy2sSTHzkypNRZwjqWXQRvhSuLBel/G/NBt+REm+MmG3Z610v4nn0TkfvalVnC5ExEEL2tC7kiWoO+KzlAb3GXeeQTy6yHNuBqvKFirQSyMcZ8nRyO/o/AjC9vFg0xjp/45TRdSpaoXsoTEmagEdUvzdjB95HhNbeBDfVQ/jkkdenwxf7X6mkva2TZlsDgu+47ipoExyFnVP+o1Fd51rO6fNrgZl5MZnzKb1KYxOgCE3j6iWut5Vcw0opmt/W6Lm2b6scXo+Pbnn9hmndL5W+bN0BfDcRAQywEfzCxLK92S0zD86mWqpHBHag3/O4/xyIn5J8WDjCi0ppuFtYHBfm0Banz6PJ2C+N7DD3sIn72zqO0U98Y +[+] Bogus NAT_access_msg: no_colon_7_////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: /vhifELw++lYPvKPI/IlQaiF2KDnXGwhS1hAZZi+tNma6IqEjK3e7fnWVp9gJBDateeQWbUfUdJFWFVQ8Ewh7ZB8jsv5MTlrgBrKF3CwNGyxBw0RtzDvUADrJ5v6bjMQgerUnLpw+iiz0Q7+NeE40eKgq7y+aOHdTm1HHy91QJW+zbJ8O91oGD0pv3ByXNl/kLIyMgd8gSqkbdH1u4uOHNKrdGGTAGAKWaX06y2JQZNOIYLjKkNCZ5Jfrf39EP4XPKSD8tw/eXNBVhUvpyf8ZyTsmWeO8LLgY1egdeLvRpN/c1XA5cB5auibaps9M7VeA1AE/QS33vT2041dmt6EmQ+eOa+bj7+j1MS71lfNIHhD7zS2B07P6VnhrNakE0d/Co+dgRXMP9USTESD+v+3TFwenki29NxIl6u9REEtGoGe0Fc6I/8+KGYGSOMc9DS/U1e5fnX+uYizwdX3lH/iMAR4kEA+BdSmOt9nhpqbE3EVPn5OMJrWIrKncSjJC7EqqGJOdAY0bhtRwPA85n/EqfiW8MLk1kfowscIQEjqawJsv0hQoN+CKdhlwT3jmdrLlC4k7XimvGuklw0LykZ79ykVnwAT/0CJ+juZ8HIkjIhPTJAi7oXStKvRQ5hMkaxm1k2pnv83NmsPHEtQOEaPvoPnwdSpKDbp4GfqcZNequYLeaBAdAogmmPFveRJUcvJBcolb/Y6UH4K6tQ2R5g0dDvusqX8v1mr7Ojty+FZtO7TP+qhH2SjK6ImCRSYGOWQTf+vusFZcaaAFa59Ku02qrNcMHNc1A+vzXI0pqNHn3RQzrGj0XfKNYlkZu2bEwPWWGK4TrF0GQF502SO+RzjFtAV/WkgMBQ7KWl3lCFEOJgaqZvOPsAbWHSg1XUp//UdcPo0Qsm4h1K3LDAGIw+qOEDgTZ3ZJ7ViW/rMNbA/y2AEo+EeWZW1zds1C1zEnWvk8CHOsi6btopbR+Rs4Cn5CtBgtpo0tnbnYIprsyUJPqujY+7TGzGgGbpmXmQr6UpowlPXd7h4bcoe46SMlp9+Xh34GWYVZ1jNugr/CY/SwBCtkMoaBhissdCgMhSb84Yrrnb+voxD4NVIasJA9069L+LEN8V2Ft+dUY2ycTaj+B9pnp+F4s6C+FDbw/BLb1NQlN8PGq99Q0t/zdJZTFEywjQgV5DVE0iqMiqGCQwOYDAj59HkjfLHyWuAQjcznKiDrBKNCHovPxSS04W+GR7tpDmK15v9n87FGbIbb0WzmcLi1V5UeE4TBZQBCNX8Q/tsPGwuctB4EG6dNLMj5przZefCAadzcBeM7fOjSRv/eCJTctyso+YPZS1yWKivHGXSQJXQpph1HIYowx1PwqFrcwFSLhYPTbFxjf8gFM81ijKO4GO+Lkc2atsRz/NGTgL0AziYXbCY81qXK0xfwG0fJyIstIMBQTPxo +[+] Bogus NAT_access_msg: no_colon_7_%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%, SPA packet: +DPhLpwuX9s1WE2RvVISUQS5xzGx8wqfS9b4JOJ3ebqBYjsZV2T1O/52DfFh2LZ4c3rguTTl2lCk0XhbEDlLvIeXJ2i4KYzqPGf6DvcFarws3X3Le/HvH+DxFO1XMCcCN+17nVnAYkk7HEmCqyj/tqixKuBrnd9o9mdYb/khX73Pers066XgxpxHWlXgytqQ6PmLAkK1OQCSkIxxKBag+X/JxSpagERfyguuUjK4K/RreJ/OQobogmrLF/LSChmOJcuWRmwh7cajdkkoUnZTZzaOFVgUJ8wxB9wj7h+Rufui7ftUE0a+y6UTDyMHN4dKkKYMeaATCZkJ6idAOfIf7vl7PnP3cmvxPXVVaIYT3W1zW5N5lDzrr/txxFIdUTOYgHrsDDIL2YpzsM+7vC3bAUsTbMEz5Xyp0rVFMOy2GzuE9MaAmwx+2fnPqYhvO7g+wz9y2vPiGgqbx+VLLtwC4LLMUV91jcoVfCJKDWegwMe/cK7VXJIsxRUWhOeaKq4hpzf71Wx+zvM0XLUGzFBYhib8OXi87RPCpRDsxIU1M+PEAcbdhOv0Jb1D7a7UZU+slRrVRQugx243ZNP9qtQOdxV/S1aJCGDWyedbYwzM4hjEBb7j1V2T3PKyy+lCOWdWCZ+IisXWeJjxxdU0fPIHnYwL8AmMG4bcc3YNZwxGZIbOeBKduc85pdTZseOW9YakZSvI9W7GCNrP3gpd3JL/CO5d7FoguA/T8s9nVhe15iBtwCRYgSZwvnOpN3V6Gng71JHC8vrvVNlryofSCERjkZsSIbNc+H4mKPk+x/qG5U5wOQX8qg1otS8TRUhuTvuyP+hUWhgAzLyLmsiPoAZZPXn47mqYTG81N7eJ124m4WuWx5/vNCekicHDEyNYexythIMfcgIvobkcz9cvQKteepNZUY6WWUMwLXYZVwpWXhAb6iJ5IwhF/WDmIKyNNPr+WkmcUFxjPhVCcZuHmbawmX6ClflMwUSYqKj6uf1YSR60sWu4lD7WwglMpF7h7oR3dVJQH52dOAX2smR50G04tMMl5uer8VIF+3GMMK3oKrtI0+I4vOrDq2q85EhAfaD5yepybvsYuzdIlc5mU1ummZnenvTOwVtzUvsyMM6rSfHyPNFyPq7L5dP/ckJ0h5+XeJLwGF7Rz6FsB2eAN+rDACquZMcsZv9NyVurNcig0bIfLDuHKAI2oWjI9Tak8Ggth8H0JqkdZruQxZykJQoeLaINFSRVceItaJjjuS7bsGsoFdJsGZ8/q+YzWJZu3RBLTy1IL5UnyK1Pafip6FboDWU7C1JyH5FLSahMG4xR0AEZNWjiOe/T79rmYGpdBrBlR1JLsWrgwciSxuz4rmcfvr7eZi5HSOzk4/ElrWW86aqbP+sCjj3Cw3l3xoOM0VP6BAqdF5jMF0F4Z7rHyas+CFcaxXMcwlKcE +[+] Bogus NAT_access_msg: no_colon_7_::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::, SPA packet: +FFXaQ1iK7bFQVau6ckCTiWAugxUenMmr51Idi0HgAGhaxRPYzs3TGzmdRgoJJeQ5evkwe2WedS/R1XSOoM79br1kyb9SSP5EDm7fGcIeBk5jQRFPJXfHswgS+x/00PBeFYh8ObWcfcoyJaVn0o5K2TAOauGHd3BZuPIo+CSPA1Ocz77ZMTkFCQX69nFbz6bXz/KEBMrAdS4y4Zc6R9m0YOwNIOtCRKfYILUlz15lvgnQNBJDEOlV9lIrLSAR+gweTBldf2ARenX117Y5UplaOkx6mY9UQQHDT7ZZp8vCLP/fZhr/ayAQHBlovhqqFwV9tADZaWR2KCT7NtWv5pAwEAJrXNMBuKCWHMqRhmX7TUr1nXcDqhRtbYf6yG2Z0wCRPEwLyvB+jjnjKltZH4YRrkrXMFejbcrjG8QTJq+kaGw5y89p+gXBpW8HCKC7vGaPPsKy+4+PRnvey+0473QduUFFhuCz6zdgS+VCQjrJXvC3iOQJCCdKTUxKaLNJKTmjWOjt0wZ8vjI4wodZxKfOQ6OH5XwLjEDNb7s6r5T6XH0G1fBQI0xhso1+kmFmbZfhhm5WFVjzwlloYt8dH3vIMbHJWB3k1ZHhrKwwTALOUpmupL4JkM+QzcvojaFFSm54/63z/xgDF769UyzLBZAwEruChszCLYRQsWbXXXOSiz1cYfhS8PUCkY1VDmHgR14CnPwg8ZFGqviJJJJiFX61HHTLvuOz81cQEcTrhIBmyLmHKwNNQz4nr7z/FcpOAkTtrC5AlokdCg3wvfmkkFIQCCJTvA//zFrSNorRloFpltBvXbuZ7epbimm+2S5p7HOUIC8s5fwzNEy4uTvN/tweoUB9NqDKqWXktvACdtbKjTSGnFLN+ayLZyx838D6zA5HxwP48LG1is7IP8ngga9Swf1BeN8wmkDXUShq3pG75g5vutomrnILPKJ943sxI+/L8ZJfFIZtMHqyheW47KdkZ8iCTHRrSgvHJlYzW7Lju9OgouzURMAPsL5oao89Jdqbte14b2mdkwa5ldn0V3ARFbDgqjZOheHqAWSImxrZIZv9uXjFG7t5zq8jTQguDnbD2L0+awWuecf1EWaSQ0l4VuElNDPpMXz/nUg3wKmkIDXVsuldGHT85OiqtAJX5MNT8GFCpIZGt2W1Q4Pt7X7IS6zO/KzY32wnm1HURTY4ACg08T2nYj5oZRyicWNr5lqWTcZr3j73XY04qX3D2V3l5jPaxL8TusgoqJr6SMRlFLSqcSRDKAPQ2gL1QDQACbNosfxsMjeUcb8/5UK9t26VMDBQuX+xTQoyorByXnrPUoA35PcrKClw4c0DsGfKBcyjiH+5f4PM20ymmbyV7o005CQn+HoXyXdQT3dYfcYqXLPthxSCg9bUz5MLW2YqmFo0chNWdAycgbkCcGx6GUAni0PPHGEel2EM +[+] Bogus NAT_access_msg: no_colon_7_(NA), SPA packet: (NULL) +[+] Bogus NAT_access_msg: no_colon_7_1.1.1.p/12345, SPA packet: /zlVcF/TNJTBXmXs4snfZrkYqZ8XGzzjcIDXyabNMghJR/KFgrP00ZF7FL4WJTQEiXWKg3zd5tfPzhVBUqiZieUZZr9MDIDySFSfF7j92fUIc6apOBV+syjk9ZFb34trzuqSM46hfma4nk1bEAB7OiITFaXik/ZUzoyjXKHnkI0kTA9QDl38Wv +[+] Bogus NAT_access_msg: no_colon_7_1.1.1.2,,,,12345, SPA packet: /5LPtvaSX9/OY8ZL44oJ4fWr3rhWlBVD2PuXBaSA863Fy4vyFge49GDyNq/TB7NpaW/vf2aOfXrtMgi1nBnOJskhuADhimQjlu2n53ht10krYmkBOVpWFd+g/XixSlld9upES3iEempugsxc0t4Fr8QYKle502/ftnK6/qv426WEReBTCZjlIT1PgkXI1sS3SVHE1Lz2JeqA +[+] Bogus NAT_access_msg: no_colon_7_1.1.1.2,icmp/123, SPA packet: /spVc2kpLE1KKNTR72bp7p7u20ZSxUYz34dcPBsQstCknFyXqi4gq3a3bwx55A6QUnifd9Uzsg9CyO07OcM2kfs7PnZjbLbksHcyWb6lr+orJuiKjF6pHyZ9cVTsODiVgXZrn6Umr9O/k3WJYNqK259pb2gQXOAtrxG40ZQOISnKG5tdkOnPm4UbjJujAxBE3iEOaUaWtNrw +[+] Bogus NAT_access_msg: no_colon_7_,,,, SPA packet: +cJYlmay7IN7a7F0BhX6waJGpJ7A149pr5nLVWx8s46zo3silffSMc/l8vouiZJAzNeeyIwRhr091rYebj0IZwx9wNh9BC0ahydU57MD6YcjzcUK6aU9IhPFYo+sGOewrOqoOGoWHoBND4n0BWN8IP0wISj2O0YhU +[+] Bogus NAT_access_msg: no_colon_7_----, SPA packet: 9s1FuCBXjltjR8BuuT6JkUxPWBmvKAqCge6xXXCTVZ7lj2AJwAW4hBXUI2VY2iBBcQbMLAR+Zfiz6axNPgpMUWAlGAzkjbV1LIry+AWaaE0apX1uesLoZq0n0GOAxi36sMknQ1xIxHxy6eBhFrEL0KX6Dz5mUiwkPQPgyxYYnPMxFIV5tuejMy +[+] Bogus NAT_access_msg: no_colon_7_1.3.4.5.5, SPA packet: +QU6sedUWxFtsDINUhG5IrLxturLQ4hR0xnll8wpY6Qxsm87aXtD8tvGY0c5J0jKl8cDKpbqytehlG4xdCTGAW1VISwGBvACJ3P8QKPoM3gf5CvC6b8KsZwU3RjDayGPw9xVD9Sz4mygUHwmeWOPPOsnvp7kX6bnIaQAbWacxM/Y5yC2oAYHa3 +[+] Bogus NAT_access_msg: no_colon_7_1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: +RTBvwPY0YCNAYZ3q0tLRkUqNB76Zr6WZMXwa3kIIiBYfPTGDLhM/1bTfPYESL2CFugaunUrCXXbfrL+jp0q3cFKqXOhydWCoVpUG0C31Zfa/ePCvoKiNfs9rwq1/rk/an5oEPGIx92HwZSixYlmveZMe3qHcbZXoKh7JKhGgcRKpnCCv2pycsVDiyZlANQvCh/+87ZeLZFXmm2FmbbfOIhVvW/+sImqeqbY1T4OIdX6gPtszYgchoZes/LDM3uXJjj0Lxzt0f+Kx6W5OvlUNkB9c5kgWqjgmc9/c7xl8aVBHaeta7MmkbY0JOxod6cUWVR2VP8qDcwHfId5dvaQWHonpUqjC0bnY +[+] Bogus NAT_access_msg: no_colon_7_1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////22, SPA packet: 85CfDfOi6noj9GSkrr/0VPDTD1FklJaVzidx7Bikpn2pufVdmDfmVcij169rr587g43XCp32r8/S9lKJFu0qs36Rp5AKTl77Kgv/mI8Ny7wrHRu9YE4L+WhSDsb0TRct2KXIS/UbkMXxlG+csd2SkBU6v0kgLs5RN4wdK/1LHc2yXL/rW1a3PF6/gkxWsAlf1ilrhBGwU8g8OMbGO4LI78AgAf0vTiPbUoMxTXo+uCOy6BfEbH80iEf4asBS/y8WEVTxFvOIdq3RMid1L+e/Ty8kDmZ9PlCvvPe4nc5EWA0vwBxdptuoqEBHDROksxT0WCVXowibx2+XUSfie7eM0n8C26hfbNcYM +[+] Bogus NAT_access_msg: no_colon_7_1.2.3.4,rcp/22, SPA packet: 9atw/hopJYgN5ALmA9M36QDd5h3Z8rFYhVs9Rnx32tB+FhTsmNXibp8EToAK+zyeVREyRL54WF6Reqohpd9HnmaBCyG+lr2XsGjHDYrxTL2iwX8Rn3dF+LgZOrLoMgBpfAz66mgNZjWMHz1nCwZc4nd1KKJInjhCWNRW4NJZffdX7ruHmTDr4V +[+] Bogus NAT_access_msg: no_colon_7_1.2.3.4,udp/-1, SPA packet: +ZERR2x2KPbcAhuITnJh0/4vHgykkuxjHOz7xDRgPsW21CpAhYu1SM7dyztsFQ69Wguwr9lc30T/DNFcvtkzqz3mPw5xiim5umpIwxxHUM6CSSv4BXL4Q5YyzxNVWT8laxah1udAR6yVZacyCjy2xRxAwQ1h/X9sjjUg92DTBa6fEh4zfE6aAK +[+] Bogus NAT_access_msg: no_colon_7_1.2.3.4,tcp/-1, SPA packet: 9IyjIF8NLgoPHOs0K4YcR5rYZ+Cmfxbvyr/rtOfSwEPY7tavCnNftCaw9njbonR9n9/pVLD0hlI6wd0ihepqSF3Dn4+5/7bjEzLQ0P2Y1hRGxFEJTqkGK83Lj0Ix2/WGys+X0sVts3wYCOHhuOPCMD9JDcgs6BuAC8GI/0Pscte4tl9q8Wshzt +[+] Bogus NAT_access_msg: no_colon_7_1.2.3.4,icmp/-1, SPA packet: 8n07MqMD7Tgu2DoKCnaZaOMsknaIEMgQ6GvKNRm9wZw3Fr5ps1fFJGWPeshqDt9n1re16CJgQXyR2mpT9IJkIaDylBKiSyNeVNhhRso93TzrEkyAQrG/xTJInmxzps1qjqh9VdomCiv6VROCy/PSp2a+zi5c/Pxrqs5sAXsTzkUMG46fpSrxsM +[+] Bogus NAT_access_msg: no_colon_7_1.2.3(NA).4,tcp/22, SPA packet: 90kIPl64hVKHvgC2vQp9tPqj3DzkaZzLLFbuZDy3cFpPaR+tT0zHMReNy6NbnL1WT7YHiNIXtRFhKoVVstOArKN9mhxZ4AEZd0fXjM+XXOw8JOs3Q/593R+7BVjTFPu7MZFw6h2F9F4TTZtwl0CK9kYfiC/7C9gSFAw9mt322GRvl/GL41EB1m +[+] Bogus NAT_access_msg: no_colon_7_1.2.3.(NA)4,tcp/22, SPA packet: +jwAWeIZnqDgpH/m5gAlQjcFmxXYUc3c/RVuqUQK1bWlXQnmIMnLLb/VE7Ky+w40XANo9B7Sx+E3rA2su6r9eZ9u/eaWGw8nhc0M2rTZ47i1udFZQNWXloA/1g+yj+AA2MoQUaro6kNV4y4/s1HcC6JUhfxfszbFxDOoJZxmVz3RyT8YYXC1xd +[+] Bogus NAT_access_msg: no_colon_7_1.2.3.4(NA),tcp/22, SPA packet: 8KQ7jQyXnTk13+TKKCoXTgdTR78r3oMNrT45Uedob3zrhdd54Z2EdUcGMramxMzV/JgrbxvappIVpHgIvLa1xc9DW1yDhAQCPKrXA3RMJBkK3497qf2TUs1/NVDQaOWvtRKkW1xjjBPIuF0FgKd139cY8ZL9demyWSy9NDZwKFKkrQe8w26Jhm +[+] Bogus NAT_access_msg: no_colon_7_1.2.3.4,(NA)tcp/22, SPA packet: 8rtCtwgtfLtgRU5UjX5Fu9olVBWfpgl3tjRLTb1ZS5gHfqkSw8BqM0/wpDAK2Yg79sM6NJ8LMWOoQmMnnjcOTkakW//hqbT26AmUpbFBp6nXToMgVMIkEpxWicTPjXwvuRMzwre5Xx3IsQecEWvgpEaXzrZ885KpjcJSnu/tQzbrSTPe4RfAFZ +[+] Bogus NAT_access_msg: no_colon_7_1.2.3.4,t(NA)cp/22, SPA packet: /pwwGXjQoIRaHUcESIVqIro8pXZBxtnGahoSgXK1u/ePO0MV1+ex3z6yUE6w5RUVSfYGSYQZeHnQ69dyEloFseSyZgLmajEGJ3zWn/uzahbcBxIdSrb0VuxdHOM8O4fOa8n89OHFe/S/n6DwQEIs+V98iEUqVS2wxyLLsbxJoK/S3S88w4FtW8 +[+] Bogus NAT_access_msg: no_colon_7_1.2.3.4,tc(NA)p/22, SPA packet: 84p32cg0yByBLk1n+TSIVF2dBcatoaDM0m1MSQ8nL2DFY5Diu5A68otFyWrT6YrBOJ8tvShnKtvmh6V8CvkXKUi1LI93lk8L1gUysYE/LRXQh7TFBMo1ih9uhn66XHY1uWme4EL0VcAZNMhFcunMxwoW93wjt81y5UiIpAO6iKHXCmUTsqNGlU +[+] Bogus NAT_access_msg: no_colon_7_1.2.3.4,tcp(NA)/22, SPA packet: 9E8zA5OoILgH5/c3Jg6yuLN1dkKIshcdgabXvTf5YaqslRMwUBNzX9DAQCDqgZQb1/Xl2omPYvefdAVAxfa1CXfGlETtybJ/Rzji0w4O89v7e9F4MzRH3gegsczs2FS0bQ7DuXvz1ZMuqoJ9zCMKlML2tRHlx5Yl0hOX8G0wp6/3hu65YdBXZv +[+] Bogus NAT_access_msg: no_colon_7_1.2.3.4,tcp/(NA)22, SPA packet: /SneFoI72MfxOlyV5pI95byDZgTXZIqu2n2AXmwL41KJsXtG13++3teL1bkkB8NyXh5Yc7UieFz0Hr4arWyMaTtpOXDiwCrueq42TNhX3cNRBoMJVLYEt9C2eAaYL8eUAp+RV7lvpExP4ET6WPHI5i7WBe3nlu48TPeEr1+TYCcaRzy8E9p7sq +[+] Bogus NAT_access_msg: no_colon_7_123.123.123(NA).123,tcp/22, SPA packet: /98EeyNeCcdcnG95+7T7tV+zAbxsY8KF07mPzOPw+7T4hru6AShWB3qDfv4h5KHYYKqzWGRJtnAGLuBIYbJYKqb15gyXPFaTXBjUR4ecVe6QZftfDEzD4qnV2exWoV7zQlbWvtNLJplxP0w1p4qohtlhklTn8wnTD+shQRv6wV1/JvKhrtT0/5 +[+] Bogus NAT_access_msg: no_colon_7_123.123.123.(NA)123,tcp/22, SPA packet: /Wcwp4W2S5kK+ljPOkImdkm+gSu5Rd6jlCKyE0RlJxkpCVnGKWpD4UTJ6OOToK7dqlLx00Pci47U/6ywOR+ij7LN9wkx0r0yU4hh2Ltx0LAXK2jXECNiKXjEyDu4TuzioPCXAoWXT4X0hO58Gs9g7kc8hv2JcOCGVrfrQTvrBp95BKeS/NAGUc +[+] Bogus NAT_access_msg: no_colon_7_123.123.123.1(NA)23,tcp/22, SPA packet: 8RekSRQxNtB+96ZGDfNNyfC3HTh8UMYkgdDBKY3P9GTa1nPKWmpG9TtpHNzhwiO5gsYnoNX4PxK9sfmWClrWYD0BK4cdAn2fDwbRg5WbvOlOWITJkHHXrh9XJWYs3JLLrvnpt3kUh30Zo858M+6MjAc844eO7aFHJXj1yk/CzVbEgTbJy2KJov +[+] Bogus NAT_access_msg: no_colon_7_123.123.123.12(NA)3,tcp/22, SPA packet: 8jAflLqaDafbiJo0AVlXaLbSXJCsgbv46mG/8pB1xs2QNUxtXmGquBWdolC0gAZnwgL6KKKcJTuL405zvnFbrEvF5DH9P5u4UWZ8OdWDvtF0iNAmIGhatX2szvB8O7WbrUpJofLl/rFFGpsVM//gd3daEQrzVtoq5/eCo5tCEYv0bcUX50LzUD +[+] Bogus NAT_access_msg: no_colon_7_123.123.123.123(NA),tcp/22, SPA packet: 9rfP/AZbi12kw4+AP8ar2K7rOBU9IlHTDhZ0txfNI1IbsTBcCECgVoI4qvAIx/i2tE+kXSjMTTiP1/jbx0+lyO5HvFs1Y5Gw/ASgzBwlB7q7+M1RiucmyoDPU4KlPi6x/J3uxEk6T0D/nIETd1gRx4rx6PxPCnI+Ky4lUZx0pcJMGFHeG3Dn7N +[+] Bogus NAT_access_msg: no_colon_7_123.123.123.123,(NA)tcp/22, SPA packet: 8nawKUV9lm2Gdjne+0lF1fk1gWI4/v3Clm4CBtmvXkhdgbgpHzmiGwU0qXkqVkCCeNBOl+Q+UrwfWEctuoeTCP51/DTFPbJUPfkQyPt1eNvhUZJcsiLDYYc/EA84MTCyZGFPl5BTqXeowUSOHtlMvPNtfhJBy6GlX+UOUiIiy93Bnxm+92xFWCI0h8GpRka8jDnC0/icuNAw +[+] Bogus NAT_access_msg: no_colon_7_123.123.123.123,t(NA)cp/22, SPA packet: 8ZwqNLVrvobFsH1uY0SCj13Ex+Wpq7qHgjJtAeuP+qOo1fowZEgWfHIMn/YMOW8SK6xfXP1PzXhLfP+K8B3gcAo8ZpT7XAM8RLQtvUXUf9lRr7xiy8i5t7fD/iwMGkVsTJIpwNb8UsEGjY1fDeyFA4P+1wlfGsZynD+E5qymW69mdj0ByjQDZMeMozkNb+DQoRrf5beoKyUg +[+] Bogus NAT_access_msg: no_colon_7_123.123.123.123,tc(NA)p/22, SPA packet: /wLkvBoMgPgqCG+dXPToBYc1g7IJARtz7oS2kHYRnxk5Bg4SN8fgY0xgKn0uld614ZZIUUraVg/gBG/retITD1MqWg4clgkKO1wDB23g31CxrRr+2UNTBtc/XVcCICYDPP05kNl0I43FD+K6D0xjLjG1fkpJ19LAPFLoxWNGCKYgiyC9MlsASsXkPeIt/7NnmqxI+h0IMEng +[+] Bogus NAT_access_msg: no_colon_7_123.123.123.123,tcp(NA)/22, SPA packet: 84R/MMox/BW5c3boANSaYO7K4tigcL5g19zqQh8ABaCxuTELU2JDXbaxohj7SWSLa4VezSFppewV5S0ayFfuUKTvOoBbud9LO5YjvO4HJKbxw91ucCPBx4lraKSFnUYmNl5MqLS9xRMnbJg5DNLideL9mMWE4LV0l0oByGDqr0hXuSS4kIVdMYIku2cu48vjU7YumOze9Q2A +[+] Bogus NAT_access_msg: no_colon_7_123.123.123.123,tcp/(NA)22, SPA packet: /IHNpIWCWHN9mE1q1DW/FWM8QCMJLvpQhfXRXjvKXgxsCxl2aRWW+BrJxipPE9EZwABOO52aEhseg6eqo/u4893rch2gJZcfWLCLG3fCRmANmQyJUWmJ90pLFGRtmUPxfA/q8T6dSxuZgsSad74yXHhdG+JWEv9ZKiK/mOlCJ4GwYDS06v/bka/d73dXHaKV8E8DUsrmwfuA +[+] Bogus NAT_access_msg: no_colon_7_1.2.3.4,t(NA)cp/22, SPA packet: +GDrR2K6DV5jkZqkwmoj2iqJtzxaF5T7En2vTu5FjKgtbj7FmFDoOXyqdKImWBcqTBCE1hTVK5GlyK/VDvpumYi7xbKZ4UqE/77ONPeHBBABHRGX/PTg7PhVefi7L/1eVr9WRMzTHCiRe2+a1ki9B+mUn+KtC7KNWYEvQ+A3gexZIfC4xOFaX8 +[+] Bogus NAT_access_msg: no_colon_7_1.1.1.1,udp/1,tap/1,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: 8xInihIh2dMv9WY17TUoCQLKYsgLxeONPhCO89CV3MNcQWkPs1NCN6hIpT3QivFVeCzCWdqwOHR7/d7ZXH5pZdGH05YT0Omfq6nlXZCoPPd3Td+Rv06WGZbMEcjkbFQsJALHE2+32Dxk5HkzH3jWm7PiedFSeakZr6pX8+9l74tIS5CUEnFvrPzsNwX0vGRpQs1IMTEspeYb+3s+nA78uc1sSK9Pp/QIB5D6DJG5DpID/CQNjNtye4 +[+] Bogus NAT_access_msg: no_colon_7_1.1.1.1,udp/1,tcp/-11,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: 8KgzTu76M1plrQh/8z4zcpENuEionzyRnXkWDenxV4h/rQWyidPeHoD9ufOXuKyyj3O7aVkM4XBJ/Khvp7Bq0dv/CAwzQWobpdBxhMCuEtU2d1CytXDL4o/995VkvJPOjJbjt2wEQKiYM5L2ThcKQBXZJHs78ezbq1Dd6GOOUg/Mma/4cFgopAEKGzukVhkg9JkI+gzmVedKjrkV6dkwOs+7qm7rXQz5SDlVFtwjEzMbg/L2YtD/8k +[+] Bogus NAT_access_msg: no_colon_7_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp/12345, SPA packet: +BKuhdecVRz1zvLKpy/nYeOMVPMrrm6LRaxF1c//AjvPtDGUQUJKijYBQyN/yG9jfFpf2P4PhWswEMHrMWMHe9XkEHd6R32uRlR2vk8pFql1t45lo9sUwp0ttqBuih63fabRqGmlaPbFX0topobggYQRylmdjdBgMp4WpQin07t88/wYe6agdadhaZbFM3cYo1vxRD0Zcqi8f181s9wFcfVcWrE31fUznLUQ3rb999EbzTLGqtEP0X +[+] Bogus NAT_access_msg: no_colon_7_1.1.1.1,udp/1,tcp/1,tcp/2,udp/3,tcp/4,tcp////12345, SPA packet: /Yo9lCMViliBqF+74ueSSKImKdUfhI+JgSXZN1n7s7Oho4l5d+CC3wyozXZ8JD9wVzR6QrKWwJkOGSlVk6ooYmf9vigXQDmTkT/icpdnqN52ue4Sj0aRGBmm7BjqY+fV/VMg/CP/54JPfT00ndFHSFR6n9OwQXSoHc2rH7NveAgM/G2PWSs44k5uDbkZBYdcGiObptMLMj6QbvWuXTPxxmQkSELKBXWpXrITpSh9wBucmwXK/3Ejdg +[+] Bogus NAT_access_msg: no_colon_7_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: 8w4glihWzs51o9E64yxdtIoOQT76abEMWXVQeumHmGH7ReiHnnzz/q5VGdUdZMvPDLryEdYeWcZbOU20Df09uJtQJWYfD/ct3D4EFshACBmc/rTAfIWfDtZoqCcEEvReRkW8dFRazngqz3mzzb71TfPtnaWPTGxX09aWl/a3b3k9BZVauHuq4CND4uBW8wIhmU5XQjRjELSznDv9J+KCCRElqZWR24dO7iqn8xw33p65nz0/VSRWMF +[+] Bogus NAT_access_msg: no_colon_7_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: 8SAdugZFDU5onaZnbOvozOzNSrWCDdB53fRGFAae/4nLbk4i87ScN7sMAHFh+66MstpYHFElkTYXXOwMvP40sohMBM6di26Z6ju33+CYjm/QmvwyutMbAYf49PPi6ZNFxqfasaRZmteP2PSoih7fD5++Ny1bEr+2pPi354uQDa5Xlxcs2Fm2DCokrdmWGi3p8t7AS/RdTM94PWYvTyLc+crqtjc5htSvEtaqnsNAB/m7vJ0j0eguut +[+] Bogus NAT_access_msg: no_colon_7_1.1.1.1,udp/1,tcp/1,tcp/2udp/3*tcp/4,tcp////12345, SPA packet: +cFbPUZaIrlE04Dlzy/zPPDf+S7JG62td2/v/gWTN4Lt5nVIxQGorZWn28ngMd398uY5OE+pJ9+FkyNP9Mm0MfDIfml3zCVFp+7izJqDOQ5D8EwWV4pZOheBX+wAiTQ5bGE5tsRMQtVKGS8ELXvYIOaQwOT8/0b0OnitcDxgodgyR/1WgLnlEhRFQwJOea2EflCH8Iamn2gKNgRbT3/XtxG3laYfmVaaij3N+u5UwbuVg6v3ODLTMH +[+] Bogus NAT_access_msg: no_colon_7_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcb/4,tcp////12345, SPA packet: 9Xb1N6fTY22cEtOoRYak7yaemklSnWQxMTm/sOHNXUrpUx7P9ciTR/PC+YZ7haAxv6CgerFpSviB0h0TLpn+U19uhXAyAtXIv1TTO5vjPpPJ1v6XLlDgpWbb5FgpnrB0F3obGCqlDjnY+Ke32+OD0ihsmtOpFVLgJ3UaUhf9t0dwGttrSmgyFmWOsFZ8Q6itxdSyldE00nqzAkNLaVDn2fzfu/23iY7gGAdUXpKS73vc5pmlcRZGnb +[+] Bogus NAT_access_msg: no_colon_7_1.1.1.1,udp/1,tcp/1tcp/2udp/3,tcp/4,tcp////12345, SPA packet: /x0sRmkuEqXCkOG8M4ZmQAshmdXSW0/3iXle198TSnuctfCDlu6T+d9fbyzbi6bm9WenM/HbiD17GXtLHT4KgitbXqegAPvU7Lmw7uSwQeUj8o5upy3ri01i8A/BBvFfWZGNyTlOd25jnlUiS5kA68Xth2UU6zk5r8rh+1D9oT8kCWFv2L597NtzhuawGwNh7N1vcKDWAETsEYSRbOi1It1LsoFyy9MozCAJBSZXBgBiJ+oXDD9cYM +[+] Bogus NAT_access_msg: no_colon_7_123.123.123.123udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345////////////, SPA packet: 8OHTb47WaBy251sddRufFaN30H9rQawQMpKJiT+Z+sZPQm3SkB383QNqdFWf3961ynlxM/ugvE2yNS7PPV7+dCgBxxetv2bj1qHXWAaooPGkR+S0gU68d5zQnwN+ev7PXOhPU4JAfZvwv5kc3IiPEI6dAZh8Ijxd6Z3Z+angdaAHkB4uxu2uNu/yy34beJes1toQeqRlJweAs6d59x8tGJcf5IWNGWI+3eHSe7v6pvRYjY8uZ0NYPCW4TxumptuRYJYX0s74n3/yz60b8ZmTiejMUXA7vL758 +[+] Bogus cmd_msg: no_colon_7_-1, SPA packet: 9DGugacYjeW0XUns4g0289MjKMNUEbqRJZ4n5zajP5Jan6+zNsIeiYTnANc0OdiFtwmajqSXjH2dRRQ4JjKm2JDMR1IS2d5OBuniVBizTq9ChiZ7S/M8w/Ii255bl75NQ2ixn48WwKnA +[+] Bogus cmd_msg: no_colon_7_1, SPA packet: 9CkjmxtKTXte5uDPG5gmYmf0jBJ1MrS0fdEFbA71/COmGCibIwYfkRNJcYTsfTdCCDF8ee01LoiqYJB38FMmL1qFv131e5srNEq55+9JvUOgZ4gwdfm4D0X0ElB0O9AkFUAhM40b9n8A +[+] Bogus cmd_msg: no_colon_7_A, SPA packet: /JnnYdtq2MD/AmBu0g2yyfzOMqJyl5e8Zr2emzW4Saoe9dMGogLRRIMd0MoxQXZHNxJhlha2nmw23fqNMRvztWsCebj0zz7hb/e47O7AryV17kY2WoXj2imISoEMgv280q2D809erTYQ +[+] Bogus cmd_msg: no_colon_7_0, SPA packet: +uQRy2HIZY8BFtMzA8Wzd8bGii/ARlnMrfVqoAFTXIuJ4JUIr7oTpEa8alNdZDyPxz6FrIrEil0bbvrA/dgV2zSYDZ4CuQDSABvJh/4kycqE/nElkE88oIdqy3iX81Cdo5GUbsvUaldw +[+] Bogus cmd_msg: no_colon_7_AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: +b/232AZKB9ktWqGIPikypGMRT3iyL5fjpHIPezewhsdwYeTGxMjEQhBwbSKnwvDcY4EzblffXQOKtbGIQLpuhUVa4hqotVjbITsnKHz9ESPfV1U4LdsSNve+59GzDCjKLGlJEtnEYKETGag+ojImHKrVVF3snWC+Uf7iV57LlbR4FFTFPf3PUUBWRCtj3RBMWux6AKX7U5Vv8+gsbqMC0rUqL1e9LqeLZ2Khqxtc7n1HZJ2Trj+OrvOFjiv5TrPcPgClQnDxYLcDd8QRD7JL14qSyz0n8HXDjEtmTGTV10ocgTEB3DZcMp6DMABA/tI+0rUqp//QZJPTPYHJy0UmrGst6p9gRUdpUzORbnwrhCodebiT1TQsxTOCh75oJ/hwJcaQ+X/jWZFhCc2UtuQL5Pohr0JQev7gYnKJO15tokF3xuV/ebaR2XafsO1jz/dCZW+RbIyidQxwy4rtpXNFAPQIm47n6hy3p2zPsjiUJIG1mkXUxcYd9wYQWBJPXnEqhe3AWGKR/9zMw7c2fzBg6DwStTgi2HB9hYlXHfEL1cAL7B6GwJ3n2MDZys5NeSpQG17k5oyhElvky0R8xqfvpjTWP/g3jcQ6fR9Qud2YZfspPDUyTrXQ+uS3kXKJdVEsGCbVkDarQNMKImASna+v63ROA3AxOcFe3JK0z5mZsrK6K3Z0e+C43RLDHMkBxXi3rHxMS0TxmgW/pUPgDzWlcqW1Z42dO4cyA5g4W0zgU0elWu9Q30LU9mfaH7Znq+qyo5mRb0tdVBJ/pBJYkX/WbBTNv0Fkj/Q/llKxtNpFw5IHkdqcgQ5htt7j+QZmcvE6VX0jPiDdOicBcgzgonHFmUl4qZdvsZ/Gddy3ABZ26DQVhLoAV8xz0pISnUcN0HWlsW6Fvi98ln4UvIOgOZyWMpRtYGnuDdBzmP+KffTlxJK67EWqiW6tr5x486wacDRLE09v2/s5fwoJ3DgZ6PSRakLPqZrjF3luz/+BwETpE8/IPRWWBqIENiggIaEjxPJLcu/YZwZ8MYPMe68HJLOYtAPyIXh/fCEUDGWoJZkXBBp25EsxaGbZ7sCFTYIITA5IvFqvnnnARbrjTyRT5/MAtmOmodJt1sF1oCCZ09rAggiAYlShXEHFVr9v2g/fnTEEr7dk7DEy5QBxIuF0RpSP72BMGAazbLlSVM8moRiUlKVfQuPZ3K6qNEEOK6uXVgnXW6kuMdDq1gspCLK41GioDGNCHlR5xujDgsJz2fMgf8l9B6rbUzElBzl4FG95o2Y6jWXZ/TA0JnJF8/qjci3qj4ipu3CYEHwe2fbr4YnhKbwEXxkw2uEWzAtPwItJj1sC99DF1MzdYh2In29M49M1yDEODwS34S7IIWGNinvNkEVo4f8YqD/J8cJ4qp2xRX7u69JpJbwPb4nL56MI8QQngiequ05PHrgw +[+] Bogus cmd_msg: no_colon_7_////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: 8JfJSbquw9FUgav3qBxhXcgSgdYCJNxxkgM8ABd8hHnU233VlYQczGbKgvnCe4n32C+2pYXpOoBkrodcIErzcErEXf0tQl6REhwQBSe5fY9HQhD18A1iSss74tQHpvyEc/xzPRMNtKb8TuCUUN2cLoC66/Trpuu4HpMJUSik8byBbI+PyDneAs0m77JxbqSyIxip1VDRbQeyag39Q44+LVbEIwG8CplUFsJFER3GKwj9mXKMGFxT6YOOaRTSup0FhrP4/reQQNGG13fKuZau2jqN9MQptiwIz6WmcC/tb+s0KpmrF1/WH4Zp0Ilm7OZiOvJ9UaRhLTNrcokUz67dDYvM7DirCtvN0iVWMJexUWU51nkBCF5Grx+BZASSdkQWDyg4srOeYbkwMAGGvp0ssIg0h0aYVnD4/+Cwk2bL8QuTFf0NPQZ1O6zqQTqRYXYR4G+Tg4sqTWfvaHMhX5q0VkEmvaJI03oe54v3Uk7hWJRfrmzvJkCLzt1Z7E+zGd3SfAFl51jLTwVa+SAeQyIk4P7qKPN9L+hleEH8US5OzZTJKRSsMs+YWHoIubdhXucDnt1IDJJqVzuLoYP/wUduf4oYSdYZIIaWIn8OnNav5KwDK0Fu9V8WEiNuRREGNv2z/+AxlghMDicWSGZT3yOAJnxYL7N5X2R/a6icD3GiZxIqkqCMjEu7kTWiHnJf7lulQebuigruK+wE9l63rTZbcR6oH77EeiuWpoPK9VYonemDh1xDAjxVKQg2dXjCy4Q9eHKijOlfO2A+bGZFH2saA/SO7ebwpaVKKYBqyXypUwi+WOlRL+oCPvQy1AQNUnDMCiemV0LN1WLsj5751O/0UB+cVM6eIzn9bdLW6dXaeneLApFBPI0fr8RD7dxPRxf2e3dEUbTefNXUt2akesNkv9hj5e3PO3Vq7g0jWosVFPiiMiWyWll83eCKIIXmF+jNH/zx68lZzyY5KFdtg/DYqhuh/tmczrEieAWNt5yzqWiI4IIGOjoAN4Y9RYUf1Ce62zUVCN5WGxolTf+2ema5ZOglfz0fzqFJvQ03l4e31b92he8+/LsAbRWramN7nVSLb1WLDlBz8u0Sl+3JyQRA655T7SHTM++fPeKFMqLkJwsW2nLFPPQixBcjzx/v6KlYDut4b7p+EaIaQaGPiGeRemkz7n6Trr9MgDu3Qn6Oo0e2fQdnh72DweZm38IfAVJ06h4HCcOLXFp4sulFgm23wzdg23lzxAu/A0+PLR9kRNJRUDkeiN5CJU58jv64Gm6Tsi+K0LEkC2H9oQ+qRwa9EtnTT/b+8e7KlDPkCtX3P6E0cX2iOe+jZQKWYtgfA2/X767TAsoHcEZPbJVAWAqJF5MzGX84aAquJl1n4uoxdtLmvwSinHRuiQbvETHgNJ4UUDAn8WX60RWmgWVXLaqpG978z1L/Ncax8 +[+] Bogus cmd_msg: no_colon_7_%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%, SPA packet: +qjDKpfoVCnX3OzZS7Wd+fFcGLSjJw7YYiHZ/DRW3JmEonPy/6j/dJiAQI8nuswnYaOiBR5OnX8U4Pa9s9vhjYvxSMHB6U3oxFsdHd3UgmD1O4y8guC3Ry8JNcgojgaRJmzSAF372O+wRwuQMllJBaD4q0CAcEY8BJ5w0BeYKAo9OXySDx6PH/c0J2LntvOLvgul9c5JSCNNA1RZ1Wez/aT1ypFBlnS0wTMh2HLJC8zleph/dGjfJifz9DmBuzo2pSo6RK0boNFc9aSRv9HeogStEeCqsSnaTsP89HrwI0OSwhJsp7ggaFGWTVFuMTq7rQ4cpLFnFoDyPjAq31BkVRiIhf+qCUtu/0rmW2YocPC5xBw3nsw/2Wmwehp+Eqsmdyz0bGbaie0Gbpo6kodrb2Xf16lJLKYpUxdnBlb5FnBvIQY6fd/drVYer3j8kofcoUVukRUXnwY9i+jFvyBSUFuJPyzQOfO88lXbLX4krETdiDt+XxzBXrg+P2Dcw9k3y2Cu1bIzUwxAzcy34Wflj7SaSH1HEy+meH14xn4S0fA9vWaswJ2AOKt+KwaiPcfYLUrdHKEVRzzlHu8eYj9IgZZ2D7eBHj86jhOTNObnQPWhADgkREuzuvhVYdAjGnRtaTppnklk15Z/DyYRQgcjURTj9S5vUsE5Iipjy5dkWf9bGpMRvgkuejiuSB3nNvJUTupUXhxwSovnNoFG9yKaPzdGUQizHY5RSk4S5hGxG0olx7rL50L/gcN2MTC6MnVoluETnxh6FgW8NzB6Ro+eeFYphCydjeg2kt60/xCPIePa/wMPvmzJLSQjMZnH2+gxoCWCGyFfjwNR/rvXBAbq0f1nsq04LDrdCEiqvRv28npmVkAcJJiN5g0titQa5Sx4HWuImYk+qtPbko/sEXJvGfJesVjBYhFaJWPwqt5iYmYIX8q6VMLBTnJ4jiuvwDPp4TfdsJo7pkfIqv3TBo+FPA2RfvNE9DCfPo7QLdbgev4u46vgUXxGpt4CgdI8HyO0IjEm433v/M+YFkAvBvT6y4yluSoq7/d2uUA8uRMggeU/OeTqNcUgznZnFaZ33fX5kXcsmRPPjc+2ZoUbwXKlM008p/EkmEm3zjbozXI4WrtwHqJlY9j0uBDuCAMP8aTkG4S9t2Amst2jXQsm7mmrLPF5t3LZSQDXhBYXSpsb8GoQK8HjYUucFpmC2QOTeoTSLKlORX+FnNteKbHGcVHXXqJ++UDs2fy7uHeR9mYNhSBH/JyBnvNa8YWWTanS/+QnT0eQixLSkB3GM65jlBm/f3ecLd34VQ4wQHGfqrd2o5+R5xkfTfF0iYOY16rp6LiphpBIc3szllJq/oduo8K50gJq49JdayhKzx5cc8qwUokGZxePJcHZlpaBKriXjNPYDPLFiPI006CD0Atl3J4y9E64K8hNPgsgU +[+] Bogus cmd_msg: no_colon_7_::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::, SPA packet: 94nHqrgtnzhKj/qxMZ/gAb4KZ3mYkLVUio32CHOn1uwKsXHseeR4Gs8unhKdFxST3MLI8VibNeEnVgguLTyQi+e8Q7dz7YWOJ8EET1iqU6LrRwFQMvXOCLGRDAX6H6fyRUjzxulkSQM4gb9wLFj8osSaXAitRaOo3pBpCfdejgnetQKRdD78S1e3HXXfK01yUb0DxqnTHY8EkB2MvW6PvEtwyw2Zv1YKLSG8fjcKcA8UPYZ7joQS/7T+MXWZD/PyqNsMrKmCzmY/fAW7062UpeSRctQtlv+BJySMnud2gyuTyQ2wfOR8bSSiWCD8se+1XUDjNAL6xAJ447r+V3zmBnnrbjbF2WLJVwQIdECK6eHbb5OvxcUYZ9iC8CaTfjmk5oGZGq6iJ8MhCyI765gJVlL/9i/xghPS9o1ZPZzzt+/DbOGB08F1YcGTSJssNT3Fs8rmtjRRwIK0K7Ogndxk4Ny8hg3/SAReunlig7wh5lcT2Xk6bWho+52TlHwCC83NgkuywiBb4wpJahXW9wP4AjqExTLEHZAIqbTqxJPzDFzPAimUc1RKvvlbj2y/saX+3/OFinT+U48YP/sRq24yL0N7qZqBKKdVu+DxFA4TQRorNlUH6+eBxwcYze/knh9mWaBwgQefzTwdVLhwejZdHVF2XBSuXEeDjPp600FNNQzmxsCqwqAEyxoj7cgHxA49Q3gAtAPKcNXO23bJOgMnSQqZxcs7TefDlwOaLx6Qf1mNdh2r5mUHr9M0TsHLyF7A3NPz8ZLwswlrHxU15EQZbZPtOvtY0o4VasJOxDTH2H1TTXKsuKI+pXdty4M8TpK/FUGbuP5ndQUONXbl0ryNcB3xz3UZ/Lmvr5aBH8G8O8soCYCQXygWf9A1ZtGO/S7dVStOxNnK8D05BJuqucHPIVUDqI5jahgdwmCpBRdsPqFAtbGTd8QvdCgfhQNxvxQ247iERjEFUXG99TIWD8YFMvblS5BlzLeWC2XeMDrybuNH1OTzh6YRfeXlKSY6w1AsFxSq/FP2SRAiffSDpEap3edg8cD02UvxZnA4guMP2nN4liOxXAuwV6KRhpVZVjVoyE5U/rzTEUjrJraz8NuJ77Hv/IQEcZjgebkgRwvJG9+fPnfYMoAzihjIL0IjCGbuwGwEwkeCcjann3mBEr/gYEUldBurq/Xel4M2HCnSgQs3oGQEEenZI9Ym15jgKeAJbrw4Gfg4NKlyO7iQnf8KrGaXFqMBa+YOnhFWsi94BIdN6U50yWj4Ph07DJW6ohfzTcXI76aaJkcTdpDIfHP4/xZXZGQHw+ew5/C4fBS5t0CaD56eyJ+apznKLnfPNuEK5IL2VG+jQkRCccXRG6Rmq7wYu+OLFAYrIBbz49MPMpm9jn75m+Sup8JQCIMMLRWfPxpAfDNxRTL/evpuYWkIh2DA6H4pwGiqM +[+] Bogus cmd_msg: no_colon_7_(NA), SPA packet: (NULL) +[+] Bogus cmd_msg: no_colon_7_,,,, SPA packet: /RdpMXs83OM5W9MtneQMae5hzOuwkPfs4SiuJeoCXDxr53lZdn/TH89PTVdxRTaadjJAPLn27iNHwA29KIG3YqliU9rK25EUZyIwp24OolLYCqbbpZIJBw8BNQjR49E8VCVLStDfWrAw +[+] Bogus cmd_msg: no_colon_7_----, SPA packet: /nrIOWG6QKqM8nPkmpM1hAmSLry72DyxnEsF2kbxk959VCtZ1FwK9HdRiYxDbaFrEtFj+s/9YGaHIgWqi9henIumJvzx325Vgl4PlDEMCGgI8lwpJnZPH992GNNaiMIFpONgkmdnpMXg +[+] Bogus cmd_msg: no_colon_7_1.3.4.5.5, SPA packet: 9346v1P3jIFmRG0SD8nV+TEauY2HpeCkNiREhQbqFi63Elx/U77tlfXGXPWNJwTE/Z3HxEbuclPesSWFh2BkwC2Oa13Oihb/FUgV2Hem1ZIF2DPlWhbXftu1kVZlsHu/p6H0W4UFs6exqq9iYA3tDAOOPULs3SKsk +[+] Bogus cmd_msg: no_colon_7_999.3.4.5, SPA packet: 8k1WvlQu+HhRNXAwHM1LfLh68X61R8xHJY5tE3uhibB+lkOAo1OL0Gfr+y3IzlLc0LjSntAunm9HWFBpBXp7ebLi46gZA4DexyvTX5NUQl2hEU7Epxl/PR82mLFetujk+GtsVpix2vFueUiwAlF48vmfzVco5A6+s +[+] Bogus cmd_msg: no_colon_7_1.,, SPA packet: +/i0VY9Lbj4OpXxVw638JI9vaQ4TWFmjeKe/UKoRFdPwW/czDtNozNJZSw5j9XqgD6I5JjL45WVoRBopyZk+oAa0xCsZ043Kzs22vJjlGhnfMaWZQia8ttbqCCgn7VvT+ZLi3mveDDqQ +[+] Bogus cmd_msg: no_colon_7_1.2.,, SPA packet: /+oM1iPUSi6e4g4y9dOfjkFmBVSsurOgDavSBrb9Nyz8hgD96Nyf+NQW2F9STASMy+S2+9FwzGiIbxSG8HMI7Ow5EBn2pMsYnCzOmCqZ90RxviAZQgpRS+BlXR7Y9139UxgYXxbxqcLA +[+] Bogus cmd_msg: no_colon_7_1.2.3.,, SPA packet: +OBeYI62ltYG50ihGnsawWDqu0XioBF6ukXU3EY9Q76/d/LIHsFghCLKtKjiDbsdIHN58XFxXJE2LNvJQhShF/xc70WACLGvwsI33SzRF10Ipzj/n94/Pv4hqMw5bdcjBFTriHtjXwcfoRP2pzAmQiCtsLglUBaJw +[+] Bogus cmd_msg: no_colon_7_1.2.3.4, SPA packet: +Qfc0Pv+7a3Sc0VF/vPDeeux7bfVSgF15P85F/vHH34ezdaUheX8GpKz1H9U8cB0IUAAF8G95+a89a7J/2mpHbtHp5uyEr9Sf/wC1/ONs4E3wO932VQFG4oaH9qneqx2Uo5CxJkF5tYY1A94PqkkSo2fk0UXIcsNw +[+] Bogus cmd_msg: no_colon_7_123.123.123.123, SPA packet: 8sgA7kCVCRPposXOGdrkudQUdG/AyWyCCxQaWkY63GZdF21cdSNj4+SDeLNgWoNPAnzOCrw2G3HB+1pQXZVd9gkVNk72zfjT2IR0Is0wi1AhTq94VqHlBCt6b5sXfNaJG0zl1NOorJqYBkzK8zdFTrgtQ9BoyxO6g +[+] Bogus cmd_msg: no_colon_7_1.2.3.4,, SPA packet: /pKPwFZtuv0LmaIvokJlcD4Ztgds35kLyK7OCZ1tE7mThd/v982FJ3q7yd85IAYU3csmQ+RmWxNCNVhLrLeUbpLxerV61Lr01eIZ/GKGtTUwp+34hGDEjVYLRXAvh/f+Wek73ZHczF0nr2RNBS28iDJEoJXY6OHck +[+] Bogus cmd_msg: no_colon_7_1.2.3.4., SPA packet: /VLlt3eQmZuNRjVCdkeWhWV1ab3cqCfbTYFhbChgXPujKcFCMBf2ySDyD5ZX90uZrt1MXNw1JvuS7s6r2LvwMKqneacxveW2U2ILbHxOHf4nKCOtxckHoTrOp5Wt1MeqClhgx87h869zsFm4f/0W21H6WV9HS82Cg +[+] Bogus cmd_msg: no_colon_7_123.123.123.123,AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: 9Yejul+bLdaYgJRreJ9CHWVx/G+SlyFpmyH4j/BR4+3gn68M6CzJAogHM2NU8hWslgVLvLMGeO9K4l63YUiQpgSeRlgzffq+EYhrvOdIHtBRSe7xk1SVrxdo6CLOVolC0bV4O1HPGYt2KVToA3MoMHPC2zTHtx/b6mgvDtkvTdOGZnFAg+k+vk3YrU7CIr8HMvSp/Bqz+amUnrx1auz/F7T7eWP47Oi394oL/S1aRC7VbhOczwHi9/XTiFMks3ThgqqNELwW+p6xhthPlXL8K7vUn5mEhoNKnxddbO4CfByFfnQJ7+f/1Kz1XXeCGNDkath4SQrUgPc+JW3Hvv8GMimbYwLkbekudCHp31qqEx8Ie5AU5LZknXz7kAf1zikf1bGUbXVBqKNY2ZS37sd5bpfrU3Xe5TMmMJYMnSSgvY4Xtm1h4VZJVTYQO0S1q9116Dhc6GAui6SqhbdR4Z7BWQrzxsIR/4YutQLCKxRdessXHLVW8Opb6EFPw7BV4LLInzR9c2D6CdmiewsGpWbvxGsugxS4/x6MkkgwmpNFhyENs3hUEx8Acf6nmuGqG2epRAiqD+ex1QefW08q4VN6A+WzaT7giwutoXsISea6qXkM1qrCffI5zBQuupHJDcGh7zWePNFl047SPcKfXP9ayMubYuUWOaDmQQfkh/nyntbLRvmfk5zZIyROa3+jyXyQZEc5OyHT/4utuDFAe+oH37/hLMvEl7KymINLiK+lDXdEO4WgP7hqaMRFuaAzTRU0nC6xd7kHxaxTw667UKTkuv0JwSmI9tIzQk3LFwZS4uDvFN+KEKm9H+ojnegLo0YpqxPbgSHVGKLXIkh0GBL8rmnO0fTI0MAPPQljs2RVOd4cQg0wnUdOVGWsJVvClFLf5vWiyjbzfDi8qCKyN/qpNZ7qR5p2WhhqajTroT27L9pK0ekF47OMzYxb8Rnay3X0Yz8ERCmnKiUgRkOSieMuABg3EApvCFrEbsNVLeghxqZB7uEZOY0USkbixdm90ZjPSO9T8xYf6D/qkQWDC30IYnzqLoAj/10oEaX/LHBSL3pH0FjLSCSqiClNPuu/KDVP/ywCzU36DYeBoYVp9iBbX9NA6kDiydggsilpL2wfbDjZ8B9+Rtt2W38AVYUFDe/BonD+NUo6LtAX101ejEhHBGV4tu/lUCx5KTu5S2QJko+Y6PANikqDvAn34GtMaq/3Czj0cW5laZ/VeZStEyu+Ibdb8RX+ljF0QuIlTpMsf8I9O1orsVGGzNSfLH6iRebD8s6uowKna0Ieq1psKTRZ2Qxrp//6GzZ6qC/4w+3jLI763aNsiyeVyeBJSBOr2OpMxM3MpUdlrvsk1jTCV+pmfQ6mNnsBVfUWnhkhRGYFhvv0u92Pem95jLE2w6aBQangd0MKC0ubWkULty/GMQMsyRzInhR5fyYac +[+] Bogus msg_type: no_colon_7_-1, SPA packet: 9A6ytP8Vb20qYKKKvCDamxHhZsPstEGKM0SAlclLy7cZBzB3gf9cDzKOmI6zBLpoHKPwlHJQo5i7G9oVtuJitehFkTzS6ygTn2/RgwL+5eBQHpJSDKFCviCjUyHMEKP9hXxmEmIGekWzPYB5aR5XmUs/TJ8jTUHi0 +[+] Bogus msg_type: no_colon_7_-2, SPA packet: 8B7kN2F355/RA768cPSZwh0Nx2msS0Ze1GCEkqJ9YqzfRFeSpT8zqk6EGM5loAnJ/KvjpI6L+q5HIe6MxujYdvNTkI6yikut8tRU/j9sS5rHQLkB846gXnH1kPRPcgofyjfgone6qe6xk/THh/fFV3rkusvXitfhY +[+] Bogus msg_type: no_colon_7_255, SPA packet: 9qwDVkSyVZ/X7Rk1DQHw3BF6vU6jElM8I/DWKF+7ZHX4cMJDH3wwBNOpWKxuqGPBYtmFCK8JlYObgT0oEVuG98KMvGJXh0aENeKvep09JtN4v+f8YajdSNYsVEhphrTKeD3OjhLo9cYz/ot0gjUt5uLcQNL8oqHDk +[+] Bogus user: colon_1_to_a_AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: 94l42fc4eu3qf2EDM7ihzW00+2clzy93cLNXZxJ1U5TZIvoFIIXBDyE4hH9HXt8954NFTZTX8smFINg1JgevtZPscRGXhhP1p7daBabWBWKarFNJx5NAn6SDKOxVJxxeLaE+hKJQd1m1tNTmPNEdob4usffQRXu0xAYPxUGeDqHkA8CgseK9DvqJdx0HOyhTkH1kygXmzmA6z+/l1/rIxk2s91iNehriN8LhDQ1UMHBKt3K4J1MqquroGOdjHhf2zW5YFTm5ukbw +[+] Bogus user: colon_1_to_a_-1, SPA packet: 8+j5QUBpPQML8Vl+vt+IB+e6WOOYnSHpNT47gTgeRnRR7lm3KWR5ZbTa3f/xnEkAlQKSmLqKxwi0nC0YrFu6sA2imdwW4nm0tpNldXabs50CHXthyMN1OVqP2gxyNGkpwbZ0lcTlLMnvAEoU82ysqs6IMA/CQClH8 +[+] Bogus user: colon_1_to_a_-1, SPA packet: 9sqZe6YksSVr4DnCPJV5CtIR4ipLP19o+6l8F3M9gfboBw/vp6pQL1le283K8bB2JGqEgTskaV0BMG7hSVlpr4EqPflOOo2ik3WRC8x7uM0GVbrvOCLPQJFpFF2mZlkm5IyJ+9s5B08Eo3lsmkkAb8205R7fjpKVs +[+] Bogus user: colon_1_to_a_123%123, SPA packet: /CtzhJxXXz607HEzXZx2vkupt2NHwcXCIOg/mG9ii2uSDcRJ+v3xxA003Vn+UoiqDXVkKSVcogw7Z/lsbUcdlo6eCeoEaY10lZ269cpqnPpJohO4QXPWHzOQoHUlvMeDcQCSvqc2MLQubWX/jB6Ib2JE/WSWkYGI0 +[+] Bogus user: colon_1_to_a_123.123, SPA packet: /9JIJp9damiXHM8gL8gnFWS86ffwalzu/ZXeT5Btq9Nt5DuksHh87VQeG7cJ89zbuNpvE3mwDqVr/hQF+ftYfMUXyxayDk37t/lEIfruJ5ar3WsGhYde67TWef1JAJ5C/hye5wCBZr6/wJwORN3OQ3kiEieNsaVM4 +[+] Bogus user: colon_1_to_a_123$123, SPA packet: +mVrGXpNb6DbWR5Oghn29Ex1RRoGLPjhA00kPWHbNzq2hd6Dfnz0dKm6TZwQkXvDd+aVWI0j5Po/IIcCxFWk6UuWNhQJVD5GEcO+5f4v0YAD72ebrAp2WGo04VDl2G4QeKTIl5S4mPMyhddgLFnGxFC2ed5HJiuPE +[+] Bogus user: colon_1_to_a_-user, SPA packet: 8CEztVx8ryw2SyIqy/tMAvH/8JwJJXor2U7Oe2ofRnlIrA6nuXRIIKU/P0k9Jr6s+c1ow3jjkKjv59I+PuMYovI3ozvGlVjvXwov9OkoBYAdh5Mq9XxOQxO7NUZORBoRsKqFi4tqSJR4TrjB+01/cB7HlzrHHPHMA +[+] Bogus user: colon_1_to_a_-User, SPA packet: 9aw15QvGeZeZAq1dZSlntvtrxVSZZ5yD8dhBzyTeH/FPxg7FKScE4dofvXSHhwMmQOy1BjsOp9Pxv3jneT9zjAV14Y+3se617v4efc2Sqjho5JCwpbrREuhg77Xl1y9UEElQD3miJr7snEH+DtscUHbZbB/iZo7ag +[+] Bogus user: colon_1_to_a_,User, SPA packet: 9uDJIW+m1/GZGIuufdYZrmQldUj9p18d05Pc0sQvkqsIEmVJ9b0BKY2+XHMINdpwELtccYor1OLjQXxm/Zhur7i9E9kexshjawpuvTUS5IzAYUbFSz/leYlArCb0IsXXpvrxpgQ95Kvd+jPSWNk1ciCYoe+bjn5Ek +[+] Bogus user: colon_1_to_a_part1 part2, SPA packet: 8wtkvz9I7BWWXXzn8SWdMCggCG+ongVBrPpzGrk3hWdcVBtgk+tMJXF14+kmhCou6e/FGEfxRAMq1WoHIHYZwbxnI/qkLTqCHzm7eSmJ1rfThMccpPkN7WIQFup1BWQ2UP+oZQYQNDQPmAllQCoT88y6a6U9sk3qmTu9qNdzGISMK0HjhK1v6/ +[+] Bogus user: colon_1_to_a_a:b, SPA packet: 8LfEOpoCeyjYNOfmo6gy0FJIJwZtMqri/MXZMd6BXQkN0+cM8qV7n6LO7+xxdN+IFcLlwjkKLUoaTZp6JS72moKaIESmAAYyYMIyNbQrdO26NajODApNeUyQsDnhl1Dwumt9Wv761b62bxoe98L9ALwKLUE9CQdVA +[+] Bogus access_msg: colon_1_to_a_1.2.3.4, SPA packet: 9UHGlVWGzZfRq/ftX85WmEQmNMl2Hj+olPZNG9O4f/WvONHfjqN1UVk2giiOlD72yZDPf68Tmqk6hBMeuZ6C/Qm99T10N95PVI3pS2TtGwQQdibKY3Q+FkGCAL9wGUynaoZI9i869pA9QO5bX3OgLfuHv4QVYKGJk +[+] Bogus access_msg: colon_1_to_a_1.2.3.4., SPA packet: +to2QAUv7oJ/kEhTL+91dp7ZHRE57Ijp60t2MWV8x67VBlKPr5rhghnvgkgWGazLXH12xnmiSq+iD7RD+Fk4eBmNuqKkAgo0bRUT6vScKWubgSi2feU6V3vEqopdnvT6v3gG2PbNFKBzX0M09oLPc5kDzeiq2CeFA +[+] Bogus access_msg: colon_1_to_a_123.123.123.123, SPA packet: /9Qo+EGzsVeHCf7h58PsZi4dDyBZoXDdBCHFL1jQUuj4Pj+ewChwknAq9N2gfWYeM0VEB03exXtu7oRhz8HNeToKpwWNaKfTtOU/XBhpWRuAF9+f7aBE5xnfVPTYDOAJsjpnn9/+0tBJqSiNH5gOaUiI6dnAARfb8 +[+] Bogus access_msg: colon_1_to_a_923.123.123.123, SPA packet: 8WhfCpUbMHv4YD16zfJ3Zsn/yKsL0b1+vfqrixN41maIqJeKjYt2YqkdIEHtr8PL8GMI/suc19mPn+TrPFHTtZ5YAcOjffnPxcHuG8eln9C+iBWKIxPPezwh3kRyqH4j2YWC07g7LYR3mQvGUOnL1lX9Jhu0QXdhQ +[+] Bogus access_msg: colon_1_to_a_123.123.123.123., SPA packet: 8vFkuByi4ZwOIBDqPFzHDqYvLwlNjggTdN6/nEk0qs+Y5z22z5/6vKz33i2hoZYXOyv2p0eXxkfLx3xNIXQKneNOw1B6jYbjUnzZKPPNiptAfBh8KgrV9SiatH1xuLt2eKUHTE4goMhKFyzn7cjyE8w94ZkbExUdo +[+] Bogus access_msg: colon_1_to_a_999.999.999.999, SPA packet: +Tba6LfwQPiBsKgLkJcWv2QOQHxt3IpX73ri2JLVBD7Xzf30by+g08k+NQWGf8SGvp6Du5V9Licc6hmKcE560L5XVf5xMkUFbwVJLvWu49XzTflhRQujnC1voNmWeLS87XLaRf0L0PEI+YY5Mi74soLcAmCop361I +[+] Bogus access_msg: colon_1_to_a_1.2.3.4,tcp/2a2, SPA packet: 9NDomdxgHutesYZLZyurc72YTQmMIzqvGn01pL9eL8sgrhUBa4LBwXCJkFo6Zzxn28q1T7a8KPnr+jat6VI0wE3wt4CUOmXjEjpgNgp8dETepd2a4gXRon0T79XO0gdi/wqniqmR3BSgubCn7fH6sniB0ttb0y79c +[+] Bogus access_msg: colon_1_to_a_1.2.3.4,tcp/22,, SPA packet: /6rw5W0rPtDX29BXmFaZO/gcHsfkzPYMQfQNFWXWYQknkfUQMacal0VFXUiKEZyKwE9hmAczZWASeLdLN5h6I+2kLMviFP+VBW1MJmlMjk+5/6omQ79Q3KvxAoRT51ZF7ajRHJG0MZbfAbhhss+s5mF1NMIr6ykjY +[+] Bogus access_msg: colon_1_to_a_1.2.3.4,tcp/123456, SPA packet: 8+YT0liVAYknew3D5D3Vd8sG9xbpa73CUICiO/E++mZnGMvZXaNfOtMqvDMSVRPgmLlal/oVjHDOH9asl0hA8NXvl8LgVaHBXGqm6xqxwcY/Jlk8QU7ZQOuNdk5VeL/vHWtoEPeQaXouO/KOWxvRUvAYnQ5nLFQQU +[+] Bogus access_msg: colon_1_to_a_1.2.3.4,tcp/1234569999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999, SPA packet: 94D//x1S/3D0l4B6Vrsuvk+CuWSm8jlr5cKEAx4tojErmfcHnt958RD997Jaa6TXEfp7vnOtzkPvn0dNH3RhXZjGecuCGBHfq9LTimCAnIKGopQnaCAn596FQN1Ng4QfypQ8EMFwcuXA08LOut7xU1wSMmbkfyFAMeN16dcBuuWPMWGrtKsOwrl+dmZfig7DD2Ty1GxrErluBxDrOGN5TWGV/F9dqXZ+YgSHvT824hmiuzMACe+6sQLZRM8NK3oiINaxlhR0UVozDgSBHpIJPzZmSSRv/fAweev/kESEIe4tP05h4WZr/28daHqCqIEfEIi5lodWefKz3N1hJ5Nxwts3KY8GHD5Gg +[+] Bogus access_msg: colon_1_to_a_1.2.3.4,tcp//22, SPA packet: 8AObmIhPVnFY9vU75qqBswuWJozX2FHlpqm7U3LItZNp/XMcadW/Viaf5KfO/p5tA0wsVmecnqAyVLiGjAd4XuiRQSgNgeL5cF2Y4u4iDKPYIwy0fxgBYS+V3TWfEyNojUBKxkDmkA+sldlViUrV0ql2KuJY9++08 +[+] Bogus access_msg: colon_1_to_a_1.2.3.4,tcp/22/, SPA packet: +Sd5bi4UyhHWeiK0s4AcpqEZZh+AnHaFwx73V49Y2YE/8uGnzB01XGK5xrTk57N+SpK/HqqZ3i4ycb8nhEcM441jd6ZmXdPOBP+dTp1P+niYBEGYcVGRbBIKxFprgWdVUgQmcD+aCXnPTb39coEb7hPhhrL6VmRGc +[+] Bogus access_msg: colon_1_to_a_a23.123.123.123,tcp/12345, SPA packet: 99b60WZQ9kQp/FeuKpwy9OoY00txOET4NVbjDcxdXpB6F7MdYr3wjWmVXg7ssnoJMrzWEO5fHVlXQ9wcXnGfXyCdewX/jdfFJtcHXeBvAuOgehvY7pzwDl7CW0aQG5i9CybLO5m5Bmp4dGckbu9o7Q4URPWgziV352APS0yEVa7iEiTC6WqXIG +[+] Bogus access_msg: colon_1_to_a_999.999.999.999,tcp/22, SPA packet: /GuUkxezwux7Ptl599iuo5BTCdpz88LO+6E7S31hWAXxuT+73sDCUln42aJa+RDA8Zf9I2BTYHhP4wq7AnaD9xOlh+vDU9DnN50CJdeWcYvU36AuxHvxZ9GpbrkEbpq6h3g3XgQtzMRIasi6l3QuovqSJZ8PUCabEXqFPktfIxuzTaQKLg5Qnl +[+] Bogus access_msg: colon_1_to_a_999.1.1.1,tcp/22, SPA packet: /YT3nhmEFeyn45Ov6AIqRFLvIbZ+uKYuHAFeEqWpAkNF5hPRRcXj1HfyUuyLTZOUsJ+P0f1kvPGFN4UxCP/QJGChNfcW/YHnH1XlblQA0FuiXPAyHVip3W4S0UF/BhbZOD+4xsrAjvvNivWLWl+3nb9utU6XMNsII +[+] Bogus access_msg: colon_1_to_a_-1, SPA packet: 8FchS9N8iD/wMs5p29MzEBAr4rAB6vo8mBnvWLFFjeZUmohSzhrPrDzD8u89D0Wuuujm1VnpPSsB5VRZ4GGf5QgpW2Tu1VrOwqmGH9bigdxv25fUSvYKzEgzXGs4yR0ybxfXbfxjND9A +[+] Bogus access_msg: colon_1_to_a_1, SPA packet: 9jr+lmpkR67DzXT3nIojCSYZq4Je9r2tD6rTKm6bKdqhG5C+ZqiJdhC6pyUB7BQGmaLauvVEDMkPt70Uju+Z+5VU7rtyVcXfBabyiNhvkVUdt4U142AUoADEgJQHNvEXCMFPNnXugt0g +[+] Bogus access_msg: colon_1_to_a_A, SPA packet: 9qpE82TP2e33CgvDlwf++GJEMYpgyRgILz8UfXlJ+/B6fd5fLZwhv65jvBxI7tkLLgRO21wR7KGceSLriPvuFb2iA2pNTk5bcWHDoIEdve6iJDNPtCBSjqqvVkpnFplGKJHycOynLowA +[+] Bogus access_msg: colon_1_to_a_0, SPA packet: +eRpWRy0gSm4L5kv6jXzzGUxocIk1oA0ugJmRSsFegyqmL7SX8aUcr3py9q3XcPN1NMsMhdqKRukYh78gdEUh7MhFFYJh24x9hkDa2KWq8OW8q52T1fjQTydQeRLPIiZZcXhuSv5i0uw +[+] Bogus access_msg: colon_1_to_a_AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: 8z9A2pBF07fRPIHHGqPHUxusBwbblbhsL8/TMbgxaqM2DlEaUGda4PBpd5UtsT4YstOAXIbrxgOgjCwc6I0jFfi+XyGCZ34QVO6zpzVhlTcZAEUFQMbq5Aaonw4o1VdtYYeoI4S0Bu10t2BC29KdweTaDhcA6pb3bU+YB+8nijJ4y6XFrLjnm9nyj0rKokfgFexeBQbMQ3TmyYpHSwwM6gg4tw/aPDZgeGeN005KyaKCGH4gMzjW6ImSPECHEP/qxLEcE2Q+oFA0tH05BIe/NC1bVnlBAVXY+rQQkqyddSGyCVbSc03rO2FWBs60rcsV/U432cOcJWsGbrY0mnjoxm71uTUpRGZMbCcAbPReEyvH8WUL19hGPjDLuY9l7CAL9F+oUPCUFUB2jTu8hR5j8Dw8IX87Bd9edhGmME/yMpzxMCKx5+E3QV4g96HkypBkY9tRTXcgwpiAb/Ua8kE9PiAlNrco3QXh/XhihoPA3xOO3k1H2HnYZyJr8HmDbXbXUkh4npy8uUSx4tC+bp9Q7KVjfQ8i6tJTXhWhE254jUCVbhKs1ZrW9NSujbV49Hu7rvI1O+fpPxmDphdSu8DwnpIP/KBmZPED4t/ohtWmLoUQvF+ZqMHeKhYD8zlDNrrHgf5Ti7CC/KbtrLpBEXwRN7liLcuwQE3mTqKSDiVqIPhEZ8+tJm/oLHqUNb+mcSI6071lXlRV1tXDg9GLtvAnpm8qx8o3tdr7jY+Fj30Of+AUnLBEAJytEW8Yej8kHw4waG7VAhgw0hYCQmqRQgZ9x34zQXzNS2JaS+lG6qp0wp/IOBGxVisaVUgwoRJAgjLBViaQu7FFPBIQCXnQEOo2DrPATFj29NSrlKp9a8m8y/uhgHuG0CyDkhziqeylrhNncjRKqI5VHgCUSiC6LKHVQZRrKmiq2hNIuLusbnq7kIfds/0qw2u3Lk0pYtp+TtWpwIDC3g5QnzE06+KLh0lZ+KXRFNpeD4+LSRhWUukh/heCyeGB5OfU7528CZ2c3ylnakyAGHJh6JCEeetsHUycM60C5N5ZOl1084NhJIhnRWDESeVvNu2Kj90QoRpri8KK+NC5BIKjFvZGasKfyL2dl7aLzGeeBpk8d0ItdUX9jhFAZRswFIswLAJCsqQoMuANLTf7V3+X3dx8ivBpODQxeyZ30IcMYvAx7KMuZcQFcTDKsq/mgD8PzYRJ2pzqc9KPX5W4iWN/trse3VHDKN3Zk31LWZBR0Hb/cu9Datd/7bwO7YIFWO6GwpEjbnD/dNgYtdON6iOTynEu6ILvP23NHlaNQtEl04Sg59/E6HoYuhfynQ7obXCtahGBhpp2zGkdHs5EFV6px8cM+9zyT468pRtnrdd9bW5YpLEYUdC6SKMuo6ellxFnCdbIoxLDtYNBkMcVasRrzfuvmnk14IsKy+eZLrKvh2vUU +[+] Bogus access_msg: colon_1_to_a_////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: 8nwr1hnL71YuX8qrN7Ie+dpiVimFIslEzl7AncJO9pxnowKgR+km36TPvCBYU0d9t4RfYLJm2wI/iyE49DnTxSjq+Kf3RzijtV5KR6CmYKuL9GhLqmHoDqtyIKfN58JvsnDmKHxYi6Tzv08UHI4oxtA7JJxxcUyZUzvw0rvspzNQou8P9niuEr+VOqL5XcIOGY7ahcEVWuxKvjBgpzTx7UAasiN3lpqgxVZBtnViyURDa4LxJLAaHJ4pYqIryruaRNIz6RvYRgOGHYPpLj8Dxo5ObqzroTu7kLkIN0SK14YtyRiwOQWt69+rBEzEzkJRbO10Gnqj75hYE8PPRzVmeRecMKKik2ifOJtVTEM/ScqnmTQQET4F+iGTfnE5qDGLYhitrUk4iXYIt2IDXODO3t3f27XR6yeBj8Mrd01ROp5Tl14gxUqwveAwFst2YKBuu/JjxOZQR8/o3k22QaalKvK4THhpER4Ju2f1w4pNeurAkF1S2VVc47aXgvx27Hf8Digf1kvQ2k9RKYKnkxVS95nvRsZRugTyFfizQ/YuOifRnaCSt2U1JdKDFV2an6MFlvCjKEXBCgOLnBm5aapX+D24vAq+oRaO2fpu5OUWJUdry2bLWWJITfseUzxvfBILYRiULs+70u+wxN3hhztAjrwvmzFlZgk0ExjDRlSMy3BEVNWLbn6IR8Teue1HqpKbKwq/KKsNL2q/mYbIaa6NCbgzUiwVPD9K1PPdKceNcq16GalXuDDlG2N89IpNxOeelgjsOKL+00V+ejr0svjlR0aD5fkR5sNiGl5Ho6zF+erwqaX83ZOkgEtFD40UTgnQ+Z/B9cssYTJSMjZX5txuIQIMOpfQf2ZaChI9lK+inwCSw7z1X05JCKg+FKBLNGAQMp37Y1t8EBDydkMO0zgl0WMYo92g+5igEvs3/GUl6zqvLxVfD5KEXTRLlHyXmFoOBnWqyCjB8IvCeakZXXNDzvGX+xAPfdnWhg1szoYuwVRx5654WrOjBrrfKAorRCUd4nxuUSy2XbVlLO0WcmY0kmaj2CbS2YLnsQXKYHRSKPmQMVMkQtoGw+pfmSyqUOq8uhWMEvEwP4F/jNODNaGcLwFm8MNpv3RWO06I4cFL8B4hSijnb0iZMOugh2r+4/jY7EFgHIAZH45YFA6Q/0M4/8053joo9vvW80vRqdfrLWhoT5U267bwNARLuadBNcKurkc8C2CDQi5AOzXbsLm1Dv9RuUnKWhvVLrJCYzVOIRJii5vZcXkyurSdglNzcMMqPpWLYMG5PpNfW4Bu2Phr6vamh6ek5Cuv8PooG6EywtU5KVSBV4r/kHZjVjhrF0FRXDNNnIwTxDx9lkRbm3iZG9FrERthRPyMZyk4ieSXOL2WFOtkWIhsvmTZ+kJmqxBS8NOyTMB+RWQs8UtISpgQKlojZ5pFL7Jzc +[+] Bogus access_msg: colon_1_to_a_%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%, SPA packet: /tOY5AcG0jsUKrt79iFKnW/VIIwPvq8WbQAOs3OnKJEvThKrpWdR5RI0uKh8uJS+MS1hb57H1qf6qeNQcNpZ24FwJ2M9I3VDbFfO4Y58ykzKkq6UwADRdMbAbqowABZCR3ELiMoGMNFSseslgBgAVxh46Ij1LN39aI3j26ICZlH0GmsOYqX9RZmbiPzV5d2+M6u6JxPkvbOdgIVXdc7LcI2RKLgi3gct56ZPpc5TlQDxT6ZbnrhUfRFJtgMzjwWu5dwAq+isTJVJFP2Ut7Z0n34a/OJrqIaDdCEZukZv796LO1exVZOuArZ/VFdRInyIo12xEgfcINmMtnnITPyLe4g9uMR6yuHgQTqj00lejut5COPGMYNjMtdjcrO7lfThPZYn+CSTjU6J1V1n5wbTllm8XeJQ7ejPsEU6RyRzsPuLpz0JKxuVQk9JUPnWgB20rY3/kegKv5QwB1/+2TdhMILh8nXAqR5s+op6rxkV7uxPXFy0WxUdsLo5aemy6omGt/b8uMVOlWWrRvuhtXQ2SwdK3WgUoOMgPtd/SIg5BhzS22WXApXHlsfTud9T+JF8NbU1j7u4AESZ8WRViTn4mfNJ0Yq/uFxfzoJ7jjVAXsbPo3Z6PTRH/amkoRH7iexhTbUZRpA5bk76EIKdNyCryCXCYvmtISiMZWoEpwehIGk+8SqrNHHhg3+xkQCb8XGXvP8SuP9UPSZ4HO3AayBcVJ6xZ5Hrxc0mmE/V43WIBl87ldcX/iwDTPDX3taxQz0BjJXiRxWuCfVPy6/qNcp0GbL3PxPjvR/V/E2sJ+IKifAliW47m6DjBUxCCRCrDNpY4RMdPAC8ka5ADloDPwf8hhgh6Pyv9Nla9sITYHZZjNQJ3xKGPYDuE5ms2AqY86M3iuqkBPTkYcrzE0WEZF5r2ohLVgQEXIwgFeQoCX+LLv1VeefeyMSToLLPR4HSsVNFu9ViMXfPyvXyPslScdPufynZdIZaYDcNJNSW4c2t5VFhgCMvk3nsJEr6j0y5nRaJ0HvDK7PXVR1FkHltOIYyT6ONDcP22qVGZLd4GdzLt5QsBYB91gwSC+rNtMvKwmfjW/ojAb1yklJ/JXQluMEdUA8+9Sa/CAOsE8BDdf/iuNVED4umyR3xOyrIN0mq7uvJelT36V6U5XI1oHr434MqZzFGZXcoQIz0xMuNEM9irT9NTKsF9meXUg+6pzkDhU0ZTTIM6e4pS+1IkaMYwOIis4l0uj+k4QRLnUwZPymjHOkw7algzvbB5HjqKrD8WL/QgpLJU9aOK4x+H83RoR/Bt4DnRHiful7hT77Pa1exsjMMzHJEEl1zjaTzXWHHhbSaJYpA6rdWzQDGI45Rmfx60RfUbi74MieX++8SkzznK25uAVM3tQ0ra4ocnjHy9ZjxiT9Qvjqu5QC95UxZMeR+qc1XCogGho5HY +[+] Bogus access_msg: colon_1_to_a_::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::, SPA packet: 8tvLCR+T/kG+6eq1Nx8md0X81jMF5VmPAEVs5Tk+5Ely+Gm0OR1dVekM16E+OQPpbLEVEI5fISFUvfkcCUdI18nd8x5mhi5TANQh5VmYu+lckUMdNn0oo3BcAXWOgyqdzBrBwu8CwKAsUjxPmfuuLdDr7y67U3tnTWqG65XZ+Sib3AhicMd2H6BZjHTI/yNa85pacYV0fqCNLcsmo3Y/kBuIG3/rXm0AtjeRgp8Yri4LJm349MzIXRTbPwEpxCCXdO8Z3uOfMsRUu/lpVTXid0Z4OAF9iJJrw27Xle3CheOvp5SUfobzO9jGpb8dklZ+bYW2T2IfZqq76fBsxJtyIENSU9JLW4XfpB9f1kvIFrxJVxO5N9MhVmubVXSZ/D733xtc/ziDDYB6ir0zD27ByxzHYnaXZRS9143mQTa1U7JfaaVgYhfW5431QRHauoTpoVFlyAXwMhLNR9coI6kTN7osi3f6xGOkLqo/AfOmaQgB4gkwqme5BfyPRO9KUbuhpYuwlrk9oOEJwoedI+xvZ98FaNaBea3OzjKd2BmK8QUaFAaIlF8JQN51ofnLCGI3c7Ys3St+6mgQtxWg+u1DoMJ2zMnwopWu/xyPpCsXHT/rslQl6nIZKX3ngHIxcZJ7fbtTGZpMIJ4k8y57nQJn5pa9570l61uh8SCFiZNjVHj0qajFfi/TfNvpBFwSIDXZIikNwVkNtQtueNDh5MajBxyGqD71kZMEIXrFpy6ft1SsRmnDuBjb00niniwtQjNZukPiE+s7az6nl9+v1h4GkhsEj0NtzA7za5W0qtBcIffj+emvwUgyv8KTaquJ2XLIGgSNCbUhO/QUVEUt48IKbJFAF5HI6jI6jlxvdDf+Af7kNBnsaIb8IgYoOX2pqfHgmI/Gb1SuKNXc/PWMTdVhsV8wI0gJs/6XCLuo+7ymKqq3YR4leP8gEDAa6QQsdI+wl1beB4421GMWFCaxeWgariPTvWjr1YRaeFpavzUKoVktAkjHMY+Lljs5t2JjyrlNiRstRmRDHzZq7qNANMZmKAGkOX0/bbHwWfhDvZRNB/hFwJaztCS1R3mX5wgvGrqj3FFNGNSouh1ZU7ZzL2iTGd0rbtaaiygRLrw435nxL/a/TPh1RXUGcjL2lWj5cgro4oMsva7d6kfYf8flPvNft7wIL1WdOF6/DKOQuRo78X3Zn5WLdZBl8PwpZFl4mlDih9pi1cBbew+c2jSS5rrR2yjgFeKUbZXwC1GmVvLZHc5zaqkulzglaoVysoOss4UqrVlPSiUka70Nn8XbhbkWLK6xABCmPsWenCzE4P9/gQoyKhWFpnBvVVF7IaO1K+7TnTIfRyLMjBM5+Eo7HCmmR2Xmkg+HMZaBuIhUoqlxclcTbBaNPePzjaZ5Msdjo09TDTC9JRS+wIPTbLf4mGOxlGpaHwwS9SQOw +[+] Bogus access_msg: colon_1_to_a_(NA), SPA packet: (NULL) +[+] Bogus access_msg: colon_1_to_a_1.1.1.p/12345, SPA packet: 8zxWZ4NMkGfRtiDg3rrLhr8cAb1quMj03LYa69q+rSw/1zy1bJ3BDp/eqBVi28B9J0BYAcTP+iXv5vB3UnUh+AHcmc1JgPqZaT82i+HbxjDswfCRZqUt7X50ZaFZEe88U11Gr/9k6OloQQ6DPs0gip9oO8G/HOVdQ +[+] Bogus access_msg: colon_1_to_a_1.1.1.2,,,,12345, SPA packet: 8EgBQu76gX9YqLcNWXWIkHwizkJCP6VOLNEGftB11lLz8l5jUuhifrF8BynnO6z/JhX4+Uk4GJ2eS1pU1MHkX2NYBsGzWuFiVJomnjYuPEjwNpYOP4y2DbdH5lvQl7sMJO/GN8+knqdI2A+zR4XPQNCOyzvJPyyVU +[+] Bogus access_msg: colon_1_to_a_1.1.1.2,icmp/123, SPA packet: 8+1/G+xLofbx+XlFsTKjRrsUgeImxFsqXmo13JpeyAQZPKy3r68w0oY/7p/Nk1n+MxRkLulrzuQtrssUbq4taAPd/iG8nVnHD+jUTREgh0gwLmz2Ntb2MzdWcxdGK/28iiNsPvXjT7tgBYii+56kwlIxraBkyOsnQ +[+] Bogus access_msg: colon_1_to_a_,,,, SPA packet: /vpUWsFwNYMbBjt8odHy110VAQWUOFM6yN24Oop9wYBvxHq766u1dTlcg9ISdGL82hED4pM87iEd1t8NxUUfIWng/ORP+hNO54XIp2/vcP1UDOyP6eaObUxoBu4Ov9I+03QwakQc9mmQ +[+] Bogus access_msg: colon_1_to_a_----, SPA packet: 8rM/rHv5EMttncS3UWoUOPAK3rpY4bNQ7TpHiRoB/oPkyiNYT9VKjz8jqTUiC6mePUGWN2337Hngkc8EzWC7P0nVxHq860/5QSscCnVBv53arjbIzjH5DsPVRroo+WXV6AihCdFghI6Q +[+] Bogus access_msg: colon_1_to_a_1.3.4.5.5, SPA packet: /S9WuBtSz5lqhHk06iaM0ifzDTVrJVLiLPgWyL/3FlNhd23RLgRj0hC4PWiagiJhiBxA8t85Ex4p1AtMd5JSZOr8deQDW1z085MNC7f5iM120QOneVEsS8tHmQm8bJDe8NyplSkAuC5pWqCs35kAfz2Iu9xnHO6q8 +[+] Bogus access_msg: colon_1_to_a_1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: /6Dibb2K/hzFcyoOf4wERfpvSCt0dzpxQnq1rTHzh1AXZdB8vHal+Lp4cZ4EJSvJogqScFdzO5F/JDZ/HtyX2zVxqJS4kiRDiLVb8IJhQIpEbfwbJp5Hv9c+xy7jxnQKTYdkFVSsTn1FPB7gBsiTa8sHB0Nod/usIE5QL1Ep13BDml5rTkHM3g+WgVYQKW169KsJx+LlN4zbsas9IkeVXF1ayR6wPiX4jZc9/1OQIXloQb6yer76ykM8x0ettFGPp4yL79bsGtnncKZwhZDjPQeXoqqD6oPS9WnheQCdPHFge8mPnOgCv4RgLVjEzZAhx2dt0eBZjMZA +[+] Bogus access_msg: colon_1_to_a_1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////22, SPA packet: 8NPNc+32x6NV0iTHCgxZ/ghHKg5f5wWGZHPAX7EsgBjMAycQmLf7gxu3NF7ssQk2kMFukAUgbfrvEO57h2t1AuJ0m9/Gelt5KIWUgvfhtXkaO2+4lsKbAgm0UgzhIZ9axjs3ET9E0Yz4cpZ2eIQHa381hfAASZ1g4HeeYbt6prFUwG2QsAC6oKfqhlnIkpKNepXPJNbg9n++B1+E7YmaF28JlF+hKKNAWL/2AWBUL2ZJplg2fSlwhlgg27nLpZak/fPL2Dq4hqCFnLYI4DGfEdKUlvibXWLV7NNJVn4QOhINRHt+3KK4f7ULMiDsfC1mG9m/BH3spBgg +[+] Bogus access_msg: colon_1_to_a_1.2.3.4,rcp/22, SPA packet: 8xkfk6n0nQ2oeHo+QnOPAb5JngFhVNfRNVohawQJxfGWBr9JqHVEnKd6WRMmLUCiOAnT6H70eA3hc8zL69V/UN48CA+HYHoIuqm2tZk5kiuB51139M8PRBCfxRy5XBD4wlOF85nA+UH8JuJsrZVBgD+MFJLlQzxUk +[+] Bogus access_msg: colon_1_to_a_1.2.3.4,udp/-1, SPA packet: 9jz1h+JTgnoOiHIjwsOSl3e8F+d3at7joj0gdWxkATOON2MKYHL0+fYTsXslIUVw8OkZ7JmHEHH6jIRo4uczrd9yphGmJ9mz7cKRoqXJQ6HeebXvysXDFwF2SEFQrpaFin4vbTQy5i8b4iIUo37jHBRwF9j0d/FKc +[+] Bogus access_msg: colon_1_to_a_1.2.3.4,tcp/-1, SPA packet: 82oraXtRUkw8h+GJHc7q4LyZD45aNVrwPlYlo48BB+Gdw3a+lwuLUFS3uOE065iwZ6fji9ty0pjGHgIPzrJ5vpi7If3OHDgqRAao5rjjXy8EXdm4rW5TwT8L9nlXGV3t6JPGXabQGPPSfVIl4WXTmD0x14NuoFBGc +[+] Bogus access_msg: colon_1_to_a_1.2.3.4,icmp/-1, SPA packet: /fuyAoWyPjR605VsM3luEEQCANOxnTkFvxsjQv/YDVJS77/cpjTLGk67E24Tpa7LJ1/YQlUBnWbJkdOE/fxjK0Y5KoJsFyzMvAhZCWt/JvOf6Sooi4irHDpJFIkd5+1u1R+FXMOabs5ABVNItuxSIEGgQIFtzEOqs +[+] Bogus access_msg: colon_1_to_a_1.2.3(NA).4,tcp/22, SPA packet: +6OZOb9Cfj/yl2b3zoZbXK3ajqfdzpdl2374+nVZovpc3ZjcSfMh5Tj/LzTm1+67asUJNQjDwnRJLY2CVXolO6xl5KyoThK74eXYq4onMqXZXfmJLiIvAwesXiu8IGxKOAOe6sv9A7Vg +[+] Bogus access_msg: colon_1_to_a_1.2.3.(NA)4,tcp/22, SPA packet: /OhKavlcs5VuRl0s0MHIzkwY+CUfMLOLKf9sX6AGzWMcID/CJ4aOnFt7tlfPpPVdKHu86h2sDdjxKP7bi4GDVaa1Dt8w6CeuG4yROUiOYok7mT9DdUB2psSvDdAfgtXiBw0H2Y1/2CGw +[+] Bogus access_msg: colon_1_to_a_1.2.3.4(NA),tcp/22, SPA packet: 9baYPG5zcA/KGpO+xAJxkHZO1dPXEKfEM9NZBfiC6RPpqhwzYt4vH8ZHExhCZOLB6I9Hqbjj+75F94rCSZMf7pgI1M5DKmRZ42phYRHUj4gAZ5u3Q2TAj1TPpL0E28BjNI1uyH2Lp/ATmYbCd9elXTkT6FkyxNamc +[+] Bogus access_msg: colon_1_to_a_1.2.3.4,(NA)tcp/22, SPA packet: +/PgYLhoa5FhZqZxpaYjA4JVEgQZVyq1L3hbE0ieE25t/B05ahWqTihRirlatRTT5AFP2MfLZUel6BPc0Cxe8pw6r3+lPwamsYFrZS9dsxiflmq5Kvp1JMQFce0kkrb98T5tH7Ez7L4RYdvdgneIAq10nBe1ZKito +[+] Bogus access_msg: colon_1_to_a_1.2.3.4,t(NA)cp/22, SPA packet: 8IouqIqGRgEs2ntP0ldABZDJjQz9bKZyohw9V5HsgNtoObCWTakPSEjl30W7jhi6A8+bd3lr9cY3XghWLOnJvqFAZmAQJ81vhBEAF/EWfLdF2jJi8u/E5Hk/Y1nVa10Z7E+NiqQyHoog4nk0oXGAhoEE3BVDyeUPQ +[+] Bogus access_msg: colon_1_to_a_1.2.3.4,tc(NA)p/22, SPA packet: +44Zerb32a2sX00t1yp3P0e+4cd9zxf+xMBdDeZ2DSKzu9Y6x2v3sLr18jqdremU5562FW+r9S2M21bqIZSkjntX7mfh/973L4Vqy6N+LEITCZvQYVb9esbW6FVhA4QEdH3zILULL0BlMEJBXWr5g5HK5MLTQIiLg +[+] Bogus access_msg: colon_1_to_a_1.2.3.4,tcp(NA)/22, SPA packet: +N619pEkiSZmc72AvclUHstPADJGdDk6dqkL6PVHrU8V9syMzCAMVtQcH7S0AhpsdSH/iwnu3eGjVEH1XNXaC8M7ns0lpNUwNZf1K55HFSvTRe4jqCLUZkadaJ0zjAGkDy6GSt6EfHBEVonDGmP5900JTybSXS4ak +[+] Bogus access_msg: colon_1_to_a_1.2.3.4,tcp/(NA)22, SPA packet: +EPY4UAZNIexrIEih2YHtjOamAEgTDTPJ2i1LrTpxPIvaY3ej+G/73TClPkSv3l2WBh+11dlg+mHAzC2QuS4tSow04dcSMwGuqxyCEpPlMcOfIU76zpNT7IKrvYymSmBnVKL4kx572e8YSv+TMtiP2rMieXfijg/0 +[+] Bogus access_msg: colon_1_to_a_123.123.123(NA).123,tcp/22, SPA packet: /ieF++auwUUYGlshGDdCK7VJwODL+/O/21Bdozb+4CsA2Ag5CRTLBfKfNZP/Mt1HQ3cgiR5B1PL3U2tOY8AFNuyWI2Yj/NC/cJoM2UsjFcRIrARnm01apBfH151fkeTvsoW+8+ougFFvEDHdQjSYWfzVhoPtkf7tE +[+] Bogus access_msg: colon_1_to_a_123.123.123.(NA)123,tcp/22, SPA packet: /ULcWaqCCUBbP01zly+s38nS+dZg1JaIPauYIvb/75GWHdv9/0FQcG18F95pOyD8wriJOC4unVarRaaHFFRw927U4Us0SloMJlHYB6CFWt5z16zdQqYwAiuYo/g4pZOP3J1Gzn3qyNGJG9g0M3bSDtfCojWA9nUGw +[+] Bogus access_msg: colon_1_to_a_123.123.123.1(NA)23,tcp/22, SPA packet: +0/9aHPlyYtdlcH6azTpFLhlCvvFBXqFj1iFX7m2HaisqlpxP6mj88swXKEgxF6hI3xoXUSNYEYA40BRe6XY/SuaQ70np8fapMS6gZkk5Y0AGGtaqvZRdFdYmjRU00TsgBDGssZUzSBKaU6mQHL2nBZecv52ZmhJg +[+] Bogus access_msg: colon_1_to_a_123.123.123.12(NA)3,tcp/22, SPA packet: +ICB8xoxYTgQm3hPu8v1vtJtwlf7dc31PxNMqfnE+H/zwFhBSTsRG+UN/S2F3dqlIwNE94Xd8+Sp1L6BP5hyGgZx+AOC14nXRokZa6dEO9V4RMWqyA+p0tATHpNgC0cRqqLc87GR3+F+52aZYYxr5m2HI13edWO7A +[+] Bogus access_msg: colon_1_to_a_123.123.123.123(NA),tcp/22, SPA packet: 9PFWvuYN97sFQZGvC1OK3tccd7DT6BjQ5j7jYhzBfh+b4YnUtNH0qw+FXoyXi9UnbIjNiMXjXUdUAVUYQgsVLxdFKYv1gg58cWHZPktMyOZ6HKp6VQ2UDtilk6bdBJ7unyz/OTQQwO4aB93LMDCN9409TqVlZlc9E +[+] Bogus access_msg: colon_1_to_a_123.123.123.123,(NA)tcp/22, SPA packet: 8Y7JYE3mJuYMxbNFjqjrJk4AVIbXqBgMm2ccyTwCZho+5Zy+KI/niweHenvsEzQ8PffPcY2P90Nqm5zTzW6haHgARkpywgyzmbmahHOgiec6oRc0y2iiNlXjsuv5KdjQB+U2y/09CHu3N9aaHhzkBp7701wH6Pf9U +[+] Bogus access_msg: colon_1_to_a_123.123.123.123,t(NA)cp/22, SPA packet: 9OeRq0A7slUTrI8tT8G7va0PqEi/BdRZ+3hdVo0cmHkgeiBhPtqsWbg1wY5EUWe3vgXj208G6g83ppcwsphwGjiu/2BgmODvfglcuFQLv/FB3ZWVSBccrLeHFJ15zJ7mLP8qzPrro4qY9jnnlnEAMcY2UMluXqUO4 +[+] Bogus access_msg: colon_1_to_a_123.123.123.123,tc(NA)p/22, SPA packet: /7LUbDEyDiNiI1MRqiuXkLIk1pfSUrcWTdSTMbJXqPEgu4hrBdqjDim0XgJJ8oM6glgS6mgLHnGeGthtMP5Ay02dZNiwv6goEFibu+F+j1x87HLjTQCOHhPcRXFwDsqHzIbNo2jpa8EBwD2ve46uR3bka++YXoSb0 +[+] Bogus access_msg: colon_1_to_a_123.123.123.123,tcp(NA)/22, SPA packet: 9Kd4mIUCrctrqY5O7odmlZ2cYJnDUG6iH4i4MxwBzZLGtIdNVQgcG3VtkcWOnBF8ehPE86u1iDfv1w/czDQQK6tHoKy4iSXomkQeTluI1m7FpmSfIihtmBAq1Q4zzv8mgOgB0rzSVipjUWayNX/q6rPE8Z6Ad3QligIgwRGzWHLGDDNWj4sg4Y +[+] Bogus access_msg: colon_1_to_a_123.123.123.123,tcp/(NA)22, SPA packet: +wDpCn25ZAGkoxhkyejFu/gxWybyialI2YYY+nTuiBEnxdUC56dEnR4RHDDReaxUGhH8BjKgZgtexmJIREmza1ya5P0yR+6qY8S5hIkwSQXKMbZDU5ALbrmztPtn4C65NY6QutksvHzxi+NwL0qyh5RVVaj3tZFG0tN1AJ0m/DfC1qF+xvNZoI +[+] Bogus access_msg: colon_1_to_a_1.2.3.4,t(NA)cp/22, SPA packet: 8vIivMUt3atiVB2TKWKtBGKoDYR7GO023EGrs2JfcbNALXiUqJ0tdA1wqaJw/IvOFryFQ5ZEzuBSXTfGr0XcqT9qVTf2Ku/hsmnIYbWcNgnLJpzjPbN91nzMGiDEFdf9sVqGX79IeL1KVoYZAmr7uwJAWKrCEoR3w +[+] Bogus access_msg: colon_1_to_a_1.1.1.1,udp/1,tap/1,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: +4LRqQHN2HAUgDx2J7J/itujWsOMMRAvtsBsKjLoM62KQMQbpzeopugwDNFLUId7YzBovpMyOoyOqkJvIVZw17CMJwBYw76xFZJPJm2NsZTvU+h7/JTJPc9n3skJqbOrb6VP8EJoYFOOt2/jNLVAoFYJM0e62lVn2a5u/nVkSLxummnPWo6Iod1kASmm6mnPiRUF4Qx3w6phUaC6vAJeLXQp3IuRBJc0w +[+] Bogus access_msg: colon_1_to_a_1.1.1.1,udp/1,tcp/-11,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: 9XoXr2VPIUuPNmjCRiYfeW5nix+kxpaSq4kbgk88eIiYFPw4JzqNaEiWi4W8uBZdUfCjPP7tzIIX6HCwvXBpx0AJDK/rYwiYhaciMXQpgQsNRY4y44GI2J2kuOntYSWKS5KZeSOUaxZFEpbLbDgE0r3uhdF28/dfL+NG2Qt+HFy2+Z+6Doy2UqV2aDNxkduGGMiWw22nTta0OfT97Xnd9jlqbN3n/7zAg +[+] Bogus access_msg: colon_1_to_a_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp/12345, SPA packet: 9P/jcJSkZ13fe2pNfy32qCWP4yhile4JcdoyxQE5aLYSoppSU9WMqaW1HJF5szSoRTsml+Q5rY3TToL+V02xqlHh/B8qHvHYbVaKU8pH9uoNcp4n7QTdPs5tOPNev2p0pXIaw+X7tl6YJRBAojyxJwKXC+gaKyN5VIvxXyGZNSXnzG3DGu3M92wQexoFAaIxoJ9ZFXXwPwiFobFcujFRE9hZKI6tyQwHo +[+] Bogus access_msg: colon_1_to_a_1.1.1.1,udp/1,tcp/1,tcp/2,udp/3,tcp/4,tcp////12345, SPA packet: +Da32nQRBmf9t5mN90a8XuDCqcq34qCTZUdDjicoHkPezSIXq70TmexlDYqI4fLiFb9iUZT+2C1nVaxj914f+afw0S3oFM2F4j/bldxnypqXyUUWqTKEt4BIjKOZOOFVj/nEKxwiztrdARHhwbOmpzDVzicbpxidrgju/vMMgD7XjA5rsNhTzPGLnofXgvXxMlVX65x0HDgyMpiv+oV+zuuuLe/m4zqmw +[+] Bogus access_msg: colon_1_to_a_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: +gI+ZPNJvfVlxVDJzD8bmlRQMHxb46Su2NYt9qrFXx+B75oC11BxG9e8Jh1d5jdwGG6608M+my8utEntMB8ZVxtFq6AriBJgCfj1uVZ/2yUs7oO8Ana3Re082EpdxA4opkUiTfMCRtUYCKfJWh/fJ0THgjeaut2tmkxMwqH8tCzrkQHRX8q/H1cBRIu5M9vM2526wZALpPTjrHshovio2WRVJ+0Yspiog +[+] Bogus access_msg: colon_1_to_a_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: 8DslGElJXVf6XB+ImTnHEHhCERyuTCfCY/cwYK09mtq3/UyoP0OVXBe25Twd90DN9lozP+OnZSx67LugeSv90m9YXOh9U37fabEAViy4gicqKk/5TNLuu+pon2jApUusONnFtd4IzZN7UF6TZ2Y+RE/5m120Nsu2w9TcRWBMVh7Nb5fnjq7BmKdATiP/hpYahqhVUsxbzEJRz5xlMlKSGlERJ0BQns2TM +[+] Bogus access_msg: colon_1_to_a_1.1.1.1,udp/1,tcp/1,tcp/2udp/3*tcp/4,tcp////12345, SPA packet: +44aYUkeLFTHvSTnYUxwL6CsAmj0TnjeTQaxlFNZdWE0+I85MqAEtw4qmtP4cVBjyxSHzJN0egRZtsjeZR/vTSVkR/FEXytwKF4Xb9q3pZkJAHi1lgz2mUe22vK1kvHQVR8bFDHMGseBCfor3bwAVl3Wv93AgaDrWs/VRGqUkMOjbwBbSfK9IKVOAfNmo5uIB3sbzXUgcVL6RZkGMW4A9hmhUWi/dSgtg +[+] Bogus access_msg: colon_1_to_a_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcb/4,tcp////12345, SPA packet: /UUy13MLxGrRtkKJRsMx8SAbmiQBz342Wi5bBiyTcLIHeNfa0Rw1JAezTEWVB7jU2twUXySR20/ODCPNVk92Ngl63abeLe8PrbYV/Z0ZUuueM4ImsahTCLyqcNoFt6Wct0o+bbfQkXVkISRD7LgiIkixutIb3juHk/4q+pkQVdnKMLZPw5rew69asaxZ8KKoh5sngB0fWDQVYZhfF2o8e/LzV0G/OejKA +[+] Bogus access_msg: colon_1_to_a_1.1.1.1,udp/1,tcp/1tcp/2udp/3,tcp/4,tcp////12345, SPA packet: /Vs3mEvhxS4WBUsZk8rQahIqzDOqjaCHtdngW9qlfr7RbR/SO7GDIYiBZX2+p2m3NJeebG4cVzfg+ywKMCcoGNB6CsZ3m6xh/IyP5hsvqLVRHev/2ZHCIcdAgWoh54R1jt5OPk1nofUyaQ7momoX7cov0Clt7C1WMEbwvtqpAM60Qot77imtPa8sbnoO9WH8Jb5z74X+ic60nMkAWb31jzBWnbo+jsLoQ +[+] Bogus access_msg: colon_1_to_a_123.123.123.123udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345////////////, SPA packet: 8eTDF0wN5/9AamTlXPKHXeA7Dk1K6ESZVSIqTJvpx2VH98uDGhzA8AgwGZZLyM78J6bPfX9ZHuDmCsGX/Tcvlgw6cSm6syRbLOkcTdvYxalRFL3xSRN5DJlTR87VMkC2tKZfDVbXOaC8IsQWb+/CzHGnAbUsqAypTA8xft9g2ru8D9rzV8hsoya0JuC8VtEHxA4HAWJjm7bRNm+zF5w1fkQT1JQB9gKrDBB9BaPj0dLJ444sZffui7aWXqHkb+cx33FZaUY5kMvg +[+] Bogus access_msg: colon_1_to_a_1.2.3.4,22, SPA packet: 875rwl+Tx6JQygRR+Uomw7p5C44UZZfEaIN/hjr1zK0TugvPF7URuJDLqeOe6HTdvv9KmoIr2j99rzTsEDwotkwFt58k48vBeqpv7CZkNTmnPXhZpD0NqGjkIa3eAtTQqUnktgFXbFj5z/qLV9xcIJidImnnJ2eEE +[+] Bogus access_msg: colon_1_to_a_123.123.123.123,12345, SPA packet: /8ZqqjQ0/goQzAf6Aq6OU0LfaQagRi4FiWpnlHBddWQN6+Z5AJUBLKt2POqlYdXUad11WP8vr4RG/smtaDT9CymsmwI+CS0Sk9LuDsp3IvopcqnYUxhh0nmyAo+AJDV8lvtKg74JOu58lI77AU5wqUxTYdM7GCDXqKXCqQmtYr0uHcbq1ySjyS +[+] Bogus access_msg: colon_1_to_a_1.1.1.2,12345, SPA packet: /3GG7LrhaCfWNMVL/fY2FXyv5lXr5vyxTmvbWVU2quefbIx+URfUsDY2CBlU0qVWmHnsoBOsfHV4fZVeDrC/C5Z5A8lVWoiM7iTDncYucADvjFNoBnUEk8WwWikeitnMk9QdXjAjtlSoA6B0lK9OB/hrQ4fXjREUU +[+] Bogus access_msg: colon_1_to_a_67, SPA packet: +NIHt9xniDSsvU2O9OMVp8YPlM65WhGgPai+MGb1ET47PqwFeOHeo6S+tl+oYO3unN9noMGhZU+tY1/2RfgAAlDErwaZ+xunG8V3m+f6yS9mN7p5Bq54zkNNQ16Fz5QxO3c5C0m1cGzw +[+] Bogus NAT_access_msg: colon_1_to_a_1.2.3.4, SPA packet: +Qjy8Scfody3GOYWYzz1p5BFjrwJMRwmv4DEv33P84zOQ5IprgwbwtkFNDuZPMnIPh3iuX9lrRHBRhpRph3pBgx92vq998nVQSDkpnGoUF24dvHjMTDS5nLMkAa1piJD8QwYgQpPxcrGvmIuMlLf80eeyO5NFVaQebbf4f/pT6yyjk9++M4gb8 +[+] Bogus NAT_access_msg: colon_1_to_a_1.2.3.4., SPA packet: +w5wKyTqsqqZclsHoyFKVNo8MSU+0cuv3AQuow58lFfDYlrRN6FAFMVhV5IkJ2FxyiNcPcyta1s1GmcgLo5jJMgRoGa8+TP10WcQi2hP9kTURFhzAh2Q2I85BtRCsaavI9K6ntlh8+pRCOPuFSzLegmttAuldSXeCQ5z+XF/jKYinkEBWAv3Ei +[+] Bogus NAT_access_msg: colon_1_to_a_123.123.123.123, SPA packet: /uOPksLQBLsJK9xiUL0KjiGM8dwjJFCf6CGrbn1C+MLIZsoG8gyOUCJE3BOmMZIxfjn0N3Dx5W0/krPTRbarp/AsxzYnsFsT0G44sC4DKYUFxPuAz0MWr6Aj402iuZsq0zIGLH4tYvnh7iW5GzCVFoUXRFQ2MkgsDnAqe5z3PfhodOrPZt94qY +[+] Bogus NAT_access_msg: colon_1_to_a_923.123.123.123, SPA packet: 8oWmlAOr9chMgIkVeMTzRgG/YFqMGHQxN7Q6kp0SkAk7BWkPCfzP5SlVn10rS+6mCqm71GyLmUFbm10etY2jm6/j7xOrFQ9MGuFppsjqp+r+H5owpEj4Ragdd8j18Zkxxd2uPY+ySX6hwdUYQuU5+EpJGRAKKdunVAIo6VF/SDA25gjqzQoSxf +[+] Bogus NAT_access_msg: colon_1_to_a_123.123.123.123., SPA packet: +m1gBrsUuxRV8Cd3793/+/LNHpCEb+4HSZ9abo7PM2IWgFEYG72bumdct40DIa1wI4Yamm4f7MgMgORlhHuGVNBYWHpVvLKM7GzgMs+84p+q1oP4FILTjXM7bhO4eCgZkTF5rjq6PgMSoyJq/dI59a6/+Hc4bAi8ZW5Q8b6asp6iAi3sl0QTlf+/Q+3FJtXLUpk76jJTD6iQ +[+] Bogus NAT_access_msg: colon_1_to_a_999.999.999.999, SPA packet: 8Mf4Mfhxi75ipUl4JNVGGm6z79vt9X3RKMcqAutPS3napjyclYt+41iSQgX9rsjnIruh2oRCo1rHQ50hWiA8UgXxXRNFPczy8TVqg1Pi8QTe4/EEQKq29XUiERW6ilG617KIWS6dV+AvBNCHVtfvRzWNj+NHxQvdJInai5oG+CFVbSgl2X8w/Q +[+] Bogus NAT_access_msg: colon_1_to_a_1.2.3.4,tcp/2a2, SPA packet: +PuII55C39SPwYZLZp7jEKmO3dfWAS8E7OzVj7Wa7XLV1h1SR2SLxyriwcr1IarbqM8e6aNmllO21KQ3DxPvaNYqrTXZmF3B785iKw2RqswP/OL1LiX/bnclM1OnbBSiquKuuQEz6EJsPdIpe7Of+gs4TgMzVJaTphN25Z3k1XScryvegI48Ro +[+] Bogus NAT_access_msg: colon_1_to_a_1.2.3.4,tcp/22,, SPA packet: 9UfPXkqTA68ExQDXGoqQMM1Zt3RcJXI5pmnPVO/iBnCfpG9ULll4oUM8aO6zjY6P6t90+Jio+uk/xBUbslDMuKHwVv0rTgyT4Aym0yLaY5lq/kL+G6zRPegqELi4c24Fao+SvwUdhzcsAvfAD3vSFtbhZbUxnsI8tUB1Bb/UUFpsAyEWJzs34R +[+] Bogus NAT_access_msg: colon_1_to_a_1.2.3.4,tcp/123456, SPA packet: /Fq9VPpsYVtnkwxhDJmb6THUa4+pGMG95JSZehlHpBYf6h9peprGn4/0vDN5Xx0qvgCYtiM4KfnOpGaD4xR3h9KkxRzNZpXjaCAc62uzBbK+doJ/VY9iAAo0oVQKMTPTOC/WIlypPCIiSCjl2zBLPLPVvZTQxjjdtg1LmYhCGQlbCmaRBHmrR2kieU+ydl9U8oe6ClraaYUQ +[+] Bogus NAT_access_msg: colon_1_to_a_1.2.3.4,tcp/1234569999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999, SPA packet: +7caGmHGlUGNCk1RJEUsQruqf9gBg/N1JiZ8kDc2BYYiYQ71heq33zI+mgpI/fOPWq1nJEeMqaE7m+IQ5IaGJRlBg7MpJlxEIknTecLGvqJVv9SDjEFzH89Q6qxTmjxXdgb/uXdR48JYyJGP980hCda/gCNhoaeAPKnxrRywhQziWXZ+gfH/aifMzB1zBd2CrABjetdNDyV+zdiS7zm9nMlWvTM7SS5QM+3vHFW/iR/D4PU+n0gJL/1BeFrxuvQqg/UaoO4KvNXW4r00x9UQY1nKW+ONmr/BEE18YfgqxGGWHDyUkR4VRoytM5TqR1xOr+SEuwhZHPadFwIZzIV2lt9XD3v5Vpn1PuPfpUug8efpznJ7ng0ciC +[+] Bogus NAT_access_msg: colon_1_to_a_1.2.3.4,tcp//22, SPA packet: 9Y2ORkVKV6YplrGlKyfH/secW63QPe9GjEvBErYHc+FL83JFgIAj+XbwdYOAu/eO4pCWrdlvIznaY3LMeHiZfXR9Wt5w9CdrtOWdtCUb9DeBzPdBxntJdZOy2zVpydAX+PFcefA7CBK2+M8waVHuKF/H92jnIPM8Y0+mA2Nlr8Ux2oL78dBZcC +[+] Bogus NAT_access_msg: colon_1_to_a_1.2.3.4,tcp/22/, SPA packet: /Xb6fOhnX/gp6OIjxADB/G13CPLpZidIp/aPk2PYkhNRK9aPlhaTaTakmGbk++MxRHbvlh7a8tuRkHz9yMiJ8F6NPVoNFiDlFc9evbXMNmCim92XulgEPJsAV6U/0E1PXC0ckPHyrlWsP4ENHsXgNva0VmvB0pMHK8K4RVfFgPe2wQm2V8u4wE +[+] Bogus NAT_access_msg: colon_1_to_a_a23.123.123.123,tcp/12345, SPA packet: +hLwwO4f1AUBVKFsrvHHDQESxAkPbpTM9NIpXvPdlxgyYu7k1um8ZY8Dvdtj+UN4HJorTuJIHtgOk1+2oG4ErO6HCrk0wy73jkK6ArMpQTeHB/fWntaaqxHiJdU9/1m5tcbXaX6M9SMFgJVAYFH8lRwQFlLmm9dpCi9RF8qK6MooSUG6w0bJR4t3mVv4a+kE1yWZcdfGca+Q +[+] Bogus NAT_access_msg: colon_1_to_a_999.999.999.999,tcp/22, SPA packet: /ehL0LmE78l/DlDUbCbyIYa6vXEsOh8CxD3y52DX6cU3c+PLIf41D666g8F6F0UMrcgBxiNpWnjo5JcxHdwdUPRG5j5Q6QzR1edPtyn1N0GNBWamLHKtmaFFO7bY7U3txBMJYvb+Ld95YtS9SOkDtVmIx4Lxoil3ItP/p61BOrcTDrIIROHRmFHmrfabXcLHlLfEGTV2hF9A +[+] Bogus NAT_access_msg: colon_1_to_a_999.1.1.1,tcp/22, SPA packet: 815kPQwjRYVxoR86Nk3UB2gAvpk47AXJDyV+p7bqLraCONTHnR/ty6Ris79KaR8cDAZ9TMZioOFYQFLtwlFk3pjlNh0TCsmnTsv4kGqthObEtOEVLSm0Mw35Dd+o4YyS4cr16AqWPQ7c9lb2TwrXPobOg58mzmUM7fZp4oZlCWGExsnXYVCV5hBEvMXKBQ7Gwdjo8u3gFopA +[+] Bogus NAT_access_msg: colon_1_to_a_-1, SPA packet: 89YZX58neLkyCPIRoYD0HK/N26u2GS4Mcl/h614+Hj6wrEaEjN3xQKmtq0ZypP4gYbirsEWWpmCwgX0aGDlG16WL9amXC1062p5bQtDVtwTFHF6vnezpgtDGM79njglPrlo3C8jv9v24OFVLfgvxAwUNT29ThIKVg +[+] Bogus NAT_access_msg: colon_1_to_a_1, SPA packet: 88YY50TuWPFa5Br88FixH6BfdjMfMcErQWLXGbO/9lKf35bPrFFQRpsbbqaq9yQFewLvc8JLNp/jg7K9vhTNh58gof12pazX3DrjagufMNopLrDT8zfSGWxOfZ0yLP+5luc2j8uXUKpHySCsnqpkYNCxljg+MHtwI +[+] Bogus NAT_access_msg: colon_1_to_a_A, SPA packet: +H5a8OV1Xmb5bLAdILt5By3y1BeDzgvQdNVT3kRkQL2/g6AKCR4xxU9B3iX26NpU9VFCbdOQKlG6RhETAzFlU1rfYdCZFhgsLDctYo4p7al00bocYpbzx9pnHamFAcVTp/KX41WHCOP7ZmBpqIkGBWZh2Q+SO/lHE +[+] Bogus NAT_access_msg: colon_1_to_a_0, SPA packet: 817j3vlfLQINxdbzyRVglNedAH1W/0kFQ+PRnE/R1KX7m8DWe5tkV/a5TrJjQOMdeppmOW3N9j2VVry3RlvFxxrdA2+UwRhss0VeBMUz0VFy8vy1Ei3iE3Deka3TyAqki8wCXA0/YRLG6aQcF+79CQX5IyhGKnTSM +[+] Bogus NAT_access_msg: colon_1_to_a_AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: 8M6cV039hfEnG1iv7ELdhaTrBn8Obhc+NiAyb3kXx382HjVyJFuqvfFfv/9kdtglrKBE1K/cUQxmC4zkTUN6WdOcUWEVnLslaJ8MFtUM1GQsd6E3WwfmX5xFCCy70b3cz4Q+g3b5sV8uNGrts+tAHoTbsSHM9PXS3tusKEHtlCQMinDjAaZAqATeP33WtsW+oW5C+UVRPBRjjFDbmV+tGN3h/sAXgqDYjACystF8uvPJXJpK297K/YQkwr/NPCFXaHYc2/x3w10lV6D6khzfbiH4a/PIPqXMpN2DtDn+ZcYD/7r+vCcgRHq1ScQe7qBrqPhSTp4GLuxHhT9denS7xsHHtMs1BpDo0vYDb4f1Kcrb3+2gQKIRholw7TNXmeHNltiASczwU4y1kzgkrIFNDo5+g38N/xqrEDmliEdeuVOtZVuuiCpU8DLBJK2f9Y/g0UAdR0YeQK/kotjnLd91otDzxsWtiqyk9wvzw3fsWJPG/7qv90Wg28/9KXWzbSX4E4HQT3QdsUG++7Lcw3l6fTVKiC6iHQMOc6KlWT4NQAnQY+DO4eoO6q3yvBSR6YxLWY4xcDhmzZFWen/c+ZuTUV+6xM0oM88Z2phYbw/gVzhDk0G1B7IHC8A6U6If2Ch34cPuVG43yWjHHuqt9hL88n+Ima+hRn3GVMfGfqoW23S1iALMG1CF/KDZ1sSLGutNdLpfUjX0SpYuY8DKonjtrAhGWP44ZGKM0Mhw6fTSaOr0uLeqqeryBE/GYDpxd7uR1/xemDIMaElXEhBhvz2q4+SJUkTtyTCsARNj4hQYp08zcuonS+AdOEuv9MM06lVRTEqL3H0uO43HO+T+1yvElFUObg66B97w1RxlhwPV/EdFThT/ydLR40fiqx93RoUU6Wq0QWOIwNgC1koY4DdlrChdQtpCjiaEK8dBZlDudPjURoKxAlG3bWh8lQR90AjdQy7Kh5KppYX2zy+SExQNwnnOmP1FUPsI9wSe0hxlPIskUKl/PbXK9/FXwfakVp8n6npx8uftnDIiaKcBIuSp8PrgpTHlNShXtOB9UsycLF1Y3rtabouRdC/MsX3PcRi8TzFUDJJU+WuaRYszgCNigCmoForw230sjXDxZjFBxkg85RcEPAdFGrRPIhVa4EzG/06rRa2qcwk9fHyZVfu+3bsBRenqeJiGtqyEn73yAUwJTMWHtZnr9IA/3r3QZBE6GpP3am7llPhS+knm5gB1fo4uJBxblkKYTxpwUZcwvwGwswW7I2TdzSiDdpsfEC+sBoq3UB+vkXxwt3Rd5HoUcHqeB21t/fthel6RVYhdxAPTYjAIs+abibuJWMRBkJAUo/AvjXQxN5kdvmNtMR89ieDA7JnUUiXuNkhevzm8GhWPAtAxwsJqbTTFPWX9gKDkQ6eHxu5tetqQTpc+9x8jmjVv4qNIGDj3s +[+] Bogus NAT_access_msg: colon_1_to_a_////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: 8OJwRuk1VxQaM7gS0xCQTi0A2bYWwB98Y3c6SzVv/LVatX49MUhj66bnwuWW2SOq367Sfu00zJQ6/QGnO77E6GmbKmDOkw+ZbBK5G5JF5450jLVb544FbiJmtdlIjLCaM5t7YWnLPMWEDrD7P8UIBisYAn6BfWO6GcpFNw+1G5+wKS5uiB/oraDj3L336LiLQbvS+fnsObjHERCXniPq1Ir6T9m8fCRuCP/jhiKELlRe/fvGYlFS8dKdTT6xp4t01EGq8i5Q4/hKaK4ZBX0dWXUnY+9RiPUDOu6jgMLWq1uqTNUz/PlzNzRKmpzFfQNxXmXqsTYM9Yn3d8Nkem/Dnb3eTzDWXDnpn9COd4SMvGu7g2AQ6btbtHFGhlgUVdgYzSEUxtgymoQGIGHyRktQw9HMUiGK9up+tiflJ1iakC2GHbyTshYv2A8vfrJPvznbSUIJF7CdcmAsqnAhPPvtM7/3Nf7lGH4ueFCp5QEdxl6X6wRdrrVCmFNimFrcJeGM7TzMaKtdKDvNzN6PWGwCF/HncdP0adQe/6ByMr8NcQ7p9oIr+WgBJ/p5biKEdflrL/94lqwmCaiEKaflZD8CaoHID1rGkpZ1MyFwcC6xFyiQfGA6QgG2X3Ctcf8+bhZr8YNZb+UDBBm7BjfSZEvROOfJDJzaAtQ/U4J5LaasdvK8HaK1SbBerYoZTz4mUpzTONrQgDI5bRHZtTRnrACriu0eW6T4IDPErMJesRUS4Q7GjMX9a+CXI8DuD68+iCiBEpXMW6Vj0WjEtDfbkSOLYsXmSMOhR9Jy2fyNjqeCiM2Cq2yoag9QUid0Dibto2kW3yRhPHdpD7Nc8Q+YAqoyV+wJvLCjE9dOLQHNPvMOLp2QcBbo+a+ZFkpWdUmEauPvcvJKBrwp3l2SkDVkD1Ek09j/wskjT2zwI54ra0M0yK/npdQAt60P0EDyyPmpvgSTp4m3TGf73Vn0phZGM2Tnu6VU3ZXqKh4bIx0QHUgXJA8MQKhRXPg+MUlmrrFEC3HBlE8Oq/RPWYuJLDPHnwaKSLBEd0rrjU1nTdik/he7P3rhSHZvqIclUkGOVVgzSEaTMPitU/YRs2HMJ6JeOtwoW5tdkexcDmOzinN2NiKxnWzDawX317zbri98UAgja3ARNWzbP5tWxw5XklA1vpEhszRd4rHzrn4VssOTAKsk4Ysq2K/OrahCLdmFaUjbagu32AdJyp1FtwfctSiYcZlrMPRLsBcyZFKaqkdGZo3X8anLbg4L/hOYn+o6TIGWUmFq9BseN83M1sWJSkRYju9bbBaVcqteVFP6LLYsEiur1lvEWxYXilXedlsB7pT5JoKchhtge+QyZqVicv74f5lYaTQTzZfqd+8dozXhExsjCA6fWPeZM8zQO0jFvU1QgAORuH2t5Xmt2rr8ZwhP8/oGOt2rarxcTbZrg +[+] Bogus NAT_access_msg: colon_1_to_a_%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%, SPA packet: 8w0POaGvuELkoMXUERcIbFoNpprhg4yStIvF2kDRp2Hz63TUGzDm7Al7S5GzpTWu4pjOJgF+FQxTkQlzB/PjmcbNX3BNQOBEmUHKZYWxYn4HBXcGCOZhK4ISxfwhEFGhnmnSt2nOSfpMLlNGmATlct8OxoCxoKmZ4XUB51KTrdWhGXZM0la3FqlySFbQGUtfBRjoNDwXm/QdufKpurFm9eupeKrq1hYgm8KOfyUipth3Y/u5kayYGETe5toh7FcbVbYevH4hr3Gi7omphQEK+fFOHsd6pgW+Kk/OfwbeQ1Q2/TszjvRcp7ZEgWVgBRI3FnrsYjwJx2F2DVUU8qLGNftvP+AD/tL4qVEWUCuM6ohrVXwGAhxPEOGNfrq6RXYwqgesTgbiHf7VhazvRMRtrmBv8nKvwxHHYDKdXwbSiijwAFZZdjSHw147bkoeg/yV3GQh1VkN98+w1FqR8gfrOCOAJFLQomu1wcXQ5iKDS0fyyj79jlWi3wP5K9ltUIDZbfB+CD+xT0mgYkjI17Vj5s1V2XqNQ3Lp51lBkEiNTyC2JFgAuW79W3BzxBnOg0SOeeidFo/5h3zkfLQURHnbHYkvSbNqci+dYsyE4l6AwvIbE8ct70vZqkgXCbuUMOVlWRVPJIVSGvpwKjauZ74RsRMdg3GCltH0nzoczpPE72rpUuaLwGt/x8d0VdzhQVNCEsLxkfwQbWnhCI1UHYPEnZdG7M1tuF76PZMmeoi3wPO7Ms96wJTdAPutAZD8o1JW6Y3hzP6SiEF8DUg/g4yNW+Oen+YXWAOILseLJ1MSN+hVYsdgwB5CajoQv9Op8L0cy2l1SM55IB1tizN2oHd5oMAv81T1SmuyilQxDLokje+8Mi/3WZgf86KNz+WS60oQGWxiy7WL9AylH99NLTIZhG+0KMILSWlhcvcI+axuaAJZm800NLBz0s0CLEUiOlrGdNXBVQRksdA6xJZTmj8P9icrsVIZC89yCLGbP9h2mPG2aYy0vxEXBF9qhSmTpuOOxb5XCwJ27PE4TuK0O01i+4p0TKHEVnCEn5vt6qk5hLfhAy2QaJJ1CCfKzvUB9Jwtfu/1wedM+RJCbiTgcta0LXagucKxj/hAUXC7cRCEANEwfHLNoHEJLGyIjp6FiC7BGaPBCIo0DFVBxe2jGXG9DToDAgw4bpUMZw5rY5mUi6LPX1inQogPCLZ6TGnWLtoPERx3SVU5AO5MUgYbNstIbbYxuWbKGQk4snvDy3tPNJFYxNbcoUEKVwLZ88QKP6nTde1rxZ6IKgO9tIph61Xzfzeoby4eWdufyqWZvQJbsegCMfMRp4B+8nhIN9yu6Qi112CmG1RYFQ7cOajvHdQ7p+VrM3Cr3xXTG6+f1O2s8X6K9QjcB5PtGHW18y5qc1a6My7gT68ZXdgxYd8VQ5hdWhT1YXoZtk+eY +[+] Bogus NAT_access_msg: colon_1_to_a_::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::, SPA packet: 9ZjpFwBWa+P2MYgAYoxYCKt/sb2M9zoB8+INgRgSy9XXnFnYq2xPolGsYwPG1EQIeaEseFJWI3h+M+pt2C52FjEVQWDVx88K9uxBaq6+tvib+eDxn7hQ2+GctbQP/pgB8ipdqzuxkNgr2NB/uu3MvAOJPMJPI1SBJX4q9otzlnEjOrX1FdgQNxuf8nWM5mg+T3QpcdYHWCzi5lWFun/lve0QNcIrIrvmLf6XSL1b2BpMvRz7uFF1emZjQS7jVq5IlhJKJwUmD3X3aPBUjqW4hP7s1xcUqyatl4ti6mS8xzu0qvPDN5DXwOgcDXKGz2LH4uYocacUCDPCiQd2/KJXXi33tXWmk1aoqWzwHqQxrzMrzkoJ5L/eJNXZGtWODPIJUM5msopyWYoJBOpthqQBw8xolI+6cK/Rh+2J6EkqOR3NcsYoFSn+lLlCwP+thM+//t0NEvxYkLE9ezKCyG/z1x8HM/t3gzRdjtn4J3AqsatULTNswbVSBxUP1tikECXnlOl1i4mZEdnUh3sNwC6ODNqZyhtqtqDIAT9wUVk76U487GVeKDvwplWgn9NJsvnq61B7xUsfo5NZasa9pXKAPQKT5F014umz4Z3l+X6L9C87wXWSKhs8urTGMfWD9vo1PZvUrha6p7H1JAfqt/8Gr0z4Gfh2omg/s/vcUxrunkNLLQvCXfu8DiAIjsqoEB9i7mQwcHwVLFA7t1IoOTfX8CqzyJJByMRrd7brjpBcfVRuLcBmOFmZtD/ERjfhAjcOwXxFqY5OddX3gVP1q0/ioDJ5VqhIQMyo5K6YXwmasNqH7SDYIjMf08yy2qzQWIQj14fkM2LoCJ1gKcAYQVBQaA9r4Lk7CYhppi14uw6cO7PoGJHa5Jl3H6ZG3zqNgXLfxzsxG2u+80pAAOGqt3oamZFV2MO4t1g8iIoOoOeBXjcE6SU0uuWGAH37k++L55N3WgypZvSZ7WjTkWIe64TBV72lHoqEE8Mz3dG+85jPF2ubw1zTlI/2D+TGJrtKA5EBbhdzEGZBEEX+5SMs/IR7HG/YtJPw9h+XcLtkg9IOCGb7ln57I8rWyVszv+bdM8YXBDtbsjKhaxliBMuWnt/Yf/0YTJQrlI1hPt5EIT0G9UzNB+It/Uel+XHU1neVQDdQ7fwrej/cymomNmxRn9yVjTJh2QaMhZEVYyCuxNfTgIopEExTF+Up6Ejxuus2laF/8MzSBDqdpznIQaC25qbkMavR4CY2SoxoEP075+Xh8gyCGmTeKek71YOqDodSG9+gvv6CBeXR5+uKpIVXgfQ2Zag1z7bUNWdnRvM/CbKM1vBt/Qi9npCQYiQIbw4umfy64Cmk8bMKAdLhT/CsbiPhx6DVzbYw1x05iXSeUtc1olWUpz8ue+l+e5YS+ROkf7kwsI/yrWWt0qcRQG2qBveSrtxq0vPDWm37c +[+] Bogus NAT_access_msg: colon_1_to_a_(NA), SPA packet: (NULL) +[+] Bogus NAT_access_msg: colon_1_to_a_1.1.1.p/12345, SPA packet: 9qYsZ4GaGVAXEjcnCei0so/qgYEmytCPKAVkdiYK1s98tqw4X/JCApoc0atCoVXJLCbVkm27NLx/M2Hq4rm78brf4ZK8QQWMhUDhEKOp7HXFjx1L/bznvjYewCYi5h475+ed4kFyGF1r0+Old3nLXtF9Vbo9DO2MU3Kd7pEKCWKgVb62xbDmXg +[+] Bogus NAT_access_msg: colon_1_to_a_1.1.1.2,,,,12345, SPA packet: +Xreg8HswI5iJ8qLRGFxDlEq4S70C/TSgfLcBgmpvquuVn+XfcfDC0VBCFL0VGN6nkVBCc+jYtcrvxtRnRjIBGt5lJ1T4AvdGrZy4hdt3PaUMzyiwu1aaECOGEpa2igVctwdjATU/SP03NCN8csyvSrCQQlwCOOd/IYTeF4/mpl6GpkNnEEATmrl47ngNogcCoPq3pS1P+ZQ +[+] Bogus NAT_access_msg: colon_1_to_a_1.1.1.2,icmp/123, SPA packet: +ZH0MmH6ZubenzyBpULFvYgPNN/6uzrklA/1KDjFNTx9raxR61SwLJ9yHpYfBiRhS1bUVgp0zy7fU/eta1R7mz26ZOhtukkSdhTY6hZDHiCDzyMXDNkL2ZS93YXlcveCRvAuf/hOFYSFHeCPoVEf9UuPt1G1rADHL/V30vF+d+dAJOC2aKwsBDpchDFtAd82wim73CfIPocA +[+] Bogus NAT_access_msg: colon_1_to_a_,,,, SPA packet: +TEqvnZ7cFgj8d0ML3wE31INhQL2aq17JrIJVzbnNkmPY/BrejbzDSveq7rB/JYYCDoJD7rKRqoMcS2y+wQRPiG/ToxqzS8+Ncc9vur3pU6L5PDky5ZzHBJ7xmbVRgX0f2JuRiU3d5bCu4zf0Vr3deMe6bzSTyMkg +[+] Bogus NAT_access_msg: colon_1_to_a_----, SPA packet: 8zElH3yzW1FE8x0QBQn3APerQ1vr1l8T9AlcMqFmSV6E/MsI5YJvSk6tImMRD+yishwChVO96I8XKovq0fc/I7bTIRVzJqJOxwBRihckRlGLRfPsNpPxZp9B4wQoWU7b5BYXeCg5Ci4I0gCrhlcS705Iy57MJuFQIxF9txr/Dpahkti6kX3gCL +[+] Bogus NAT_access_msg: colon_1_to_a_1.3.4.5.5, SPA packet: 9ioUDqLfmBv4MRRCR9UfHckOBPT6bMHmdDRfqxfrHvp6LhCPdBh8oe6ejXKA/LVvkzXxYTF9WOgj9EN9vMDwT8uTnbxgtQdkjjBznEvgdpTr11FrCEPDD/ok1C20rtceaDD3SxWv3sVMxuRl5n1zed4QqAZnksDNdfZLAeZ4HXDukAsB6Id6Je +[+] Bogus NAT_access_msg: colon_1_to_a_1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: 8ZslsU3mDWlIunbCy3pKTWVkY1G3QB4aiDyvrjjhjfpHR8zlSi5x3z7LGeMeLjqNJdDovafLaT3EcugZpHx5+NufO8t9GBG0+3yTwBqgGjp6ZrdttQCfv2lqoqPbs0HmMbhF72XfVm5cuCnr9S5z987SYYcwJaSUna1YH8SP0j/U2/Fz7UPH2EpgQkQ7kJ75rrjh1zuIOD6zfOf3RpF3jHWy3ZgLFEiohOtbWRknr7Jq21FKcZL1gZN2UShGHExags8z9tLnT9REqApJx4wMyXfjY9vV61myHGeshh3AkxEsmAb7xgmehUyVaYpIhTg5FZpQEN4/941NLZgZO1fx4dRNFDddLgbIA +[+] Bogus NAT_access_msg: colon_1_to_a_1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////22, SPA packet: +3WDbEbJIBnTctU8cct7i4yH55tprm/2jwyrtDmSrUtc6P0Cs3sv6fSP3KB0Ep4WyNjv/9vx2guAvk9igPyW0ZviQr7uYFgnWR4fzderKxOwua/fEeGGtDwJN750cKa8arGIbNHBszbGduUM5xFWfbCer8F/AeHWT32Yi0OFmT9JKpvB3CxKY3o68zmrbW67qw9XoA5rwWtVVeue+aaCndm/dAAXbqUwFvSrwkxpPTy3X8EHZcAbpf6cc4sjaIECxhBvu8SCiCEwFcAXwsMBlNlSvGiWLgzvDcqGTR7e7VoxmejKxewsAWX58dO98CCXgdbyEbuzIamY8fbThkq145lUAmNbfiI2Y +[+] Bogus NAT_access_msg: colon_1_to_a_1.2.3.4,rcp/22, SPA packet: /xyt/S69wj9c8xRTac0Aw8xucdBJksqOsCPpfrg+uwVE4cYGuYMKVI8gTW0cwuUB4Y675yMIJN6OerHYtiB/Xakf3V5l02eXbQasDqm0/FuyZk3AmxRxV8dWNITEr/DfVByqyyAULoqCJPvWhgcln3CHqvpvxortyc4/4M+EchaSukjTU7WW+9 +[+] Bogus NAT_access_msg: colon_1_to_a_1.2.3.4,udp/-1, SPA packet: +QVeloIFl99Is2q0acwu9Lh/99Gsew8vm2flAZkRXXnMOsdFuANH67F9lITS1ebvPLXiBNHyeak58rzF7nQvxWzEb3WkA5YMkISZ0oVqckMGE+lS0Pm6G4YJWvLZ7Gs7EK3kz2AKvXvyjjpaWpHf9rSaFJb46Ys+XwXVCtlRF+TCevadHQanoJ +[+] Bogus NAT_access_msg: colon_1_to_a_1.2.3.4,tcp/-1, SPA packet: +KVgi9Gapmxlp/0MbnJPUjFPVrrYdXEzRGej4o/U02Sx8vHlduCwiWPQvCDy7YBXTjGGSR8SMaAtoDxiWcRfWulEtYZX9ND/NYLWA2A36IggUuVu5PzyQqhBT6WIbNlLz37v6C4S/BtCkhxURNNEZolHBpQ9VfkqAW2n5pXU2p+X/RNoHSRPCf +[+] Bogus NAT_access_msg: colon_1_to_a_1.2.3.4,icmp/-1, SPA packet: +St/QDm2upkachc7DClaWcr9K1iy4TuC/rxsv5hqgQeIntmFBeL3FVjwBED5J5e5GshLL4TFJVz8QhSqNSOU1/qPz0Ji0s5ZPnQdBA06/13MHcA/WwI/7ctZ+DvboXQglntQU7ovosfv4vCKEYTpntYKzcBQZHjOptRTXxd1r9e+uJSAa2mze7 +[+] Bogus NAT_access_msg: colon_1_to_a_1.2.3(NA).4,tcp/22, SPA packet: 8dTI1UhaCEWdxPpE/qUrhftNdQbL3WauYGX+p10gMCe9sffZiS2pDj7E5bKS2t01huv7krZ1e17v6SGKmi/M7BPSWRtNtQ9takbpilBRUR6meKEvY3JCtzffktSE4Xm1HfuZsLNTf4zFRzDyW8GbhqBl3Cnh/EZl0aSJ04fOAU+tgTuHWfIeNZ +[+] Bogus NAT_access_msg: colon_1_to_a_1.2.3.(NA)4,tcp/22, SPA packet: 9ESIvmF/NhSfha5+gq7oF2l7i64K5jDAchJGiUQVh7UQC8++zLCBUKl2sIWQ/3k4OQ0CwqLOTQekrXe3nE1lnDkr/RiRKVcPl3NbZlSlPEyo1dwe5Tu7zEjD3CP+U6pTqeEbOuInnSepwuGm7wFq6qu8m7Zv4WmHeFGJcGNtrQOPakZgwpfuVo +[+] Bogus NAT_access_msg: colon_1_to_a_1.2.3.4(NA),tcp/22, SPA packet: 9ykEXs/JWrlLB3dRqBWmRrUxsfY9KFjyFFX37o3JTTVzt7s+w+MNSAeYfZstJ4VpQpNOPuV6wBwdDlb+5fHd+pV7eN1RfnQK1nTueyph9/GQJowBlDTx9IZOiqWwCeM8GFr1hx7EyY6NGxPz98u7TvmAp+f6n3dStK/WOF6R187wBP7TIB13jd +[+] Bogus NAT_access_msg: colon_1_to_a_1.2.3.4,(NA)tcp/22, SPA packet: 8uZksnPoIRrmlghIoEUSKL4xaHBakxeWgxCiBqm8MN6hPuE2j6uVPqrS5hvAPBYOP3Ou9fF8SZuR/tmx0f2f1bY9ue/54lYlOUc9+MEFj6xCjpOZrNDOwREJA9pbo51n0+FcigihBNILTd4uRPM5bpf1UeYECRWWjek5kbOZ19E0EvF0+1EhoS +[+] Bogus NAT_access_msg: colon_1_to_a_1.2.3.4,t(NA)cp/22, SPA packet: 9UUpXr4aQLkQBql2s6iL14GXmCT7XMG6kZuZhMrHivr3WFZnqoU5JWULPYS8UCk+zSTCvuB7tiwJvQr38+D7OTO4j+vGvUmslI8ESPfsXwWnpNCZC6KX0CZJyh2myuXdS0j8xKJIGh2eoZygwHCnU38aJeXoRw/9x0hofeMKJOx2nP0P/Fv9F8 +[+] Bogus NAT_access_msg: colon_1_to_a_1.2.3.4,tc(NA)p/22, SPA packet: +KCLFmkcZlYUcZg647McSUxp8lRXi1NwM93Mx+Ws79gPclLillT+oPURAqPvAVkA2KCFdaM+ieMSH9T7Gh855gZSKJyH6tstjjHQSQhb5sICNg+ayfYeFtyQ26FnEv8337zyarUetO/1AOsXrOV+PPxKLzxZS3g8TBC2eweMquE/xJ4Qm9GjzU +[+] Bogus NAT_access_msg: colon_1_to_a_1.2.3.4,tcp(NA)/22, SPA packet: /9ZTBg8k4jepcG0w8q1U0I402wYDOsTfWduBb4vxCekRbI2ZV1LaWdQfO49FwhEi3T25IN0aXsXDt9+R/TMOgq8jkRmDxfXgrGNWXKkBrUeKoweGtsryZD1CiOKkxcbfO2Jr/u6t6xd5HNLoJIaBZwph7pL6rY2UEykkK5XlHsnL4soJyPfwA7 +[+] Bogus NAT_access_msg: colon_1_to_a_1.2.3.4,tcp/(NA)22, SPA packet: /gJllFh/F0XoNSgOJ/lREb3lOI85q0yO/mrmurl4E88aynKkopyPCLgoFSx3uI8M1SvfK868dFErumyMDQFhi6axoQuqppyacE6SSJK9Mvphx5/HykRzmNwdYbzuWtzASCA41ri9v35mWAkRFo5Mx4FpDz1hN41SIhZVoGGcTQcWhkpApUoQor +[+] Bogus NAT_access_msg: colon_1_to_a_123.123.123(NA).123,tcp/22, SPA packet: 89p4yFmRUu9pI/9uFUdG/YmHy+aemS2k/MSCe338l1G1+dm5RoTkU9TzJJKdesO75NRy6+E7tnwsXY7IF+s78k9tOuiEK4PkCje+xrZDio3nbhr+T5NLCfT4IfvaTbVZd4bEVq3BlWVb3V+p6nacaQMLc/TsZykVNbKgXJVQdYp6yYL093lmE7 +[+] Bogus NAT_access_msg: colon_1_to_a_123.123.123.(NA)123,tcp/22, SPA packet: 8tY1RElzDrbEPK2sDIPhaEhV747pYfPtjYCmbTupV+i3zBQmC4o9MgalsK9C9/35/rrwV97nuowFRDnQJGDcX6me12KWd2bRM9lA8q1oGPeLu6YkX6ooU4auKj1vCnVXhQHMzkXmWGq1eCZeFLT9ORzBoAt/wHEPUZlarkswmo8zK3PcihQmTT +[+] Bogus NAT_access_msg: colon_1_to_a_123.123.123.1(NA)23,tcp/22, SPA packet: 9VKrnPccQxG3tgm8T0dVGvH5VlSu0DvXGZv3yrZK2EMjiyNi78o91V8CuIYSmrnTRvhtkqt31L+hUOcb2tGiZu+HsTyYGmkKZ4TTwlUwT0zPkibBc/08F52Ncfy61rpRjhNKtTDP6e3EEFFCvs9n0kTFj+uPtIj/5Mfys6cjagoPGHeJEAmxgL +[+] Bogus NAT_access_msg: colon_1_to_a_123.123.123.12(NA)3,tcp/22, SPA packet: /upnk5Fd3/WdyRVkGXfV4TlGNtxzywdKjV9lu3+xmM+CQz0D0A+Bh/oDv4kxMJzIKDQsY7U08rhUSLcDhN7gDzM6HNPCk4gW6Zh8zynybGizZ/1gsPuquaSWIK7QhvEJ4HX+Raq/l1ZwxxHAAWIZmeNHMPgczqxf0xp1zlMA6UISsTeN32u1Mm +[+] Bogus NAT_access_msg: colon_1_to_a_123.123.123.123(NA),tcp/22, SPA packet: /oibfUrGHuHHJYjNC9UjFPM4PpL/OUFQGjF6Pey+B7kDJN6Q4iTwZ4UGMj6kyPgGyE4OWVTUd0JXUqIWOhs1rjTHF7QXKYPlIZUD8ENJsb1L64JOHka6hqcFjHyEojujvj1Q0CPo0sy3MghXzGe+I9ow3tsYolvod/hIko2UbYQL+m5X1k6Leo +[+] Bogus NAT_access_msg: colon_1_to_a_123.123.123.123,(NA)tcp/22, SPA packet: 8lAZON5IfYgqDTR7Yokwd6J1FFO54xYZTAdKCUDDGq4JeHrQZWffoq6QIUzAhQ7bWWAQ/n9lFPGmKw9pN19sjMMjswbmf55PB5bXg+l5xC7ezslI3/bVsWgHHesz/2hJKj8AFxa2XiAEV4YGHYOSuE201zy96Net67I+iM0xPTlZ0g6KM9DGC7DxMh3KTHrGrF8wH0eOM77g +[+] Bogus NAT_access_msg: colon_1_to_a_123.123.123.123,t(NA)cp/22, SPA packet: 88fpVbPDxdMGHLVFjT2ffQUfY/uCSAph4pYriQdwwP3pCObDxSShq4AHE4zwtbfb9o4YAOckjwBpJw/HfNEl5IspJqSbIWnIdrKMYDLq/KEIjhteIJvrRJxo/N0M7qUrNT9WEJKm7gt25g/jALh0ErzDHFd3FbzN6wmi+oN68WX/jC5L4k9TZFZq7AfnV4XPw3DjOBLJRXSg +[+] Bogus NAT_access_msg: colon_1_to_a_123.123.123.123,tc(NA)p/22, SPA packet: 8b3/oNZkSjd2/7OwETDiVw40LoxFEdIlJVdX8MA/TGJoxUCIP+Tx0z7xNDG/WdRWRcwxi1P8C+YKc7jhuEWpu7/nMcmReonabIppECR9kgz2z7XthN0lMnoqF1svr6oY4Czuc4fDtOZ1zxScCKuupVosyFHOS/oW2n0wc0kGbaJ2o/5xXrDVmCPxDl5QYHNSqp/fa2/9CZBg +[+] Bogus NAT_access_msg: colon_1_to_a_123.123.123.123,tcp(NA)/22, SPA packet: 9UasiKtjpxUazGx7ryj6Cr+15JFyZw3Qunlqe7YbPhBphniokiMHddcDefdBMhXtJ/a+QVmX9aXyZnexcvdfgN1i/GIvREIwUqL4tzlC92LXxh3Ru20/piOGRUkgPU1UJ44/c9qDsbAp/mmDLw4u+ry/1BMuWw7p04LCgWwpn2yfXgqK9zbsv5QFA84kChALHSfBvMDXDi7Q +[+] Bogus NAT_access_msg: colon_1_to_a_123.123.123.123,tcp/(NA)22, SPA packet: 9o7UCkJLLdqf7cc7kJDW1XlqIQmZICIMEcK3VKNLE3Pt9y6rYfvGpAmraz5VnpdV8DcTP3ZdQHanMnTNbxDC/BDBRf2N3xYdfYP5ZzNn/LooD762MgXx29z9na5uM3XaND7dzX2zXS+r+z9IoP4WmVgefrhrZuwbyFPIft75fWz7pxUSoJj8Dz+dSh4H00vh5jmv5kdOK4TQ +[+] Bogus NAT_access_msg: colon_1_to_a_1.2.3.4,t(NA)cp/22, SPA packet: 9nNHiq35zKpdr/41qS7cxhWDrY3ofxKFasC+ng7bkYLhoLNz2A3Wxcw0l+w7fcPb4XDPZQiJZdd8DAoyaDPymCrnLeL7vBmkKYnc/STh7kHHeYiKb88O4jKEUgK4yJvFHi2/DQcpkxC9AaHC2B3lXEMQtUhSj6cFa3t9S0S9qdjQtXupAOX/jP +[+] Bogus NAT_access_msg: colon_1_to_a_1.1.1.1,udp/1,tap/1,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: +aaMslUEhDCMkkm5e8Hc+Im6DBdBQZyF7Q4lbohrbW67pQNk0ptkrh94M8l0Y73JX2qErPSyAOv6q2w0MvV1LVjDJsLlTl+4qZXzPzwZhKHN9fyaUfo2OKBEwSqLYrMwnL5ltRlqSbG8UA6SrEVOunjdoH8WcqYxo8s9rvqnhaikvKvHC9tQWe02j97i3axSL5KBbE/7mJxk1xkaqrffCtTq0VPZSzGPwXKGqZlQHVV2FKyeOzY7un +[+] Bogus NAT_access_msg: colon_1_to_a_1.1.1.1,udp/1,tcp/-11,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: 8Kme03jvTcnVPFi7oW6VZZruP27YjhO854rz2ZsuZzIAzmCpWL1cD4TVZ2EDCKlIXwvbN74Zbv+ME5ThzSYIimFXEVdr4lW5mdCKfycskaILWGPS6HEzp+8IfLAn1h0FreemQYMv3RCzqdoRBZuIk7yQxh69z/4JFO+4/G8cmPBG54SrygdYLZeYFrcY0T8UaUGOugGwZUdk/iUuA5AXr6ECj7+RVHDH93MFo8j+3t1Wua8pEJmuOp +[+] Bogus NAT_access_msg: colon_1_to_a_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp/12345, SPA packet: 8JzLDsvH7f9Wx5DwG6mZ866BB5SleQo4z+NrCMmTQX9otrbW8wGOFRtbmnLmTqzeFK3eqrI5yZwDFOGnIwi6ODCmzYFucxSjwmFI9VtU9sub+Ps5qolTTQu/hsNvKkc6cAC6VzpUmdLIGfcMA+MlN8FmLbcxFaM/dreBTEQHfABNnMhWHnt7R9upNYACYoMJDkZCFDm9xrXlg+iRLO0VifXCblu5BeoXJP4N9MqDugIKBtoIaC8eoU +[+] Bogus NAT_access_msg: colon_1_to_a_1.1.1.1,udp/1,tcp/1,tcp/2,udp/3,tcp/4,tcp////12345, SPA packet: +IH+mvNMtBWjlpBE94U1rMR4og1/fkfz3GiPxNU2m+KKUm5FTIZuZpR7HcjFrDqe5QmPhR7A6lvBlBOyTu9AVDRk6OocDbm8ZnJ+O44hEph1jyiPC+6h+CdL1Y42dU5PxFo53hKPK4QDQ4V9hZsFrC2hs5Eu/4wpwt7XOKV39Tt8Q1ZrZsEcAUNAt0cNXkb8R08753BQOb2n1RJVypM9CynemTDdvpt7hKeXHyS7fbp/S+R4d5Hkjv +[+] Bogus NAT_access_msg: colon_1_to_a_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: /jR2tYwUIYIPAn9eHrRemJBBi8loj8Qnylw5z43UACBe8IJ6S5kLtaAJXC9Y7LJ3OFW3mMo4p7UaBOzG5bsSaj+XPLPShG9MafStJ9cB4oqARrjJhbL9cBbuj9ylq4/4F/Y8HPscjybWmmte2xYETpUZg1iTlzII3a5WDgN/y+/Ju6nMxeGAqL1uO//IPzt8I2EfLj/748ObiATNWl+Sjk+Z0ZUxLsytNndsWyrHF9k61J8B70S6QD +[+] Bogus NAT_access_msg: colon_1_to_a_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: 93mzAp2i+APAShYGBvAv8nAwOat6IDkb+ViN1VNSi9+Nmm5EZL7wAScxxoSqF5HfybJtOfTFcVDiVBzUu7OOTA1jVW2dPBMRfmy7/b/kIZ0DjJjpafuygLkc79yG1akzkTeGyGNtm7sVLGj12eG0PmJKsJCU1XU5vyNr2+88a+37tU1M2aafAjuBwcv+tmyLS/kzKq6uGZHNDuQGNd1ZmfXsM6Cxa/bxx1jry19pqjJy5g4XwcI1IM +[+] Bogus NAT_access_msg: colon_1_to_a_1.1.1.1,udp/1,tcp/1,tcp/2udp/3*tcp/4,tcp////12345, SPA packet: 831krVJ7ghbhUm19AFoWpOQ75r3Jt9rWoMVPpUR2wNVOj+oWjEDVVpOfYn9PvgBrI2IMUbjDbdg688DSxExSjybIRL6FdqX8BtrL+cewv5ePqtkJVCTXzq1Uezs5bQ4/NGLABm6J5mq+wHCypuwIvq4oYsa/fP7A5YWdSYIDJ+JSor0m4SBE8u4QhV815eE0r7uoP7RtiNSUy4eAGMXuH4ZCiXdy45Ay4fiBLbVOnPrBdI6CPms9Ws +[+] Bogus NAT_access_msg: colon_1_to_a_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcb/4,tcp////12345, SPA packet: 8S94HWp8tOsUZQazxlPLMBNN03A2Bo4Ijkv903laYC+ZI6B+O0ptmvaECDyHbad0nxJgxMXJJilBw4wpORNGV55HpE+KUT/cP8DeaZBnlymbkWef8DdDZQ/WNzk2xE9KKiYM5X41qY7Evjwxle1T1bKYhMD6POQpjwDHz32EGeTiJmGslphE/d6m+JtJEIqp98GzECkmiegLiPuCJMA1sgvCuNeIf0kMDz3nIrILSFLJCMG90+taA8 +[+] Bogus NAT_access_msg: colon_1_to_a_1.1.1.1,udp/1,tcp/1tcp/2udp/3,tcp/4,tcp////12345, SPA packet: /6ENtEqZvnxCsjYbaxCsrSUbhxHsqpSl9Cn2CPf4Gzg/IvZXRs27PQb85OEt2I9r1jyol9vmVZGXHc9Ry8eQHp4Qy0VBWFWdyRvOcl3d7eE7954mza3AVSvDCTQ9LL45DeCSkd06x9Sw/siVnRUwIMm8BwA6Wx0zSzskK9J9/2jW1HLm0RsHkHbalRFyzM22v9WXCuQGy1ELKQK4Q34Far7fllWi8FBn4eSJAyk/ZYuwLtSDCbngeQ +[+] Bogus NAT_access_msg: colon_1_to_a_123.123.123.123udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345////////////, SPA packet: 8W+BFgW/u9IH5ffasdQUouERTxZ/KFfMk6y887x5TZGcphdqwWE8xrJNVBDrVsdeFE3iSuNs8/JVCBdB4TQlPPgm2OxWSuwkSJL85EWoytayQl8A3d+fL5QfNGD49C778XBXZeI7i+YJudKFJQjyNWoS4k7JcgMajxmYrqrkKnUcRz+GWl4k4i1NEfNqtKWZW2W2rzU9/zsHt5VuTmqGDajLgolAe+dSM/N8h6aGpqhmWfd0z53XaPS6khA3N1D9wnnfZgNmw93gVGhKnc6Mztd81tTYbCXMk +[+] Bogus cmd_msg: colon_1_to_a_-1, SPA packet: 9mQQQtPua+g7d43Z5F3P1aw8dzsis27NLm8an/dGxPLzz8S5VLq1I1v5QmqA6CyWBrf37L32JLqJN2CI2Vf8hAD1Oqpz4UFFYzbe0isomYxcBmaNrBERFUqwwjMUB9oWKYpv3COPr77A +[+] Bogus cmd_msg: colon_1_to_a_1, SPA packet: 8cptO1NdBNAgUsJA4eJTxLudqLqw17deXwKqqI9somLee7X8G0kPHzsx9Yt+ucaKmdTPVDjzgAYiE2Hdak86L/OvWmKqSbBT3c2oFOWLoRWEIEHfFISvT4CIBO3/GnBDfDUz68st8nUg +[+] Bogus cmd_msg: colon_1_to_a_A, SPA packet: 8n0RYolnxOt9nSL6qP89vqK0El/4Gt02tDoaLb68hwQe759631lQ9/3FFRZPGboJ7E0+Gc1V7y4qNnx0uYyJrbFUiAn+ZSf74V8Zj1nxHbdp+L5N9shauAAozY5SfHktfwksErFAxahg +[+] Bogus cmd_msg: colon_1_to_a_0, SPA packet: +cTRkftTq8L0LFF7crEsW8h8xiIr/V5sBaeksUISBkhEoGJPwGi+CqpAwe1sJzR4uOtEU6Dq9m6IE+6LhHKDSRJ87/yrD6QhJO16qRIPCmYUtjVdU27F7mIIxMPpKc1o+t1Ylo67VcwA +[+] Bogus cmd_msg: colon_1_to_a_AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: /JOhnnCLQNR7D1JyIKtWZLhCYUM16ob9bOctNsKZVpVX7CiEYFEy5AjivUwSgO7ozthIc1Wzk8p0OwjlUnPnNoWVwuCB9R1EfZFn/PxoKPkvO09tl5S4wg+zzzjhL8OuH2++2xCaG/79MHzmhXqLW0WxvR69C6G91yhTdAUOdU4jVtfU+p8vnetaYLv0uZP2XiD8a5bju64QXL9m/GtXMhTFkx2YhusvPZqnbGlfl+wmQ/f6rNohnCXBBmoXuSRGHmOdAd5tUUdzBqvqMOGtCeWIE45WZ/sXW8IXysl9kQbGIZ7IwMwhNndyF1PWFA13apgVbcK7JpWki6UHmlztVoNihKZyOo19L+KhCA7qYyTJM16AVzPhAmC9xL9WLvVr8T1fb0SNK0ZYDZmbI3RhiDbGmyEnPQLvXKekWVEi3AWXo2Gf/N0hXfS3UKeNRo0KOQU9F8Y5Qg2J24UMQCuYbARc8px+A0jfT5hRRxJ3cpauCjNPROdeujF3yIjx3cKzAs8EY13HO+KXkQ6IsvL7lRIU+BufSvVLdfjdBBBVqVbJvFySrUEomBOGjbVBskY3n/abKUMOsKT1TJQiYGr+ORY/xDqpn3b8JxqzoP3XZX54hrrl1pegseFUEto6fLw/Z0wXxx+DvcoH1xYe+M6KmthwELa8YcI8zYFgmvweGMCuP8oGbeLKCPmcsznDn7upEqUjVG/jM+YlQZk8gW9wvKaLmN66oo9k2dQvyMCYUbSQshf9iFoQLLrCa4ydCVM7CVuG53Ye0MVjpfQ3quPFdrzUnWGFQ1ZXcA9e1PCDXBLusuQYVDwahBLtdVktS04xCP0YVI+MN1lnA5RNUhFd5vQ25Fwfo8me38fp9UBrNB+9LwwKeWZ5Q2jHPcSPnSh0xeoM2gUPs6tHpfyf0eKjUiMg3kunmzp0xMf8uhzxyY325zpZ+jBni1jOdvQ1WyF3VC1lW/MyF+VecLsc+Trz+X4uderOLkLgo/A4HeZPp8wLyprinZ2ONWU1AcwqdfNpHNVUAPdqgETigcl0VclWXBVz8ZyCf4FILD+6TGbw4ayW5uOPjCP5NPh7b5MPx3jvyJG9C/PeGkGo8/D6yVQaEcuIuRVlL5pbTrRk5cj9gCVnMgmvxqyYvrCPV3n0g0/qJs5ZtzTwNPIsDT3VBqnJsOMktsSw2qNBPnE40Dbyadd7TcDpMHF/jAl1bUB1d8qJbjITR++co/8NMBK+okueMpFuqOcPDbA3Py32rDevIGxwvToVPVx+FEEXGhhAEopVuvBt7UF/3qO6cU/FCJGsU7XUW24qmmdH5HB0kjEszECeoB3uS4yC+N+VThDsWinM2PAVl+3x3GgUd3vZSYL+KoR62ShOsd/aTKlR+tS3miwS870lE9lSLqSu6SpRjclb/zbxQCCju7eYj/uOdcLLABBfI6WCB03q4 +[+] Bogus cmd_msg: colon_1_to_a_////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: /EvprGTt9LhteOB9IBLBKxDre08zKxEpsB7wplHATEXhg2Qyvn6rJj2znwWjpKOocEWj1a3f3G0oEBSXND1VvXWvH+02ZGQ5BdEVbdfBmWHgPVXW7NkDN7TwmZ8bYm5UZQYCJG7Rbdf+B91JpWvbeJon2QsUenu6WN2+6wfb7HGEE5sHojREc6kV/v1BHPdmuv7A17pSYifYj8HgV45i8CPCsiZunSWAhKGnfr6cSQClXnZt06G7QzRIo7AU61JtcGdH4YwcV/RDsPecUnM/MDnxUsggzc+u4wnVwo1THd8NABgkEjh1qLtI3pmY8CfmnyrQuEnUu/3VmGrjyjYAifd1kOUuKCW1ElrKnVDU2yp3S54oUfeifL/2GrRr7M3+0tiJn8conTrXxvTIsD+6UhITAD4g2r+2hNZxfkpsmR5LF7Sh14/v7yYrUj7tB7wfn7cQvXUGXJwzH6hb+uyNGauU1/OoEDiCAROchFuJMqJhZ5nyHd+i40Py00tsJHny51svpuVlCm8UD+uazzMVOjWVhNI1PSkrwMl/A9w72AxUwVP9vkmg63TfxmruRUsyiwc5rXNCEVpL6rVNWpDB/wi7DLwMNVL7xP+fUtrx7RxsBnSyrRjt7HsbnwZS7WPwkdqMiC093mzi0hDjK6x3ZX5XRTL3kF2t8KhkKhYHjqPwkwlx8rYLpy9OaBOaFOJzrpO3MVd4U3cxgM3RwE4C1aWn2Uoui/V2Goh5GFpl8hINW+lT+8GRBQAwXyPstWE2y+92049kfzctNaLyQ2w5dCmO27QCzXe9P/DvDB575a9QOHrvengzGGwgbJNw9bbiwSefU9y8hBklOPUghxJhoE4AFVqIk2unw37IED6pkBiiSSXEd9kY4PgXtU5BS7Zxu5aNKYuw1AocwT1weMVY6KiGcEqF1ciJPx+kcX4SXOnLw6pcpRZWiqu0Uv3S5g4cGttiQJ9awAZETBRSOXyBofmfNrK9ZtBf6x4T++g7kKqz24x0rnDFWRUiJQcKAlxIz6FvIi/D2gQKWnv9h7OXJN8Z4yUxdmWjn3anagb492KHmkOOLQDuYOfWGtOL+YBtSMuOMJ8VKvUQHSfNWmb29sQ46mXNu7QKiOn2mps2JsbSOa+xTj++7X0R1BAvdOAS/5Otu+XreaghOnaCJHWvOd8+k55TkaZVISNF4PgE57HyzVf8/DR1s6xNVVbNFTB9zRjONPA0Jzm3pPe28dfWPf1V8g+qY6rMOiKvmF7NVv5wM9wY+xhHPW02q9NYuAyw/yJ9bbTEKyECkaSff4BvNmG2Lg2wEZ/TSnhLuQMa7pXdCCj0AFySPrP3hcbrOJgozTBjY6jHBoEpKc1rU9Y55xTVsXSVYYCP4wJrP7/qB6rKmZTYW+5unQUY28aVTK5UVfZ36UaMRa9zG8F3H7ki3la5opAB3nF3c +[+] Bogus cmd_msg: colon_1_to_a_%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%, SPA packet: 9iz6mrlgtN25AAfVbnxpmgDZHYojMA9M74SbOfomAgKYwyOQtU8Bhu/9CuANb8zQTotK5kntiHfYklnh29JOxMocTnjt8k/Vp3jzl9PALH2xHZHzXN9toInab6+/Y5L2ycO78Mg0qc6KDG7gGkWZX0CyzlKcUNyzdli2W4Ytb6d4dLMT+j7O7fA5Y1RJX+od/jO7+Hx3Vu5UlKAxaKpUkhTCDBB3a4nH/3SN+0eFHpENzYX7Q3J+ZRcAKVAcrLLGPChCX/pGrld+OQxQ1lg66MTvMRpseyseY56An4ZxV/SVk10olAAmq1mYo3VeP4R3dec+LIhRChPI1uZwB0UjBH/o3iLJpk0O9CxvLuMkmPSSMw5ttEZd+UzRt9axwzs0diagCUrH2W3vs059J/IYhzrfz+jOFnR5d8pLU3LKsZjBLY9tJ0cfeFY+51tTI+vxUKnthfyYS2rmqJ7BkKeJPgrKeQIevslss7tZPzjWSUDJAa7MbwN1PqKk1UhXs7idnadWYql0RdDqvDznCt/pYRc23RmwvNFdaPZRxsPYlV+HkmhIiBk/XjlrQBJ152zFq6LQS9o1H4IGclOrXU5mi2lZ7iqTAM9yw9IUuOUhbhjDo+3i0k+2fB50LvIC4Hkm1WBI+njyLC1AX6eSmyEOib+ERiQS+0SKjnzv7FyNPhA0+KUT4aPoBGLcQiM4wLKEjDO2Izy3FayXVEYtacVHK/zcbzq7Gj890UtZrDjE4hzIzozONNEKD8qU9guDNvUL8OYdd0h0V4Jooe8VJ1KjMp55V7a+BInpS+i8HN+mo7OpFGhLkq85avSGB69Q+kPaO3FVx5mIgXn+06GI5hR44RfFGE8d1G1OgtDxKRp+yNU8YKKXovyEXfuZhmfmF4yeRzo0cIv8eU4KHibq4O3xVf7cC7FkyCi3fDZo1Ek70ItTOwZ+McONMzxs2cmObbMpPY8N3bmi5lutKoS4liYuv5XsEgm6FuGNtUXBlU2hdcLjnkjspEBUI0vUc6O42KQzh+oWiqBMwwYNHOEG38jJ212sKWCQhUS8VfXZCCEXFq7yb2xGNzeNkktMjUlUuOgnHQNH/7q3lGDPRJvtzvU4woU3gPr+o8wU0hFp22zpLk/4Bo8PYJYMyslthN/YtCB25rz+0jpmyIoaOIqc86Me/CK5bC+KcRycDtngn9RfA5ZJuLGxJPeEuBF6oAyyabW/ZhVWtzoUfEVYWWOQXoPDm9n8SB3oCW5Em+QfxW1clVwuqWDVAo+kUx77TjsT9A6CBMHnCD3MJshHicafpz1e3pHw3Uop5GG5BiI1P0rfhFTpVGwzjkCywttcqVoWh1fyzIGoDn3WBZcv3w4Hv0hQCY0XsJlV89xzwpQmNrOKn/KGRmGdp5MweiusMbJzntBRp4Ego4ak9txeU/oZCGtpaBSu5zvcFJu0Y +[+] Bogus cmd_msg: colon_1_to_a_::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::, SPA packet: /l/wtcfX7n4DWF6rMzDr2f1GiBxPDcNOYMinR00PQTYKqig/fWDpU+jcHpfKgJiHCc7b3pgULLCbSs4BgH/M2TIq1W+ucGGm5f7ZzXhsTagu42hCpEnDqFTEIZpg8Bk4mqsoU3lAcT52VH8Lxhgvs+/1u/xNDQ8ZI08x3ylSs6krwpRUYQdRWEKtDyCHJuAks1j/bKDs6lIXJ3D71G+MvevR/H3uUpr1daDxRIqgblfkwLjbHXIuZSF+C1IDeFYua5EvYRMbviHR7eZOdP+QmgnoeHuRrRsFQKyf7xU2gXLN0QJVXtHB+dHZ9UbGF+d/5/hkeLHov7WnyhaHkkLa8EvdDYfLJ5LrB7l/46oJVKqpJHitCulUhd/j/m0xzPWtPtC9ekEyFUotTzz6RnUhWq/G1OrizVNLV7Iz5f995VxehH2UEKo9XKUaiK3HBsR+3A/rnDMpYy8p06oG4sV9gV0pbAJJ7Tb6EjV7XGBYR4N3hhh7C5Hz6WJOeRmsSwxctQykRUyblTqQ9jgMK9cjiJ2YFn+BccRykTn29ixwatwMIGsZWxzq83HN4GYNY7z6VjKE8/Y07+ZJy5M9uUi+yRVCCTI5onZE+rSSkDDU8hAGn/RGPTfRbA5aKRLSAfepzUKWi1E3qqWgRVjCPMsMt/+Fb4TrYRhR1MabhZdeqTn22NmaUNSytdVYunIsyLlHZDPs7MpRu5h6kWc9kc7Lp7OpkVe44nHnKzvHiXXgruOlkRunKHuwH4j3oHR+TB4BqQXCnq0NAuv94HaVQeUZC7603Ha7j9mh5E7Fi0WsnZpJ99jZk9RK/McUmu0ft+XuPmj2dx3xxSJ/tv5hSsycAS+9HKn8YXX5eCGbm77s5yteo6YZRS96ohClb708IohG6dCURKlbY6MXYG6hIeHcSuC+rAzJb47UQ1NLX3ZoSjRctYAPyHD1UW2b/A/m8dTHXb+BmmasAhEXwp/E++9mOp4EHqHL0HAlZFX4NWki7ZrClWQ2Nn6TqU+m/nDjS0HKutaIzjYqKjpjeiM1WymQFUVnfG+zwS2bpmaC9N8kg3I8tmmWFfGOU4zf4Rwkcx1JI6P9gCy7MlxTDh5Rxr7ZOSiDUenrwIHjwgCsE83x07i67P4w0WnKuW7kiYGPfWFQBgWpjqJhrlYoBBl7O/tDkmN77H9il/TeTUtio8bU9OU179Emd/6v9AKYWLFHJvqgOIvYczbdReS0ommZ4KpGq4sAwu4LACdXmCQcA37E8NwsitkpjJAC7NAgyYkthU7mxqjafjs3NlJv/QgYcWtfbsp2/68yb58FAmnS+jLVb5tTjDd+fLCp0pT25K0wIQZRLLAzQ+rO3wfczryeP8iyjd+eqHvenSDyeHwBuERZLHlq+/tl0rBtxT6pAg1d86tZhA0tL9D3kfWHjiUvYvQlw7TEBSKQJcEUk +[+] Bogus cmd_msg: colon_1_to_a_(NA), SPA packet: (NULL) +[+] Bogus cmd_msg: colon_1_to_a_,,,, SPA packet: 935u6wA7s0DSozJLvSl8UkmnB9gheYOEMX3ZUhjBwfUnKPgxwOCCQLy9WbjygbUdbalAaY1vVEiMv1KBief5bQ3ErRoIFullJN+g9haMD2kC8aLQEfzgocnVD1ZumQhaSyAgKcXejvaw +[+] Bogus cmd_msg: colon_1_to_a_----, SPA packet: 9eNHoBfaXZv2LDgWd7TkCvt3pc7br2wwOq+1/6F5dxfiKn06Xm3RHcPx8RmcdVRCdEwdhTbbEWfltwGoJLICAY93DxA7TK134N1GBs5AxEvIJpMA5TQpiZTwsAB5eHsHXNMsC1GtaHlg +[+] Bogus cmd_msg: colon_1_to_a_1.3.4.5.5, SPA packet: +1Fl7EXmp/T6t1D67UjCWe9C6Nz8zkYydg7JRZCZEyZ3BwGBS2smsyAE67QlErQI9/cuxChi8hIdXULEx5SpJuRtIlt+TlllcYy5XxvCGl3E8Y6GJTuX4K997SKrw9FQ8JuZPlhCPenFmPlqn2PmuDfPvhnxOsbMA +[+] Bogus cmd_msg: colon_1_to_a_999.3.4.5, SPA packet: 8B4KmgunDAvhIN7j+kBo2f7+6mHns+PslYQZpaR0NTAKMGktWYF5m6lb8Jo6+aaGKLdL5qcdGtUJZMnq4Gk6Vh6o/bQyFJif1FCBmOlhe2vUr06nSVSjxnaSMvyH/w8oDwqG/KvMeNtIVgKLqO4jtXzGlVu2Xg7VA +[+] Bogus cmd_msg: colon_1_to_a_1.,, SPA packet: /y+l/rpkZtEVfAg4oQb4N44IGtlB8dKINze6rM3swc+0whqItThrEC/rTMToTkkqeWy0opwR24LQ7062z/EYh2lrKBFJdyfdPAOSbevjkzohnx/vriXxjHv/3XDlOgPJPdAZCIN9GzAA +[+] Bogus cmd_msg: colon_1_to_a_1.2.,, SPA packet: +/JKTHES/jFPSYsA6SNq5VUT/ZUQgDU5ODHPgsulQjeI6327hN5nRDq0sC81UptPmOwYCM/jkaBwJlM+REs5+GSYz+rj1Ur3PWSd1z1kv76NxN+JdQtcKGkF9Igy4LgvbwheipLnzzzw +[+] Bogus cmd_msg: colon_1_to_a_1.2.3.,, SPA packet: 9mHUBQi58FMOtYrm4OadAYTfRGm0LM7akhioVySxC89+p+xyvoQ/wczA3HMOAyaNoee17mh25VAJy6FaQ7soua3LJPWn13g4Tpd7ugL4ZtVbhQ8fmTa7jCLSwgKLnhyb5rW+I387jM9nFSxldhViRsWdkAeO3srYU +[+] Bogus cmd_msg: colon_1_to_a_1.2.3.4, SPA packet: /AQPb3l9Ouc5cRNE3WhI7ThIcgF582MY3TipriHzDSREfG5bhcg6i2zWm6d3KKn/qmTfTT6cPdilBTnurz5Tye4TvYIGxwSSjmSHM/dOvxGxnTAFbYbrHYXugFwUc27ZEOzA826damK9yvp+DxM7YUG4cSbfn0s8Q +[+] Bogus cmd_msg: colon_1_to_a_123.123.123.123, SPA packet: /aI10Nq5aP+xa8t7tz+gpg/MVvGjGUfzt6hJ0sBwBTbketQ15ilGzlrj5dUaAMeQM00wLoQsrF9Tg1N8ALTQ3+1T4IKj/H/jVeTMYAEFo/ucIHK2G2Z7ynksJFbVutUQXpLAOKbOlpBgOI9ygZ53Gq5hso3XbqrDw +[+] Bogus cmd_msg: colon_1_to_a_1.2.3.4,, SPA packet: 8v4uclOIzCx/BgmIzUc6lsgY3BoifmWC3TIcfVE21pEsS1tPA3flih8H/w4Zb9KdA+jjTUyCMHOIN0Vll8d6BOzYtARhL1ti9mlrP0ubcZZaAcxym+kKZV2CCeHmlch/bYDSX2+7NfL6BgvSmt02S1GKpmhfwO9IA +[+] Bogus cmd_msg: colon_1_to_a_1.2.3.4., SPA packet: 847IzK8/vT52qguSATDyr4HI3fKNtq3fNl4FdyR3VMnWsIP4oxnZyu+7ZnYeAdo/1qn/aKiTKYttyciXYvbpGySehBstMj8n7Th751JCiujra+i0FHCK4Axlh1J4Lt57JrMvzkoRB4gooeTOm2PXVH9yI+NV73Fxc +[+] Bogus cmd_msg: colon_1_to_a_123.123.123.123,AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: 82myZHDzgn9d9cwhHxfyPfoW72nwekKZVECkAUJCoj+on93qKcP/eun+tgVmxYXAyuRZSl/eDLNl9WnadCBFiAhynxpqlCZskSmg8k7b6zSs++qggrzi0Ki44kRsWCHnbRXjXrk4NQPTvyi8ufgpQcMJ5PW9A/UkMrhlEwCnrrNyXDJ4aCIsOxICN17nNHF3N6u1evf1PO296D2ordabZ0dGlNxxdDzUpCdBfj8ZUa3ImKDcVIQD5NYbINZjicMb/3+B0u8haObR5YK5nM880BstoSZDUWSEDgpUHjXTGVoHjsoREXsoxEhXdaj2Wx4c5C5C/S/lN+qgLJ7+0qK09biVa9oLP7+TtOK8P/GlVguKB+aAesfdD/PIfmjnZ2OVBvE9Ls/aEWrdCy53BiXdJdotliPoMg7j9Xq4T8mNuHklYHb1HL+nzlpgV8jTT1RIvyI4w/Ndwl3V0C3ottkY8CqWeYsfcTw2Qlvbqos0R54ipUsmsBwsq5+a0REGajra9oN6ld6GDSc4VW34REpMgdWe/8p35z1DOmIIihVrsxeIA6sCKcnyvkDL3DDRSUHNrg42INJgGmXVWb2RJ7NHtjSnUISQm+1mBcOva7G8WNeueYtGQtYE3dZ+i2CgLm26vSUHjiIWsj9OxeuT3P5al5aE/UOlvYNOGAz2I9fEA6wE8go/rQeWP7mrNqeBssiVJlmyaeGxxRpPDf5bUKnv8sMyQoxAcoLPhYFurSY/Sz3O3Z3LrErt+6vmXCDfITCNdhfevvNvDiRcELfedN/dGtZiPd4BOYWcLSR8+Yt/8I3tQz/7uw5H26Heninpz6ZG7c5sIAxXAr6vu4BrY7kobW8FyzK01wLWYNlW8E6r+zs/Kf3mtoVt21eUONRKOwXbiSrzRI7feO9rJSwwGXTCUzO3Wv6h/cliqwSWtpB/ar+peh2fszPlmKJYMjE0Fh12bKbdA4aSZrc5N1dwxXArxEnRv10gvF8pbDxnIialWmCnmjzAeBZb1LrnfbThZhYLE4MvLbDbumPwK/7Wdj32pVUUBxmDkwDOAnCYUdu6prtNZDP8x6Frot+2wIdnZk/HtX/wwfJF0GgpbAsSKg4nQs8D+4heHzqG9IhuXvq4aLjWEQnnDjGQcUOjqN+pYBKB2ieFRpRxZzhd6Zr1a8/u/ODUBUl3b7F8W5IgTWUHs0kMoMPG19k2BIJ11+38jTxSlRcNnlfzNNA5eyp7bwXqb5AmPEA2HMMa3DQMCWjeivVoIVFF0HXD4bvD9igvAoVAHstoE9M77L5nc4pZlCLyI9hg8fov1y7xSPcXKCXi+6whf7Eya6D7Yu33Qs7dCGdVtk94sGtI/PO351/6xQlPVyFEcUE8b7SU8Fco+82o00WNiVg25wYSYyuz8F7l8jBNiRU3MYe6J3szDpODn88YeQunfQeCEBdYg +[+] Bogus msg_type: colon_1_to_a_-1, SPA packet: +QwbOqSjgQvmvSVNr41/YPEmpRCyWw/W0vwUgkB7Kfs+VX838LjMZfrR9pMJJTjFJAtqOWGUh9a5NOTLukuGuLkz5u5JAl3aEtJNYU3LzjhfihUukG7Km3dNOAwGLEMHg9wjMFWzXu21S3oFdGgmO7H1OLaHZrodk +[+] Bogus msg_type: colon_1_to_a_-2, SPA packet: /YIJK5EAEkKV3QRFJA8pds05ui5ubzw2aI+fo/JEiEX5X93ECFFbjEDFjMl5FOkNQVbNl+DCn5Zgc+XABGJn2WuW8Qu1fczRrnPM0/1nysVzTgsq1pkP/gsfzaxp5SMTROwfZb5bSxbT9DPknYkLBZLAfDHY44M1o +[+] Bogus msg_type: colon_1_to_a_255, SPA packet: 8xUCuHqcyhX3lZYZQBhNrIhFauE75pQa0gn6RamnqWIKpiaySAw5bsB8oxzxBozO3ue6mzIHpOt4ARCGo5/YijKMp431jdXbS+PB/wwjZP/YvgWeN9xlt1lIhw1jZ5TpfFelGx5M+GpcyjXyuaZPrHSOOrun3N/zY +[+] Bogus user: extra_timestamp_digit_AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: 94opCO/EP+F/z7yGkM8++qU7Zc6Cc1bh04r9oogov1fr+F+jF8c4mRspoa3o1Ou/haAnVv3jHtB2/WM0WjYF0PRUUWQs/DTS0oBR5w6opUOZhV0yLaLK6LrAPTf6JHzCF6TSCR/ATCDbhEJDeH0ITlsP7nyoXtxslTWvcdqTjnTG4gZhSe/MyB02En3M3nzSPTmrqVZOKsEOfT3n1qEQQLzOA2TG/2tliv8Y7ozo7veASl1cjTdB1GDnC/X0/pG0+amtE6vqZqVw +[+] Bogus user: extra_timestamp_digit_-1, SPA packet: 9avjKfoNgP2mpAtZijmWpNrpDCJvX574BJ4lzxgyvVOLcxMm6PmDwNhmD+BJtz9kf/nMfilPFaehCOmdbzOOhmzkGYIdpMyqmjcmheO3S0lxRxY3bQ+ITzkwJnT89T639oEcadjjbR9jTkB48W4aUTxu6FZwBsWCc +[+] Bogus user: extra_timestamp_digit_-1, SPA packet: 8EWbVXHsqjMrxoTQz1s52g0so7hdXObpM50Yygz6wwN5D8PuZ/PKo7nFhJnxylEmewuEyTB2XrMlU6WOBK9Wnbdqs5LRUDJXl5QaNFsXYBEVs/hmBkP6LWjpU6lLyujIsCELm3ACKS3mCyFy0VvvB+Q1O0wpxJV2M +[+] Bogus user: extra_timestamp_digit_123%123, SPA packet: +5rdTQ/0VQwd8x88JEPeyTJGVrIebNqyyNpH1B4+aN8CbmUUVgvTRI7ysIkRXOXyWL8nlJqR77rMiBKj36GFnm0vwf9TEws+OzKiI3T9vQLr84/5GBSHlsmcbuSOd6mPXkUI8ZqDZsNx7wKkO0zQJ2x7EfgG1nOwk +[+] Bogus user: extra_timestamp_digit_123$123, SPA packet: 9qqiMByuSi3cojJgs4pfJ4pbTRTmWVpSB6+E9/J9EZRt4414SVMmiDXhhEfRB69yCco3x+1qzbU62N36Emof8LXemI8qsNDpAV3PJP2BoSS3LAcganjf3Juz+4Zri4hqJ705YHu71TtX3PGZ5YvvHC2L4xlXA7nhc +[+] Bogus user: extra_timestamp_digit_-user, SPA packet: 9ZbMqZJn2wewaWX2XGCUPbdbaeHXAcKq4pUjMH2DNh2i5JFu1TDUT4sVkptW/8CfKZAyzL4G0zP4mjY1tx70ivau80qzsz+xpJug343wpUwS/9EHq6FYMsUjjQRUx6fvN7vSl+TJzHsNCrjha8NBQM1Gi/gUOjqTo +[+] Bogus user: extra_timestamp_digit_-User, SPA packet: /kDvwn7XLWqxHtp6/TDzntBVUe3K0+YDLSPRnm+1ri5NALWI/xKbGUqXMoUyaKVu9srUkIcDoCHZxdZqGEVV2KiU11odPAQw80vXDdcjX29gNuAqli+xV7vWDxTewk05Wef+WCke4DflkUjn53m64oBaJwtRkspBA +[+] Bogus user: extra_timestamp_digit_,User, SPA packet: +J6TSdT+OqQvOUK5QhXatJQCboVNs2Lnl7IJAvlUL9dO570OUcLDpU5MxMHLTRIpRZKobOnhqMGpVZ4NPTnH636C2Ib245QM6mL4x7GOvfmBA6fhPDl/BluA+PPsDcHlL1UyIvkfba795rPNUbuJxC7JOm8NJlQtg +[+] Bogus user: extra_timestamp_digit_part1 part2, SPA packet: 9LBlQ+PpUOo1njqAkjBbqlpBK6ttHU/YClhO8OF4yyIq6Ddpsb2aXoN0bfRGEEAel7B3GcebAUJXaCobl/7fhLh8JiWqL/mXvZY7bYEpxCqyl5vH9FDiyk4vDpT/D7IsYdD8rbUtXFqkIjahD2SmiyUSvnk+Gk1Lziyu8BSdxAuIc2sEo/abCF +[+] Bogus user: extra_timestamp_digit_a:b, SPA packet: 8gJK+xu9TZcsdNX3lI1CYC5qmbg9Qd48pZtcnQKP8TqSAKNExXGUDfqNjEeS6m/r2J3deySe4wRRXuBY2FybwgwpBhw9y4vaLokyMRN3G7pXeG28NnrSpMHkpJjwVM8ilAfIleJoEctWvoGG3B36zBqNLu4riZmV0 +[+] Bogus access_msg: extra_timestamp_digit_1.2.3.4, SPA packet: +fwQsBmwBM7HrfAQ8qpMWBHFrUAdQcujI3c9mijbaqprgcbl0HeP9pHje+8FJwW/6xNDSVINSYizZIEExpKVc7XmqqxqMyxZWzhqv2K+bvYG9UCM+Azc16YuCHflmaodyUFu52hdBPWR0zIkoE33gk3F307gkCXV4 +[+] Bogus access_msg: extra_timestamp_digit_1.2.3.4., SPA packet: 8sWF8Hq3VxFwMLdmesh/hjavmYlNHaN8jWWFdZYtA1c00tfOs9XxZbqa0wz+ZIhqekgJ3VL2Q5+jNZWBd3OXNBQvR0AhuulA0yp3FX89ucW7ZTE5LkmlgoLEdoCpU4cwATU7t+tmn5eVaiWYBhmsV/KfXDKjaIl6s +[+] Bogus access_msg: extra_timestamp_digit_123.123.123.123, SPA packet: 9KqHDzEZzral5vsu9epUC/3QRqHVpOjcA34B3yvE8xSt9JahCyq/AnvAjmpXLIMEwEF+YWAwXuywEfo2FSbdmK0hnQoMjF/GtE1HNY3BxmQnXjlMVJ+qcWlxv8cvSuI0qQ7pwFYgSaxkHcbtCuW1u516LCCfNEPrc +[+] Bogus access_msg: extra_timestamp_digit_923.123.123.123, SPA packet: 8/c101jLdR0nLKVhtq7jOei1u9nIwuaE3bNPrdFOa+yjeVdTapOczbtHUQzMdA+4Nry7CFyJD3ACm5/uk86/HT835bIIzaIL21LvzjZo9dlzVbHsd2C2lJBeVT7IeXxwyUv+4+t650z9lNMakn1iC2iGV5PJ5dR7E +[+] Bogus access_msg: extra_timestamp_digit_123.123.123.123., SPA packet: +2yM637ey/aXZpthrB1KgdPP8cGUla4xDRP1hpUb8ZJPFuTBHL+VAFX3xjxIW+C1mR62bMaro4rmnLBk5RPlPl7carUDnSAtGw2s6sSzM/G0j7ggkjaJETi2qUGmCXzTK3x3svegiRM/vMC5MsULYW0SM4d2MgPlM +[+] Bogus access_msg: extra_timestamp_digit_999.999.999.999, SPA packet: +DPGpVteZqOObc4tEFMXT2K/KiCc9WqDbx2LsgPSkN0BJXoqboyshU8gOZu6ecZdkf/0nGBPsT4mY+CbVhYgNL2iC+IfPdgZ4tI11isPx7Wn/96g4F6gHmTYeGH/DkdhEbw9idFEyCwuuigFZvO6sQL0Q/5lXI5q0 +[+] Bogus access_msg: extra_timestamp_digit_1.2.3.4,tcp/2a2, SPA packet: 8d2nRyT55Iz3TPALwMG8QWx3Xu/AMEg7b3RW4kdbR0quNXfwTeYtP3iKHlu7JD4njA18v4Zw7fyUwcq7/QMbfxxPmdcwY8lPPC7v56Yj+uTH8U+elirLZ63IOCbH/zTJLjLyS2H2cNYWCUp63nDpYPcaH6jpeTGDI +[+] Bogus access_msg: extra_timestamp_digit_1.2.3.4,tcp/22,, SPA packet: /KbmdnLoOdYiMUF01dI2vWi9ooYON82wkhB+67scddkR4qNIcIMPeJyEu2pdHUjGNyjceVXKiDgpy9kwuayTRc4txe+IBp8daBtrhxEqNIQ3VkGE0jqU167Y2yNx/v3TPeZvLryWSaYGvecykaOf8QsEnzFAFZ19g +[+] Bogus access_msg: extra_timestamp_digit_1.2.3.4,tcp/123456, SPA packet: +IAQl9hQH0ojryGkshYEvPOY8IzlhZecglLSZu++OA7/ns4oW0ajZB8ZDQFd0PwhlbnYCu7BX4gfMMihYVFUacs0utT7vl487XoMOVRw82j+1Fosrkm8TaEH99jL4TJYOcwkZlJ3oWFJkFV6GduYMqtEHqL3ZOD8M +[+] Bogus access_msg: extra_timestamp_digit_1.2.3.4,tcp/1234569999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999, SPA packet: /thAh33jhIE4/d4ijpzCtQ4IhDGnfBSzZ0MijsH7JLLD/hbwPATO+zJZNroJhiA0wqMjPevcrNsTeiUMLr2b+DZOJ69PgEaE2nLkn0t6CXk2fyZBoMWXKmDjeaAE26+LugYp9Vxr4wZAfS2e4ckrpzacKW1ZKvPKM3Ki2tTXPFrhqPPz4HC3IhbCHVPEfVleVhMn7IlGjAJsY9W6kOmgYnesS8HlDT9KLTlcOU+swQMuE8Qeb1jipJJZqfBy1XfqTY7nh+vftW8proNeHTMIqrropZq47ObDrJy8Knye3Hb5vImnNaeQrSFlmObkTNkp7j42CfiCsYdGHDqdwHbLZL6qc6DpPJRwo +[+] Bogus access_msg: extra_timestamp_digit_1.2.3.4,tcp//22, SPA packet: /c57KORnyM0xxZ/+jbnu82DOcr7GDEqYejasWiCjNzvJEZsKVJn1/KxF7b2XiSIJh3romMFchuJaXu/5M9s7lI5b2mH3G8q7ZMmPG0wQQH0P7Si4vL9Ryjx7VEUDmj5VpgQVeRiGYZu67flJe3e3hYyUOIewqvny8 +[+] Bogus access_msg: extra_timestamp_digit_1.2.3.4,tcp/22/, SPA packet: 8XhNDzOOdDkwrz1Kcc5WTqJSiUaLNIRXlimRzVwhlEYHws3UwDNaiU1sl2bPNrFfA6G/vEqG1h4H65Y5QeshLFQ8tPkVNOytZhL4zPm+cjmJrU9Fnl6LuH/zsLAC1Jkl1PMj06xrqlMgroHKrfyIJWE6lnC9ozKS4 +[+] Bogus access_msg: extra_timestamp_digit_a23.123.123.123,tcp/12345, SPA packet: +9WQX8eJRJHNgb1AofBb5ElYRpFZeC1lE65MEAIbBnmJtqZaqwMgjR99QRuvKWlEFyxYeYWUmZatjefrJ6uuPHzU32FzMcp4OBuq+i+wDRE4BKvaVv88JyuEZTRKjnLroZQOAc3sKNVJneUblN0/V96k4ZNbcZXW4GAEEf6gFHh9G+QY1Z7J8V +[+] Bogus access_msg: extra_timestamp_digit_999.999.999.999,tcp/22, SPA packet: 8xfjvKU1ieSrVCBFu6gWbRR6GZcFtgnbW3HEsiPBjdVF3Zy9Zijnf7mNMBvAjt/BIWb0+FyGrhKKA8t/6b3eYHlCEa6KjvKjV4ROj5+W4aBGoMa2BiJx6cSE9a4gLmZQdaHtvcSVdrQO4G+jI0Hv2okBQK7Z1nJGqZdgebGEZ9tsFT0fT9MEUj +[+] Bogus access_msg: extra_timestamp_digit_999.1.1.1,tcp/22, SPA packet: 9yHpkqhSfraZfw2ci597U+Dvqqwodww3vlXyZhLDhGIcjfS2PnbfuLeJFfCi5zccmDf6cEjA1/b4T8QxIp4+dliw/hm6P92s/+sP3m6TmOg08BrnQF/rMn8rsJSjZ2ajvYTRvkf1OoGWuNwllwnoPUvMnf7FUmaDA +[+] Bogus access_msg: extra_timestamp_digit_-1, SPA packet: 9zApPv8vdSujFNukxl06FStVu8iO2siq/+qamldLhvu5RFkUwt2GTVCfomJMOGLt5qBHf4w1fTj22gICgcZSBfxbZ7n1zP9blEBZRZVFk5ZSqu+6QtnY5XWi2gDNN603o0TREqiq5BCw +[+] Bogus access_msg: extra_timestamp_digit_1, SPA packet: /WolLs64c3yCZ6b2dTDabWxPSIzhU3cLGz/oefNbXWxdJlSUw5JOLVkev+fBcWxcUm3t2kVBGwStmr0HDPuv9y1+H5VtPGIMyO9OmgxbzembxGaMEwyaOoN/w20QIqqboIBXrymjCZqg +[+] Bogus access_msg: extra_timestamp_digit_A, SPA packet: 8BP+bFcQZAzrm1qxbocTE2xtVmH2+74Z2Gg/sLX36YbH2nAmJhKbhgWz8P4vvuYi/0jJ3L/BskKOoZVodLi047Rktla3KCPXLjyMMGdhBleZ2NT8mXKuJ6IyX6Q+3nS+Tvf3cqvOnW7A +[+] Bogus access_msg: extra_timestamp_digit_0, SPA packet: 8cRd7kCUtT/s2nFiI2bPOmPwuH2yPBw4WrZRsX+rpwL7YsXhUToI4ok2cb3nnGxwJaezPwAYZyfbe52yWQ4CLaOmhSvQVlErcAlfpgwDjMuaDs5yCDrzElbCNwwRIIr6Gj3PXMlT18ag +[+] Bogus access_msg: extra_timestamp_digit_AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: +wZnW+cFiZc020080/nZSaObDYTLhafhUFHd5On7KfYBEXZzGtH2HuUcWw5QHYcqGPgqT9nhZ2KIZmpcW7eL8iCvkx6TbNcl58606P8JOzP5dnCFP3a6kfQ+cLCqH9Dc1/Injb0W7/xwe4OLvIx8Ahkq9gai7whXXdZqsm4DsonIcv74UjDGEQsQ8bF6RxxbSSZtywg267DHtBWa8oUBaiXhQyTdKpM22R/RzIh0OAQwumc46iz4l/835HNUhuKl9WVD9zmX06xvhQnmYC0a4oYu90Tek7almEaYIWUPGvpTzw6QtpEYZ0/lKKIXH4emYdhYEz7yuf5E8xn4yzdlzQKqmFLOeb1cXGxoCAh99id7/lN4nSZvLT/+8UEqrir0BVDRgILvwS/4LIzDEARSDQCURXn/6hZE7Hlz6mePpkMGFaibsqv5bsI0519zDZCqrnlok1b+1d05/TTp+pN0Le5akjqAMnQQ4GzBdxHnyhyt6q75IUN58eCeBrPxjHRQ2sPFcce7MmJ2X8aI9skddsvZRD8tpEAiGZdPCog4jaOSC6KXDO3e11jQ4wjdejqrOaO5XFQamrnGc7BVOcfgG5AU8mIoQ/DoQmR+h/pyshisu1/s3C3JYx8iH0MrafPUWCjI/GI8u8qWfk63DTeJ7Qm27rwSlFpPaZDQuS8sNwmH7oF6fx17eQKBeS5ShXDTY0eg3S/+bfxGqo+seYQBcy3eUdYvkiN4B7kGlm4vewK+4BHr1Y//AokITHVVSopLh6JdZF6y7NR1pcwlVd129jinddCTXfL2+kHEavDpE3mYfYDo0wB5gRZUR27v/suoArZ1fhkcLlEG8+ixkrKm4qOBTuSpGGzWkzGu3xXgczQwo4zpYsYjAIMZ1h7urU3iow++uBfq2uPyCSIba7lqZRIsOiJ7tmixbO6h3zby858m2wFCG74oltSPWjPYDcfYk2+/ChD20l1B35byusX9nc131IU88mWWsMkaA+DQNepCtCK/IqaZ/IMU1ddhKXAr651KzVnF6/vsg4oUGIYEoCpvHAlpQio7Dikx9SoUoETgzEjbmkEepcQ0RA9Ad+uTaqKT5iLtWMgiypywnEPzTxFPFCeRSb9By/1Ibxgh1MrxYzMp5tyTBSzyYJcxBya45U0peXRWBdo/1sIdyLQX5/+6pku6EY5phoo0BcI3PSLrSigappnotw9gpqaGruL6DYYDtM4tQTEQIwflCl0iCFmJ+Q1Z0ahOemdiwDPy1M3vJKKsdgGjABWFgJXmUHo9PLNneW8k018qYJZ/fpZobKsx68dZS+l4Gt/sQ5kIUMfsmEacYuVV8NX52wur2Mcm5UZU+twtWgc4aKAaHCRXQIaqzUbqhjUtW7Jhg1MlD8xA1VITJugn8VH2DLapGh9+qUS/GPUV+paPzb9PPl+PQpZEulF6sfhc8 +[+] Bogus access_msg: extra_timestamp_digit_////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: 9vFu5pDc9Or3tjrVmtV+t6tzHXpnK1dr4ZzFovgYGI+ZB7vwdFEY0XY0JE8IKuBNwoS3QEBQJJoaPgojC2UJXmmHQfBDSrw4ON1hX/h50mB1/wQJJ+3tmJtSVzDlwkqbu1beVA0Meu9zUL9tVsQjZIZt7vUw9t4HRnXNQhJDRrIf+Qt1o/tTquk/sbhiApoZnMnTi1Id0YXKwzzW2I0hjZXgdCKrbd8SG1SE1IuL4MX9Y9//0vOKbRzAEqLT+JgAmvExUhkVDWEqCCEy1cvv3CLO6CkONBZCks9RvgZlOBXf54CL6DhQd649pwWeqsDvpabgS//Agz8MDDkrNobfzG3JRQBFgnQfcD4zD4Tp2KUjmSywyKlORJofZz/TTtRNPhvIDSCzNj3b+k9PyfvWo6wP1ezu4ii8uusGYrf8wCs9zrJO/YRLaRnM9vC6WlnY5aCJzI99Kz7TVrp1KH1bmU4jnlMoE7LG44YgkrEmYgxZNb9Wt4TiwD9AZ2Q7mKKcFa1LTOsJDyqf7TWxItlfEVeRNCdoX3M93Qo6m77zvKwxOZeg/Yjhr2veD6KJBk9lxntSBvydUKw2IoOpKYQgEpAofkBfOkxxe0Qw1xXUUiA8yR4TBgeq9cr2UgsyKHQgcnOnxKu7k2mUHUqZHYlBzJXS73H4WqyUhPhFp1wXlkOrX4/bbDXphMN0MpEYrOkLqDdaxNHgeAPv2UW3oWGE+3KyD1hScLxsl3bvP52tW9ZzQIvPEOp+pqWrrbRdZBlxHkbjUN9q4Yyjkz7Kfu73bl1IH96WJRiJFKl45XgpkqVW7OIrP36p6pHgPbLNNz8p5GP3czlRDWGfXJdzMDpWiej7jAI2TJRQfyJNaT7v3GXKvfNDEDE1u4fHFXo0Q/NQKTv6YQ5HITTS9WxjZb2nbOXaJhUV6oEbap+SWiH8vbHCl0aEMH2iwbaotoUCEhlDDP71+s/oI3bM1VA9N03nVySh8tf55v6Y8aPZesjf4Euw62J3itDifSdYXd2gXmNQElZFMuS+ewZJ3YOhIPu59icm0eqF3LCdMIZGm9dIZnV5sXTo+4ibjTwX1PTtcFqv1MBHRVro684b4OERbtGiQFueDYSVQB+mt9jDopz3wMYTvYJ9bvXMLHzBUpGlXV1DP/13J2xBGAJsZawekD0OBvqpGyC88cxdUpNRboZp+p3DFY7Vk5tWUggadRF0rm+whPsMPJmTKPLMQSdsZ5Z6FhId87VPfVsnChFT+1fqYpeMV7bhMvxXKUmJEIeQ+stS7S4HmO91034VpYpJP0iY0QXHHtTtAYSgt+T8WLxXwRedU8HGv8znfXft1n61cZCGrHHRZiVRCsP0rS0JR6CQXROV4azJq8q4qOToe+L7FC0tdvS0LgnIr6DI8OEsNow7dePlzEBA5i1y95OHVWuJEp7jTZYRqN3bM +[+] Bogus access_msg: extra_timestamp_digit_%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%, SPA packet: 9LO+GK1ZeS1TiQuzCZEuuEWLaIWaoQs7P+70vnQqfaP5w3odKerWvKwWtqC8AiGfhMHk706dHuCrcExy6fH/Pk2eSCY7Z8BJO6LhUqQ9qvI+6jt4mOX3Yv+SBisSJCyFRyna6+T6Qk63Vp2kyTgrSxI1q0gsoPkDRV1nZQKAG1fXHhGGuApd8j7LH5x2vsiP99qzDIY8HXLGIHs9RvXcUR4yxog/mOSHcRgdWpqIg6dRL3oTzdj75fxmFEG9iYRxGuWlDdBdotSbIr70Is4rkwHBu7mkkuGKUuGML+m+Vqeh4YFsCtL0nZUes5d/va746vqu8fDvzEpZ+9L8GdrUbFLVr9cLQrfQQ7SKfyqXFT7ztH33k8+1r8yx5pHBkagUik2RMKlczEQlM7cgQphQNIn1R77RHMEF/VrlZ6NnOtIUwueyLVp3bL2FK9H6gUkNM8m3OnemvTBY6cCwiZaOEDiUMeixEuT/m65hMSFe2s0wylgkFXHUo6roTAfWWOD5/I0Q72FfojJ3Oz62sZeSk7h+HGREWTKyikj/GSn9ijPTWCZ0N+B7mBnIp6TA5V3VGsooqB4sHHhoOw8838spc+ynO2In0cgR+Dw5YMHU57SeVQ/47WuW4obr3d2ciiLu4nlXALg5t5XD34H7dkm2VTiYv7Ce0qFVz6rjw+GT7iizp0Ani5PR8lE4xeJmBqESQZRI/4ki9WDmJtIPbF3V8pvyW4J6A2IRfr9YJZRvAynUnIvyZfepaVP8IxOJJ6JocXqFIRWpnobTvx34sYRdAlOkAmk/jCFAXATvRf0hJMkj4iXINBKFYDC/CK+NdkeMMvDeEaZXZmCGJe2TXTJKzsTJ+vuw2eEssKZzvJWfKywfl7fF4oPwcLKoDgcaPq7Gx1+tP2rUCMkOybx0O61007jBDgJVpim50V01GU78sts24pV0TGjl8Rt7mCKRv/k67OMHFOikvHvNruUPy9kw5RJiFk9f5CmxXNVaUXc7FsofhiAnzyDOHtSH4OKMp7y5Sq0bc1YiDCQLcwG75+4jkKbdpXGzSqqY9OS9Mz3ySA37J5nRegqjZScmtdYv2Sbp4S89f7mPwLPXeCR+1ua6/pTLXWeeBEG6D/LwPXiW+eSOdgoxHMSFokzMtZt66Bp32t+Z+2GIPTy0kUS1hEjnK2AizC5y7wO0/KHP2zNQKseXCl9YP+blGSesrqtmgye7TFAj6+NB7TbHHZptNhr2yh2BSvMRnFYrroHAKXZAnEuC4M2EpoFIRs72QleZBtLMWao3twQJxhGNahZUG4/pJRsEEVZr9aE/Zp4pmhNAGamZ/Mjmd3AjqPKaeKDjrJ/xtkzMQQc3Ha67e75P7Y23/W2BEtVuM3Elu64bDHvKx6FpTOSZFX6y7MGspzD0/4LksAHOxi8NQAN5ymFg6JbAKd3y/gxtIled8 +[+] Bogus access_msg: extra_timestamp_digit_::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::, SPA packet: 9dufanwzKXxImmS09bEK6Wu1QzalewbZAwO4B8rlXoeurwPoA0PJbs/tkixHJuge6oip1cDJL7FqujAqW+Zx3Y+2SI532FoGJd6wk8uqyF2Mkt0XUDFsQaqYzwrOqqUU5Ev1sMEEZeAizZdmrjwDSbZ97DoLOrJs1JZvrAi2+FQd1qgoP+2jWeLn2uCpYzQV8/RsVA2jkvjwPCob8zK6XCZutJPBKnKpUeZQ5QxaUbnGjNVcZWDkZm+NJJiYoCtgJ0y37/zi3CXjc5+KCwYzWYDfmHYs/ZRveewK8bWRbOdJxt1WvVsSmAEA0pQ5g1J/xb5b6fZPiJTimPlPiPgFAq5LABCz+1HJfsjKpMqOMGjr1TcdVIHvsCFjNkOJbWL66A4CjPYeQ49can8oEA5ULVnr+N0S4ZzXrcN7N2A0YQLhc79jDakg8StlGMuVzFVwxJiDTCA/n8rHUZHqVSroVTZov9HW65m54cW0tumhWW5x7FHlrnjhD0DqcCNQnNEjWz2ouzywxOm1TcN/zqa32JQhPFa80uPxjf92sOZP5vZ3toDFImHyxtphHBUWYVjSmMO11lebnjM99LN5tDraeTEEUT/1IQjKKL2AJ7HDpJFAJ5vAlALJlCLRC0RbB2/j+OLzs+oW4X7vTVbRL7CqbCrCbxXneZ+KoOzjJ8LacDryF4p+Z+mC9Hoe0MfhIQLiZu6oOU6pNdW/4/ND0Xav/rYG0ghUeJmQhaZJp6TdZRBdvNx2MH/i6dIKEi5pvdHxuo2MSjbjYWXSXlm3RjgEN/+fForUW7xRpuoWgrF8gq+uECBcrMuWTGh4BGBv8k2juxAEkwL1LFLXvo4Z5YqMYF0jK9jmA5mvTDqMZn/iMZl9YAYtQP1Rf5aD6aky7Ez7J8TK2zsQZTmcgjJhn6GGrowA95kaqTVU36l/aouaXCHjUSKGuuQTEVz0FJ9G75hxERX0tntr6FF6QACTMkIFPe0mdz80VWfMjcqfUTA/EX7G9d4Ddo3F7g6vULzRrfSk+o1siUySKc7MvVuUImRv9SWDv0EtieRv7fG8PgSbNaCww0Z75v/RZ3t2a7dKf2VMVVkidOHygE214uNlH1FjVUF8p8e5P0Nz18XyM12wKegqmWMf+0pWnPlKo4tRLUlKbxFGqnfhJNPE3Wts8M5co+P2G+wj8rW3WV+oYTRLzhTixutxfeEngWv9yPro9oJQEu9dkDY1Xfg8RVElaD+WQhEfCScDo2+4RtoCJoMoEeCg+WYFkQIXEjt76xeOxvzBS5bilKu9LxFVbeyOxt+p7ZzY1nPbC9wjD9jl7llWGn9UlPLk4E6/S5w75djbKhOvNJIeUDFyihF/ZCWqOuSrrV1x54zdatceO/1qKTRHZyhINn5kzAJQ3ctoiOjkU9JGddpbualAMdq6omWQ1osxHcp3pkyhTZFP8 +[+] Bogus access_msg: extra_timestamp_digit_(NA), SPA packet: (NULL) +[+] Bogus access_msg: extra_timestamp_digit_1.1.1.p/12345, SPA packet: /3WxEG0CdQg5aR3hiS/YHGQm3DM00CGN8NOhsaYem503VyhqAG2vZEdmZjy96UooHDQhJTUC4DIibyRSdwFZdh39nWpDgqaA5XAOBsECcQMeibG54x8VupjDMd3HCtb7cfxOtrRLVeXwOOeRt/2DMO8FXTBcXmzjA +[+] Bogus access_msg: extra_timestamp_digit_1.1.1.2,,,,12345, SPA packet: /vsAHmBLq3iSZDqd9TSWW6ZNZYA9nC+EVQJyOO+pSAew9ZWMc4WpV7URsFc4DsdwoTqoW9Zywo87J0rUqrMGFdX6B9jIql7qbOhvDq8ii7Nf473fekxXSc4kmKyMXGwgeON8yHP469EYdWFaqhtbSRLkUVH3dzQNg +[+] Bogus access_msg: extra_timestamp_digit_1.1.1.2,icmp/123, SPA packet: 92J8FdkAeD/5ihutWmA6jN8RvqYqYsEPRlVqXxD/WFzDDRYZQgnxRjmzFYApGgyt39VSjnQTJtosoeUiFa6alHFKQa5caLuNwJcxijFn26tSP9Xxd88mvifj9pDGsMj/u0jfZXdI1XDLR8DG7/Khzo0QqkdT+XE7c +[+] Bogus access_msg: extra_timestamp_digit_,,,, SPA packet: /2eKpnbMDIMbwbi8IEOn5djbH8G2pFitdH/MTpKkixPFAjyk3/Km91gF5chR4kNwFFefR6G3D+7bqOrq2Em8RxZRA45M4WN21d3xLDlrLS7yFfPR+w2PxKERVwxISC06WYJxDmc4kn7g +[+] Bogus access_msg: extra_timestamp_digit_----, SPA packet: /YXL48KOzEPe23yP+cY0U0Agxu8zYL6JwNjwPQcrklaW/15/0y1QIFslmeVhuko64rkmQDORDgzyhRfD9YloIv2iv2GbaApoK7P8l6yK5+vV6E1UCP3ng8DXvyKSKxvkJveSWey18XOA +[+] Bogus access_msg: extra_timestamp_digit_1.3.4.5.5, SPA packet: +M65WLWaFFslQAQFi8ukaizAVBv3Z///WQTNiJkvI0uz2SGCwk8f7XiJ7StHK7UwNHpfGmGDy814XgdNKKWfBfiK7n2cG16OR9wcXKdSf/qvqSU1KKmFgg1pA3ZzFH8RRtZqU5YU0w/seMxFNs2Rxw7F1EPYAwP5M +[+] Bogus access_msg: extra_timestamp_digit_1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: +p97uc5ErXnHNHmseuXLIxS/0c7HKb99jf2RmnYaGtiZQRSrYVxH0v7NwYkbX0QfAp5hY22HATRV/44kNEz6Q9Q0rm/UNUIwKIDKsz1C4nICBvj4oBN1mNnJtfRH64HEgWuKEv3aPWV7rFrZFZrjne/ZvM9Z0alRnlp75wnd00mj7tCeZxBoukBWmpEd2wErbHD96Y/OtE2Lvj74SpieYDBbHj6XpsrX2P9TRBLHpY7JLIk3gC0Q3mlaYo9KzO2SHboN1X/9Pe3Kr86KOKwexBwx6oYSogeEV3mldtlVBCR6jpAHJb4K9f4/jEoCrhGOkBHYFKy+QZEA +[+] Bogus access_msg: extra_timestamp_digit_1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////22, SPA packet: /OhnXttv4w1zX/ntNeIGE1XW8LJC3qQYFofwDUul5txl8NdQxwNSO4VuSk01IudDI5bHKFOD+C2u2Fycd29y658HPHMB/UMKc0Zw2bEfPs5fpkus+Rtqr1EY+md+iEOLzlahZKU0yTTHxHnoP5U23RToezSBv6iqIlTYx3Pk9Ll+l8482IwUq9qg6yL7uVNX6D/KlAFXrTEQmN/HrHCeNR9HJIglcTYJ8qvyMHVTyuHtHs04IWh5kvTc8IU/NMXyeqW+vrv6JPSqGkF8X5Vo2Ev+QSYypX0CZ29UBMl+z6fKmKIPcHkWodAaZ8FibZjrz7LMpl/sblsQ +[+] Bogus access_msg: extra_timestamp_digit_1.2.3.4,rcp/22, SPA packet: +8WseWQzjpXbOM0OmyMsbgJBawyKe4czOBJSJTHsSCd2s+bxNFq2Ei0c5zWlhmrpFaihSmAbXj2OHc/xKPwH3dY7XVH2vW74MaCj681qER2vf6s3glGvKuGigVZkpr9j4ich4IhHRfz/7NlTGtAtDS9XoJRuO/ZkE +[+] Bogus access_msg: extra_timestamp_digit_1.2.3.4,udp/-1, SPA packet: 9/u+xUgjL3N0zg3DqL6QKc7m1FpkhdHVkG0F1fbwJrJu9xSWM77VEset72v260Hh+21XRzVF+G5jdrOhIqHQCoXqkVCW4Ll+3V2TY0D6Xbt2l2HaXK0GvsJUw+Z813X8Sk9nzhUcWvMl4ZxmxQg9v6aJb/XGFm69Y +[+] Bogus access_msg: extra_timestamp_digit_1.2.3.4,tcp/-1, SPA packet: +HPjroh0/7D4thUeg14DGt34ez3iuR0bBdcP6kt3Upo2wTbJz21RCwfASAH3uLQGUNE5sahBvwvyk5FBi5HiY03pnfqmIk63U+x1+J6QIX862rUGZNXvHISfVKSVPYKkm4ylpkUJcrty+lk78JmyYO9gR8K6B9GG8 +[+] Bogus access_msg: extra_timestamp_digit_1.2.3.4,icmp/-1, SPA packet: 9gow1KL53hQ0mPiGO610qMHIBTasgZe1dbBReApJzlxbHIi04WSomQ01tNk4nCaRDo3Es/fudMSoffji2Kol+IP7S+2pBC/SmkWDqj1/ELhc29cMAPBl4LJcd4EodFmJIBu9YhRpnpL+mcdB1wkiupT90WiDB2ots +[+] Bogus access_msg: extra_timestamp_digit_1.2.3(NA).4,tcp/22, SPA packet: 9979XqbYFYnb04s/oEje3jarJ+IM1CxD30MquAd0uP56Qs/1Crdt7uGt0OyYrDxu2OfI6ohRhSK5fsAwDyNl0+33OQMH9sodubBRJ/vnyB1ada+ApDEjz/fSYutoc6vRglyVRkp9/6Zg +[+] Bogus access_msg: extra_timestamp_digit_1.2.3.(NA)4,tcp/22, SPA packet: /oBu64TK6kzsghcYlUcDWWSVo1Mu5Lumdr1qiGiVv+QSKsqIUXUVswnBEDMU5qQU1m/SZu/maLDz2COU8cizZ/72tfpl2p5p06udzTdVCx47tfWtXNv3v+r9u/tdSUIjJ3EEQG1H8wag +[+] Bogus access_msg: extra_timestamp_digit_1.2.3.4(NA),tcp/22, SPA packet: //wBec9pyWXKGRtall3QX7B5KM0XxE83BSh95O0nQuW4PN895PnFYIwDQV0F6OaqfKRXdaNmO40pTSPyCegr4CkPtS/h5zBZxwXxXN/5CPDpl/dACAdNjzx2MSQrpaZho5VvT0CGwgUt4jr/T3/kaEk9Ap1wa5Sms +[+] Bogus access_msg: extra_timestamp_digit_1.2.3.4,(NA)tcp/22, SPA packet: +4rgeCRQglaAz2+cinBpj3iZ24ymuvTJkamVBa5vXWBB4OKEHlKLSzEdvqk9E+I4TWr1GLnRBn+vX16bH84UpJlCL2Gpyg3VN2ht2CJTISWAmBCQMjgNRTLw3UVPC208UPGZZw7wdnWCjcLDT+97Td0y45WJJ2hS8 +[+] Bogus access_msg: extra_timestamp_digit_1.2.3.4,t(NA)cp/22, SPA packet: 8XeFWJhUCFML5+Ines+QyiJuH6sJIfQPwd0dz+FMKtCNf/KsB6Z6Okyp3Lf6gPbAndjXRVhthtfDpgeWUBMD5JeggGeASps5aLDlUw4Mqxa4GdBmGrxG0VamZ0Y7UKDU+Fe4ow1vD9BMTZy5TUkEJM8mIxRtFkF7M +[+] Bogus access_msg: extra_timestamp_digit_1.2.3.4,tc(NA)p/22, SPA packet: 8hq6zoycqYRV+Bqc62EJDm+X5DGObaltJot3N3cS0yRW47AJrwPRPKwec5DxZpOzmoLjMfmYeLn/IXdYek8SqD7BSwmfXd/78hfXqcbq9gdOJpCBXc6p/zu17eNZ+cPxnFHRXmHHzOegwc9D79AkrgjKvm/ptLBgk +[+] Bogus access_msg: extra_timestamp_digit_1.2.3.4,tcp(NA)/22, SPA packet: 8PRzO2PU+jgmpqNeWGtBkVda4p1sCq4r/dcwM2Uxrc2FK2R9yMZfloK0gqQdu53C7T7iX5tzMImek3SraLL7EcR6lq84EbVseJjc/UxGA2PWIMs49Dq4FBC5fH5oUCUm2e0+AaphZFrwEZ5HK/wbJSC2qe7oH+YcA +[+] Bogus access_msg: extra_timestamp_digit_1.2.3.4,tcp/(NA)22, SPA packet: 9MYHy4V+i1mCa49lunnA0Q6GOMVH92ubNua65VVhEwVjZpYkXHBpuaZg6tBfA533ysyL/1Y5S3ckOPGLuHD6sT4HxHWxu62E8dIqt08DQ9SeDMq+L+4Mh09PJkITzkEw4DnZfF1YlrBVNaZp1Z0fSYDaaE1fNFXIE +[+] Bogus access_msg: extra_timestamp_digit_123.123.123(NA).123,tcp/22, SPA packet: 8VlVKfuKl3k3qPM8cRuTH5cOYqFN3Yr1xN1gtfxDyGYPwQJLapK3W7pG9uc0YtERxkf2kMUTlT0Z/AIOukpMJ2K+Blx/DlSiB6eRehzBDcJo6hIetNc0ZT4TYaIgc6XPH2m/qrNWedSQCIjo+Ec50zvB3VnEEngtk +[+] Bogus access_msg: extra_timestamp_digit_123.123.123.(NA)123,tcp/22, SPA packet: 9ElsGNDgE+kqptrnbFVshORv1TWxmoeRkQ4c1tdx8JmLyCXGfwcjy5E+dxEuguiIDDqoOoKDSnxVmkMNyM0ODftbHpku1/+cBQKFqYTf7MNTl7JPCqoXiZgszDVjkjGntaNqe49+5ecPQFY4vt1cIYbjPJgpoWHeU +[+] Bogus access_msg: extra_timestamp_digit_123.123.123.1(NA)23,tcp/22, SPA packet: 8Vfr5gko+jiRZ54imU4nPnoRH7o0DhkcdRhtdJNP/IetS+QlweVTdelCR92N7umq0S1T4L8YvxKm2WrkXjHMGtkU5/d1QFVsM+4nxMpEQ5cF3VGZ78gknuRtWHCaRfTzj5O2eWpmrQ9i592I7xndpw8Enhx+Lz4VA +[+] Bogus access_msg: extra_timestamp_digit_123.123.123.12(NA)3,tcp/22, SPA packet: 9w+xcFSpgF4iH3y25vezbzPPWFFOWbmp3LEmp4p6Q9ySPD2rYHsG9xcuPHYJmXI0IVfAd6Huxvp5e4mBRoUdhpv/W4fJT6fDZgBWWV1p2pLTSoxIP/mfZ7bbjn+osu7RI+G3m7EndUfxRFxYAB51RTTcDU2G2ZR6w +[+] Bogus access_msg: extra_timestamp_digit_123.123.123.123(NA),tcp/22, SPA packet: 9I+c3mm1djEm39EoA8EwJrbj5FAg6z5KqnMi7CdHfKsGkGe/glyH1xPSA5MpZ77wKyGsZOmYMV3BDPLyWERAfFVwC6D5dqAOptrQJOjHsNYKLs/3WwYkOkuKJdlPkpltTJB6w9l8PuTekFO6Iyo822RttOhfrBtKk +[+] Bogus access_msg: extra_timestamp_digit_123.123.123.123,(NA)tcp/22, SPA packet: /h8Zz+ONR8eoKBQPFZMbioXWVGjmIrlLpBEotPnjjdcyHQqqS9D0bHH340ZJNWmz7DWqCRASsKD2dgBIvWf6IRPJzDrwUSSxNXtvn3eN954VPZoLPse0KPCzpwZoPR0YzmaOE8lTaQdG6TySQXycf7b7qZwZhsAho +[+] Bogus access_msg: extra_timestamp_digit_123.123.123.123,t(NA)cp/22, SPA packet: +lW+grJzxEAOXPdm3cBbV4BA0RVj7o7Xvc/4z+mmQByvrZMobpKMEnUaKsx3IdPsWWsEEh9wl2Q5jq56oM5BQDLOzHcFLf9yuY9Un0/AXT/5vNknrA6O3Tmcbh5QxDYpDgdgbMd5j9ZTeb6RHysfwp3z3FpAY6wgk +[+] Bogus access_msg: extra_timestamp_digit_123.123.123.123,tc(NA)p/22, SPA packet: +Bj14iRKXfO5uKcv59fNq0Vs1iwiMLBRFGctmhljS4gGXDOTMOoAvURVK+wDChMWRV15aNsByQJZwQ9IU6pQrKL6abbQg3PO5dbqzJ6cog0AqbqQ4rjwV0GD2WX7WsIBlTrFt1bl0ZNxfI3XBa23nKKSRPY49Cnfg +[+] Bogus access_msg: extra_timestamp_digit_123.123.123.123,tcp(NA)/22, SPA packet: +PWdopHfElIgWJ24DzVIccRtAtYowN4eG+vboAIdfs5k7yDC/39hIIUD+Z/vL61o70Gl78PV8B7cQ3NedNdeEOOwgE2Llacc/EloS2gBruZmCpx+p0P5Tyr5NBbRI7DqDCMgXGsxsozmrj0ApktYHyR1vF3mukLA2PYwM+RLEyg/0OZAjEsx46 +[+] Bogus access_msg: extra_timestamp_digit_123.123.123.123,tcp/(NA)22, SPA packet: /TbfXRtBUsVE+MVXBv3RInngAEMdLMBofKAuMjt1dnXod6cvPdUrJ09opV/I3wxvIbTVUMPlvuwFmNcKkeODtU0grO+N//k/Ng35egyaQWkVO4ql17suA9en3Tkim8SzKwPftZwgWNfJeicQjK8Wj6RIzQfg8Ettq/Dx1pZqt3Zjzc8nP+GYp8 +[+] Bogus access_msg: extra_timestamp_digit_1.2.3.4,t(NA)cp/22, SPA packet: +aWL2sCMlbjeC3B/ZqN+2bsGt3lvUBLgEqZN6FVWMXn8o0XeWNj8nOb2L5MPcZFeNKBcK120zdQHAqsGB4wx90XGzzGF8pD21IY5dKnFqof/fbleKidRwSKttNiHhDQqBuAS3Y5x1Vx03ewuAG8Sz8YHipCvnLf6A +[+] Bogus access_msg: extra_timestamp_digit_1.1.1.1,udp/1,tap/1,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: /uRj080m8NMpMBe5ycpO7zmxPvKX5XxTS29BRwQDViAh164Y+R3KpgRE+B5ECSC5h/Chlv5gjtfcu7wLl8YgxZ+jdJFS+FhsygJ+DC3sxgf0/Ur35JUagEO1TxVxdsQLrbQdOb+rFgokM5t60MSWVLoJKUOMoLmHFFLAQrvaMC0IeTpRXftIgFGHwdsitS8icqkbHqk1DHVqZ/20hKFi9CJ4fZyCgLMQA +[+] Bogus access_msg: extra_timestamp_digit_1.1.1.1,udp/1,tcp/-11,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: 9Pf2oesLOGBan/fmrmoDj+uYizKO3Vz3kJ6h+dP6JFxamR+SwhzPGib7/ujLw0UsDnLTvtSnVW7620alPTT21IPBjLd65CGK1VWi8RBUXwLyPqA003/kRNtRqjkn/G+QCrU86mddmxTMdjMyNZEWzAwhw7Zi6VddCLo8YAX6uY8b52upzFIC7f9Y9QIinmf2ej4nYNRlvk++2fC2UzB/oQxEH6NOqjZq4 +[+] Bogus access_msg: extra_timestamp_digit_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp/12345, SPA packet: +74bRU3+6vHRhh7S2CO9K4EcC/cR/fcJmu1pEkUX0D198L7KnT7SDB/E7q6fq70CVrRrQJL9k3mN5iSAjm0vXF84TyM0HqSJAdlivl65LpYgBd+rKfh2jn9RRBLYK3RNky1yfCtIvWrxoec6jRcaQcmeLAg/qriyhoAitXFjObrpKrJr0s7Nb3xx2tL/b+W/96GN/SbG90uOQI91pvAkYSsj8cPIahkQA +[+] Bogus access_msg: extra_timestamp_digit_1.1.1.1,udp/1,tcp/1,tcp/2,udp/3,tcp/4,tcp////12345, SPA packet: 9TNlQoERoC3/po//xBJcrsZZ2xylubvzRFg9S8pLMhcZzoIS22+K/PWcQ6/IW1M4pNVgdQC10J4pxu3A1rFCJwbVYVF8VK37upSLBlj7TXWfqY7d4SIGRvPFbeV50r7kMUh7Q5qj+jiZg74rt/jOv9xBwv3JRgpZ1O6wXkj49XwPpYw8QKfUuwE1lfXv+usr8aJHAAU3ZdJPX2f05WQHrq4J4J5D0r7OE +[+] Bogus access_msg: extra_timestamp_digit_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: 9rytLoTM94dJJGGbudqsFHy9qUCTrwXAJ8ziZyR1EP80yx6AdeqKg7YAtN+df4taMEAfRFHt4HX5cugBWgzlcXqTz++H5VUGyYP6IoW2yJzcbpPvNIIbHrrDR6Ny/ivKOCMEuRGur9HeoslFsx2zNnodxwWn0bSqykGJh67UxFVdWNXovcHmZPn2dm6T1cFcmSSfTKTBP6V7xWS5KAyVVUhjlJFWvGd3k +[+] Bogus access_msg: extra_timestamp_digit_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: /xhjg5i5WMsRDcXLHDDdaY1cIJFdt8NQkHM/xNPvO0k6WQcoyuLSjq+sLMmLl2Nw/FxQnTSzyz26t48FoMVUkflvHixmZvAa8v5S9OJv888tDM5VL7tJS2GaH1R5Jo86joTpkQZKhcZRg2GkMB17SI7vIRD46nuzvZJgWeDBiL8bpyLVqphOB6qyJprNc0umTROiMO6Gzkvjm6sCq9TleMtb4RE1ctvPI +[+] Bogus access_msg: extra_timestamp_digit_1.1.1.1,udp/1,tcp/1,tcp/2udp/3*tcp/4,tcp////12345, SPA packet: 82cyLIH5t0z729TXBJBULcnQ9yOqSvbdKCqVRD5XQMcohEkf4/Av8rerk+sp05XLq7+6No5lnq1YrSg63VIVDal97Icr27FTLEfHzxJcjfnLIhSMBXPiLF66QkKN/aW6H7XyjKvwDSdYB+xvahzmfqkGP1aqS1zWmrokJT3mQghTAsyTH8PZqG7bigLZE1C2lJP7Q8PjIAEypFe/X1NrFphNZ+ds6qCk0 +[+] Bogus access_msg: extra_timestamp_digit_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcb/4,tcp////12345, SPA packet: +myL21r8F6f41dNIxojERkyAJCFOmYT+OfviFkqfB9HTCR4OePS/n/vnRePYUB/Oove0GzmnqPEAA/SqSoyLVJnR7GqrQ62+yEsUnfKPvcRk/E1L60SuADDSY1yfOEw3GwGbDgNVwPHZR41Ycgrv3WfchsgReyxR+HhqqZmxqZdbPN0XfdDCv8I8k+vv0Y3H2/Ly8QG4/3+UAnouVcPwMmLCx5SDqhVMg +[+] Bogus access_msg: extra_timestamp_digit_1.1.1.1,udp/1,tcp/1tcp/2udp/3,tcp/4,tcp////12345, SPA packet: 8648n8M19u/Sf4A3j+zZ01jRwGv1K/W1KNz7aQDSglp347PYVUYFVGFkSUh39G6X4iU78HUFoSupOMcMYuPLN5xf4Ph/qaSRXV52x+S5XmJspRQj1f60rlxliwgyTrDYrswS4zbh4dCmpbf8YcbPa3wiy0dQR6zqgKqPcc4j7m26HbI64NzixWG0vwgBm34ZgCw9/qQ7V222vtifoJYEfuDtPYETqP/3Y +[+] Bogus access_msg: extra_timestamp_digit_123.123.123.123udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345////////////, SPA packet: 8mUAskWlChRQpnGGNQKBDV31BXu4qhtINjHuX4tzeIarx4bmTPno5TGzSvSG2xneQg/MATmvZiUtA7D/zZGsxWMTegiSqLw6lSg7Jz8jvAhd+NnVDNYJFqZJ11GStkyj/cspa2uSgVtGiVIikE2uVkd5BRkSKsA/0RWeStNO6MNaS+XrpXp7xESfO/DrcTkdWbKZ7MYkodmB16Eak51WvJu7Xb8bSSeCZimLbBOV/CG7ocoSE10ASlj68aO5PmCcOeo6UR3q5rOQ +[+] Bogus access_msg: extra_timestamp_digit_1.2.3.4,22, SPA packet: 93Sqe8oKADe6l82ECrn7J725KhWKBHq9oQGGOKFrtbiAmWIRHh11bW8o0/wU0tB2g5PlTskHIF6KWrsUJ4x3IF4TKC4yiFQvErNpb6nrIm0m0DCNQQAfnkzKdQ/2iYVdyj+TY0KoTtPb1V/43WZRd5wy3FawWWdOw +[+] Bogus access_msg: extra_timestamp_digit_123.123.123.123,12345, SPA packet: /SzcN+/yGtIM1qZN/v8QPD9/Lxy6H4NpY9lDqo/b6NUqx6T+Qaw15CFnxQDpyU6fbt7+s5IL6QbsIrvbSkLI1WMEelvvBxOgUQbayR2hniGVEipmba0gQhSSEJB3XkcAUqn73WXGuqZ/hUhyD1gicu3/2euZ1Bu+WNYMrJWM1c8F08FXuMI6aJ +[+] Bogus access_msg: extra_timestamp_digit_1.1.1.2,12345, SPA packet: 90QXTdA7eVqVT3LWjAmVu6CnhJI5iI44j/Jho59NT6tvUNxlUDKYw0lJw6jNOYHzZoaWEdEAhTdeFqedvItyCuabI7sQ+Plp4toPUlvVn7gr1JVfn/cJqCUlfJow6s6G63QDyZ+T8YJD76EcDKFStHyllyJu173Cw +[+] Bogus access_msg: extra_timestamp_digit_67, SPA packet: 9PW1YIKdwIXh6sTrgLPaf6y3y4FME/jYjZ3FdrpQMPH3g8dCigq9rnjQIqYdUkuyT9PqyE0JvOL7AAFY38jGiKniyPy9ozrDYnJUSMY07R26OqkO/osz7/aCUYKPeOBjbYJGhHC8IALQ +[+] Bogus NAT_access_msg: extra_timestamp_digit_1.2.3.4, SPA packet: 8mGWW61dTwtgyDrU4xrLqSO7GYtmiWrP3d+7w8z/X2c9DyZU/KikofAhwiYYyVZhVf8Ni65d/SBPbaC1F7N6UYuUWh187KI0SBYRRXvRY9LAcoMYOR6LzDQyj53x3gXpgBIkzi7IIKp4UcRmH6g7R4v7Tx8TegOWIHG1fEIr7s27v6KPIIBWoB +[+] Bogus NAT_access_msg: extra_timestamp_digit_1.2.3.4., SPA packet: /5qNX/AJ9jtKvA1hOMq8Q76G3UplHN1/xOhsI7Llhd3GuC8sX6iBCTEOQU0lAqBSbDjJZJRMJynBw3HQwnuREep1WBghweJddTlBkSFFEBgKxf4G+ohM9R9QA6yjL6UggKkd4ZJ42RKxB8vDcu5VRJmW1k+5xrbJo0/3zh+6Hh/Dd4s8SmTT7w +[+] Bogus NAT_access_msg: extra_timestamp_digit_123.123.123.123, SPA packet: 9hngZpPIWUI7mkVSF+HVvyXGQU+zZ56Mj3qUP9/B12xyCIsq72MmMy7POIHzRim2yee7spYrtVuw/UzHUnQDwVa3WMBS6ho4Eu8OBfRHhqQ6MHjgPh+BXZ9rC+iYgyF0wnCxxj2Gsgc3bX+vhl1WckC1GRe4GeBBiK0EePPGd9zWhlREbcuCVt +[+] Bogus NAT_access_msg: extra_timestamp_digit_923.123.123.123, SPA packet: +pqP++Cvz+QwdtxQgTO1Rq7rRtu0k4PzEQokhihlZ3MWmM3Swj/EPIve/MSJbw7dLa5F9dIrHJqFkz9iVojWVu6ubYLkNevTIcfkr2QAsV3iS39lS0N+GJVCD9cKtutqMIJeUZSrMlK0pIa3mVpqh5HXk4If21CE4glzVLnS8haejiPHjPtB4L +[+] Bogus NAT_access_msg: extra_timestamp_digit_123.123.123.123., SPA packet: 8NZuIhCST9byRpX9YTtwT7jrWSo8/4uJQLSxMoLvP1XH+G65gWVy7HLBrHCMOsnJmPCWdjkltNU2TZZpiI8MrH+dfvKb/smLpVZTTdRezqnv9g9+XWH6HYzFmvugF7QR64/OXcpZZnpcmbUsVNrk9l4aRuEPoiQauDMF6Ofr8BfD8jHJqbiKg1KjeGL7d7GdMMo2eSWco93A +[+] Bogus NAT_access_msg: extra_timestamp_digit_999.999.999.999, SPA packet: /PLTFIAXsjwVIhZzELYpQS5GD03UsO+kUVQvUdpHXq9ayAhRZexPKeOPotbxpp9Eg3KslKY0jEpk7sJNDz4q7lwyWfW79p8ZWV4QrLU3C4duNaGlv6dOM44jqKWImv3LK+N97I7rAlaDn81nBqTHHj3CxBQLGv37UXLJdtnk2xZqiXxkf88Y20 +[+] Bogus NAT_access_msg: extra_timestamp_digit_1.2.3.4,tcp/2a2, SPA packet: 8TfRsQoCEwUYQXm925PgZtJS+biybuVnIRhLLtocEyYApygHUqSfXua8NiJ97J/VvwdqjQo0KBMrnqoSAMfjZtOHNgaeD7hn0QI5ACU0kgyxfFs9wjpg14shELqmt/XIGZzWJO1b/ejH9ZUIeoOkdCD5B8QSVbh7wgltiQDz2ii4QfA45MgBFv +[+] Bogus NAT_access_msg: extra_timestamp_digit_1.2.3.4,tcp/22,, SPA packet: /X8fdv7FRsuZeZMO+CacL86GO7tx+l1sttyyWtn88o4B/mYjxA3fHrn7BywSWjzlu5kfa0gvUV3/RgpIJTYyQi5h23wlfu2KXHzZ5UE/6oB289aOUHY5kbMlNr/mRRPSysaCy5fvAkd3Vd+ldRcctbyQaDAZsQD42r+R3HDlisW8OeCg4DmcjR +[+] Bogus NAT_access_msg: extra_timestamp_digit_1.2.3.4,tcp/123456, SPA packet: +B1F069JfC6jsbJWgju6sKFjn+amOa3wM6UUGOG2ZZr5eYJlI8PoiCX4Sxz5/ZcWIrHCdtMkn9JPlbG0XFX0FgRF4fh6Aa21aF2HLHao9teFHWsHiRjl3XulIYJyMwWEAwq8vMj1SkTLRxQhSXO4B+L1d6z4lv3iohIJKaNGqv1Y8qJZAkIAbT4kKmSQPys9acP3owfl0OZA +[+] Bogus NAT_access_msg: extra_timestamp_digit_1.2.3.4,tcp/1234569999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999, SPA packet: 8o9RMEpic+jijUTGWgen2ptRl95H/WhTCCjso9pHn7pe4axYrbRytvAgjFwSUpvlt4wYnSCYgPxhn5iI+WV0gck2dcpKKoXXgEjBMILv1018fjCPH/P+Gp7T/NMXB6nh1SxTdfqel7To7aFpoOVcpnSbHyrPIWmiAl0aN0s3AyCMywyI5PlwfRUnYch0W6yiRToRA4PTvwhtydOCJFtpsJQpNC5JieIWC9xS3beoNp1S6o72eb/Sap4UG35xA2TuUzz5EQfSHMMTEvIol1EV3RYA73IH6Xwux/kO5dnfI4QLwi0nnTIQuiZVlgsCdM811eYvGML6qkI4/rTbomq8DLjPN8hiQCrMfUDfvLw9S6st+nkm6bVPCG +[+] Bogus NAT_access_msg: extra_timestamp_digit_1.2.3.4,tcp//22, SPA packet: ++cmMP0pdQPaWKAi0VhcLdKaYmqStsl3BTCSp486tPn6m/aMBtABI25BgQY3AV/N6vhFH1VSk8huLPHt3xeh4neaSLP1Ae6QF2kFDL4h0QVrrZiAMW5eG+jZbDBGf6qMHFF3oSzu2OfFwK7O51Efj062vCJnGafolALixNMAzDr/052cIMVzDd +[+] Bogus NAT_access_msg: extra_timestamp_digit_1.2.3.4,tcp/22/, SPA packet: +I67dWXT5a/uw/VU3B2ez5sffRcwMctIpp5FlrDbTK82ov4ZcANJ3RqTLSstI3phpfZZVu04lZu41cIutfbsRl0VbpGlIeFoamvhFDTHWj8MFo2K59WH9hDpcZwFUk4IrHpH5tFvHWlxRwcieNhAQ8cVnIm/Pj4akO2YzIPG06Y8Al9JG1ovad +[+] Bogus NAT_access_msg: extra_timestamp_digit_a23.123.123.123,tcp/12345, SPA packet: 9DkwRPClo50mbg8LyxTQWYBgCZN+vSytpf8VRUY9E1W5fB0b1+2gKhghn8SwWacXLgttOWPCpy07FMm2H/V5ueRIe1eaulWoy94rTm3vMVfH1a7nHSUSzpe7jqMAzG64EpwGeBo1kF9CM+WOHB2ywtpnj//nkJEhjVzZVHsKxd6PtNebjCOznFTvplogjBsmyO+TMAsTbZwQ +[+] Bogus NAT_access_msg: extra_timestamp_digit_999.999.999.999,tcp/22, SPA packet: /hLhNCqaEUnaT79F3rly48Qd/yn7OfrAJzDGYGYIq4mu0Ambk5VrwE59XoYpZ1QwN0NM8bxZJL66NcqXbomD81IOeeRTdiGqTSrYoWtKdoU6bEmfvvLT8VrS0d22FenQCgsSt1w/0QBSXjaxYpTEPVSZPfGLYWzMKqmwn7oZKlzUWryt5kDVhIsGJq9xQAk1bKmqK5qWP+1g +[+] Bogus NAT_access_msg: extra_timestamp_digit_999.1.1.1,tcp/22, SPA packet: +TAH7WJQqcftrGyZW/TQuYoyVdtxsDpm+E3PLDqMOy+PWo/x1mS5keXTsQahmR+Ccw9dJJsUSsHt7YZnypQmz01ocfBUSjvHoIudDKq89kxCa8HcT10QVdqd2t4BChFT4v6KxZtU1CCzhl3lHNuW6pymJHpA0dW+Q5uJfJZ3Cu1IY5ix06qeVaKhRM4mU1weL3Jr236dpE8A +[+] Bogus NAT_access_msg: extra_timestamp_digit_-1, SPA packet: 8Ums+nscRuNKUZVYbVP48wyxQMXAHjwUfDwK6Z5uF8NAs92ShXqgD46QA6V2/s6Kgjfr85yFq8Pv2RuYuRKVGiYO59Sq6/gE8gPmao1OX8aS1giNmlEY2rxXrSPUvlZsUyUGbRyHfa2nRevzXlvWRNVnIOQCJMzyQ +[+] Bogus NAT_access_msg: extra_timestamp_digit_1, SPA packet: /6P8FWrZKQIqrLqU5DHBIS2EkM0QkPLxFyotyraZaZlPuQE3tWZMtAKlXSUICmkTVUajrJHFMhmPoTsBnug0Xk4S7M7aRDNrbcJzxpZCmUNM4q/5GQAQ2Scl3qyUbFVpzZpmyGKUOzzQIy7/Y0H09yNIM3VUYxglQ +[+] Bogus NAT_access_msg: extra_timestamp_digit_A, SPA packet: 9A8ZQZLXhdkQuLbxVRqaS5Wht28Gh9vU6yjMOtJaQIhd15X+0s5oLMbN09HrSnqUoBoa5kyMBtkOgvj2TorOVOBMPW36j3JKLxlguTQwRJ0lvImjtFHGjq1Ob2HJQsWU7dGLk/hn3/t05Db7eGZObwwqJIVYHkr94 +[+] Bogus NAT_access_msg: extra_timestamp_digit_0, SPA packet: +QmrkeLSreiQJaGhR5jM90iMEE5M7v6dj/zwUnJIO5tCmMvxSaU9TEeAvkWeuXg6ztWZsl3wULTT9AyEyLQLwPVdrh0n5coee4Kg9WYdgsUbVw6dlc17BObGkpsvZCmXshT7MJihad2zNGrNabZl4UxV1KRShWfAI +[+] Bogus NAT_access_msg: extra_timestamp_digit_AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: +ULL/5gJrH35psdiVwqZWldyFOgYZv9xwta5RaZTdiXox4db0kyNQB3xD1P7ZB1CNyDB0qf5w4jx3drWhAvNhZiIb0jPHfH5BNjNbRs/AwTn37eRtBCAu/5ncrwkx8X9yCkBfsll6gq051D8rO8Xk/iHGgA3ZTCHNCPeTXwG36O27U5q2Qee5DlBQdNokT5VioE8p5EB5CxJr8O/+T22bTgz2QtlewLGNtsQ5Du4JqbBjwCr+BHBhtXfVvfjydwHXcggXrwS+ZfRsr67nqsTiC2DKq/XzwqceqEXiK9cRjBeY81kGz1tCEq4HEr/90fxkZoFpoLauwxCxxbKvRPUNRG5rwz10EazzdduPyCCwgIVxQA0XDgxZU8fkV40mtOIwPT5ZbXBymbj6FCs9nDNIjNv5H8vpiroaD/vXoJMpKHiZzNnoefwz6VKz+G+9OKQHUEtLOh5bsSlb0Yw6hag97yAEVeBPTm8QNQh3t1bXt9/lQ8h7mpkDsxAJiabqHbawFjkMXGP+a03ljEPri9LRY7OZDO739Yh0H+ddotCrwP395mS/xwvHLtIGrwvrJnnIvg82qBSlAHS4dZ+QStxfuxAA5ztJyeEWF5Z8zLdMwxDYutAOcAgY3oAzSozWW4z64XSrcXMQMf0FNYs9AJZxZTv6nWEbYdfwKMQODv0wEcgc+zaDSvRaY995Kk6wjHngOe6JL+IVNR7QDEOAIBxGWnrDSut8OneOB9lJg8tLLx7sSjWkXfmXTSkeRg1MRPd7qloP/NA3VawpRy6neaYNvz8aEsA4Iv4QRkPZC0eglmzwdRrBlpxs51fpP8bTTO7CT9EDYC3h7NsRVZmqNZX09ZNm9SytKiDkq1/JFBhqFHVEkXnqH1Q7WKSUL/6w2H3V9GMycnJqEY1hDUrRpIl3rA+NhySobo1w/lfI2MezSou9bDjiFDyDngE1xhkNw3oDj+cdI0z/YJVCG2N5Id6AZbGPlFlkRjfjTc69FqX/vCUtFt5muNiIx0I4L9l4Bu2ofLyNWjA81DVt8ghflbpwrtmmXaqau/5nyBvhzs6gPgT3qokzwgPAi+S/cu0LIMfF29MKSBmN5s5RI//YIJrLo4ZM+Lli1mJeKSGUzv0H9hvvgUrqnwqKLCqjg2b3V0qWrK5sI4AGmcsF2NfQaRw/0wFSD6ffuUR1P10Nc1NDlyR/WJunf/tUihoz6yc0kZ2H1EDrbBEIpr/Z5PsAwte50x0dX00NjZoUQlttRxRGsa0aGv1JbL1oBgyQ6yQ/Q0MLIKDjSpMF5SI0l8AWmse2/1CDUiM8A+iah4rf+Et0PjEWqrGlkfjPxneSl7HgjVO48AFh56Gb7k4umiSrKsNo8u6ihFOQkPTbQlogyRsuU45neaJAs3PNldt3N048mtOkhjmT7UlYXHyum8NVdVdpwhUuYEeTJ1ss +[+] Bogus NAT_access_msg: extra_timestamp_digit_////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: 8ixCr1UaLqK949mbgDrzvPLvJuUXNDnoFtAlBv8k7oPg67cqOdB1cN3IKrdOwqqOSBXTEqsxpw7oZ+8VQKw05JDwbNipxcZpG/08dr2EF+2dt6krspW83BL2fBkH4TfZWMhKUzDhsMHUcyCGzmYVMllnVqP5SN0t/Kq1Op2LA+R6i9/nTsiuU0eGhbc3/VzuzJPXkyIypH1xVc3UUMwAKIY7jQ0V1vVW9ytF67rQdqj4Zw4KRLt+OVHz7lIn9D4ocGY8zXI9P5K5Sqw1qR9Y1doyFWNivuUbuaJ9DMceMEZ6dZ1Jn2ENlG6PzquSkYDd31OISp5iQXGNFKg8w96Es3jXPBk/2RBy6d/ldyrKBIKNCvU692/PTTZykmoKZIC+Q4M9PB27lLHIZT/BfRueUEi61+Qn5/IQ8E8wyv2h+5IOiTcDT+n9Hbf+AtRDCYxbfJ/1EeLbNXXF55xdV2HtLWyfaSwtW721opy8IILJrtLkhe4KXYCbwkOJDrIR7XeMwGzqkljoRJ3rMr1S6+UsegKvDE/ox+mm5hanXzC2y0rIayBdphi99ckoQhQbvzfwi0OQ5Ig+i1u5XhovhM9aw5RNl09jqUiGVOlDOSHKxjtd6foo+7WYeDDuKoM5kL7VlCnfsdOUPIlF8FXYA2zsNx+y9GBiDoYm8kPm2T1G6hhyyobWLClLrFNiIkB6lhqfmEOaGSZs9iRLxDXUQWJg18ah5D/cLbY6BevePETmYEe2brg44A8sPh3CNmJkfGbqWZ53UNzDBNgbegtBmjLtvlpF7JJofjcPdq15mgDVmfGKXbW1UmTWHYFLAwKCIgvzsb2Rg3dy7MB/mHR9G0TMHBneZPRfHZHkLab4UQpbS4XP5JejkHK1t0rk6HmkIcxvPVCWOMqzb6NiqA/ldGFT7R9uQh9FlSfZrBclTtkRAI/Tv9XFXKrjsIyxM7rats22xgjNiKMhw8SmbwYnfPttNu17BENhaY+Mk46w1znX4txykWj5SlAZ2+0HM9xVwMpGJmRE5il26oBJzR/Jrtsi0ERhwmudik1jlZ3Za4zLHYLiKtRKN1MoK8M0RFqPaDKxAH9wT1bCnrnvy8/KlwSz31DvyYPAodKKUsim7AdUuAzIHkIM54oY0tb5JaXJjylFys935E5mLWC0TGarWkcFwFG3uW9ZUVd9zX6m+vpCs1TeasTCqdUsfV841fAMCUhNn2vclR11/2zlIjTS6kQVLcOcK/BNZgikFGvxqL21ymXe0t59e7sTwdO6KQ1I2gkBXsbqkNG4EwEnAxbBUui9fbJxfZoBpKsZzEmcfkxOqA95AY+TiAxJSu/XdQeM1V4ng1HxntF7ZzkNty1HjBcc0crenUGzzYaJEeLZeqABuPII0ZoMPMJCngtJyfhBnBTNH882/jwqdY2cBl5JhI7aLHCOh7/jCkEwI +[+] Bogus NAT_access_msg: extra_timestamp_digit_%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%, SPA packet: +pI1V8Yi34XnYbgqdVL2pru5fKcpFQGpnyEnnZhFuHwsCVtDiTJxEPs0O3QD3vODGKbg4tlDoVC5+iCA06s68SvQIyB+VvWwIAvWTfl0G0irnpgaPgPTkUxIlyKojw4CBgjOKG0dcoOUN7l1jYF5SBbUtMpO+d7LO9vJXMyM5goYAqtDsPOcrvNbAPznNNhmYCwL7p8Lg+v0ja1D+iOX8thBJ8GPZ4dfaVO967uzADTNe7chBWnIeWEJKKh8r39IPA2/yf5MGZFcB3ExzBgEubEy8ImZAFASEWEhgw+uBVppYTR7Az8PSVwwZ6D0d/zKXG01wPskt75IFXAbRHu0JpeRZQwlH6SRdYUcH0pUMj35QsHWxWMMsMGTgcSOGnRz7Gx+IuIA1XYfB9z+QJjtEgjeGKDHbmElxGEyPrYJUaLf+/Z1zgfEd8XkKmEaNvs5vqEhLNBSFnljp/K+FGKgFMY/OQh6r5zas6ME36DgBGrc5n0Q8313DK1GV4QRtiXVZ8QYHGec3IsmkJQUBeZWsNXglY28sSO2fnmWupPnE2BG5KWl2ysvGGbeNGVnP1CcwZvTUHZDE8S9anfb/8+OKgtOGRcFJjuSgR+2oHgbLV01FvEnP73ObP9VVFXtFXZKSwGz7i7WHDEXAEyTXckcK1gCnJ1Holagfy5+LRjOlamSlYSP2Lg8tHp2Fje2cxSxCJVf23je59kLxIfjWCb9l+GiZ0GnPKwoQCi43NMbJKIfUKc7JsY1Ii4rrjN+ofLwBapGeitBhWZRoSseHreonrQDAWorsOA1hov2RWkYLgXX5k4KNvXyL1VOGE0i63uSqd2wAybSKrphL3y6gUsROVBDhKQLcUGPSCtpLnBv7FcIfjgV/4/NBkirkf1XwrdX55xjrXwvvbVNMkhRPgYEX7XKcWhscidf0nSMtjh4amykGkYtsVHWRubrnSPo79hlnDBohurl5q0RwQOv7F+XiPNWaD+8rR4YyyyAPCaNfMNE4Ae7dYQzx7JMrRPg9W3GU01Al5WfZrK8upLtlA2OH0Tzpsbm4VQjkzOKjsvgURvsjGBh72IoWFzXDHaujnbeqKiOxjLrE52+EqVIOAihXGii3AnRNNjmjQVLJNDrKxXWJwj7HYMDy7Ks90hoXL/PZ+yP2KwjWZixwnNL+Plv3WJUMM+dE3LriP3wPJ9DDrNfnydZZtatbAKk7tLRIyfcEyje51PSbUqOLlfdbbIbl+OV8gWWbZC/r65Gk7s87mvU7n9nc/tSfnt62h0uRcfuCoDmwbC1qqbjm+yrgyRCtCcbIgNZC8kOTYSwWxgHTrqAGh/S8AxfY/PiNJ+/rx2VqBfyOf4aaNEIxChUaPwSpp+3T3xFBGxSEcYCkxWCzkTjs3bRBDOidWhZSUoLRlZfYmMr294xg+tflI/974rkie0YmDJdX3pi4 +[+] Bogus NAT_access_msg: extra_timestamp_digit_::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::, SPA packet: 9+3c3VRpRE1MyxjkYo4LGH8iKeXfG0+FWhM0SwlbQS6P9R09fmqsdu073lPDRgfeErjn/bBwETP2LatK27hiB3nIExc2DDteiRmoGD9CaND8Uur1dNli4awHqWGrCmXvO+nS9dUvnWfE44i7OYAA3paMlBi3wkwmzrlKh8PSztgm/GgzTVSUEAoKkoHR4of1AmJ0zxQEA3attJZYK2YR63rrT+0rvFjID1SwH6VMpW5kLnZ55ZnriLDyhYqS7fEZu9bzYA1Cbeu/7ZT0aq0KCALDPis6nwDyhJ09HN+h6Uil13oee+LrW1kQpwwuQJD5LTNswOr8b157MlQz6g5PShJlsixZ2PlIvw341PpGxecRk3y+t6lmphd2MEBLU7aCll9saggk6lxBERgD4gHPuGRixmhQJFDfr9AcG+4kshb/bvSTElKtLJK1IdYgdehZ7Wzbz3JOaFB7BDgr2QQvJhbBEQd5X2zQ9m2qFuNw43GnedqTBnysRSFMtg5QEcJbZoNwR60rWX1oppE4RJR5KWDouxpA1H4vAEtzagTzBHtHcyKLqNj4qyjiuP8CSaliVhqeQdSJoPvsjI8ateGNNkfgQBlbiMzOMau3pv4/yrQuD2fkHE1GYSc0y3dVLY5p+2vUep/6dABjQqx7VJvYChgL9DTsjmXyWSbG+AK5kwDtT4Ul2HxuAqmYY8GVudX5rfcT2ZIkZNwjrA+c3XoBJ6RAHCWC7/NXIMqZwXqWiH6UXMZ9mAI/2xZnqLggMFRr2GiHF9HQcTTej+ON9GJrpxYOpXdBytn6CiXQ9J10e9y6F3c/u2tOaF6P0OzohfkxVe+1kArC7NHrwX3wsZA73fHEgJY17ZIMkC5P5OwyUFiR8ECYSqEyMhxn5iDPd5VnHOeDASFytKXsvkVgFSLOwfJm7OmvpdgnqF40Wc84jXmL+s3I3EsCiP4SIsWINbUCSRjKah/yXTJ6NgSAfnjLm/eaFnIRVt3k+vmK7cGrGbDY0l8a/18AbqsL8DToRD21rTjnGa4416Y/BkDwdaUeEd2PNP6Qkq9EaR+mDJIEvEyav66AHNS7qhdJ6EH5kaVM0lbxnRrPStwSQQCpqGUX/Z5wPK/yD5NMiWZv111B32ZPMuFEtpfLgbaH7+p+RULOZUv0BPjmOykCPZA1A9SEACPWhvWErdIL2xDpU1i26RWNqrvgsQoTlmPgXPn6zf+aenp01oeDjzC5cP/1BwUEyazHkdDwM/PSYzEZWHWI6vlodgUBcPBMHvtGLL8iu3aWomHdPimZr1qE504q9cpF+T4bDkIRwayKAXLjnCKrE91w2Tvsnp2Qm3Aqjt9pkOLUbLSsmZmLvVEAOdmSA2UKpW1l+y3fuGMzsTn6r7yd/iSyEZzdHm+psSw+msuqrzr7yCQgPWJgVC13BD0Qdr7v0Zk4FVvmLHQkw +[+] Bogus NAT_access_msg: extra_timestamp_digit_(NA), SPA packet: (NULL) +[+] Bogus NAT_access_msg: extra_timestamp_digit_1.1.1.p/12345, SPA packet: /Sw1q/7NGpbOGtphpsZs799iRafHRjjya/XJF44eEDzYdb209E0ELUVF3ev9NsfIRv/ROPftDQf+wZ2DPaSZ/6G/4NFdL09t0Qe4ZDoNRKEudqOOLjh9V2kHT8RGluRasEvYDywzLseVUkl9dCVHCsycBbYHsSEzMErgqgnvxrPSjnC0aM6ms0 +[+] Bogus NAT_access_msg: extra_timestamp_digit_1.1.1.2,,,,12345, SPA packet: /ltubOus/K8BfYGo8avteiqPOsKBAnxh0xd6OJyZcp2QP9pUf4IhUqFm4SmBQS+azQSPGhqkNeOJd/ShAG03plDzcAQLbFQi/NxmLzUr/CMaRlUw/oT7DsZ/vQfBPs1qxpq9JoGzD5eiFZSWRqvkkSy6brSKwGdmKvUf7cw/3BNLgescc/q49S2hk9RGSYhuPUvPTFHOsT5g +[+] Bogus NAT_access_msg: extra_timestamp_digit_1.1.1.2,icmp/123, SPA packet: 99yMPigfhK/B4qEuSemhZV90DKl662b/iOOQt6JI5F7ehBOcFOzi+dM1d0POvfExld1gUsJcWTMTk08V0FubTMdsWehDlRMQo/8u36wEjnOjAc+zzKAP9d//4qv3FazLUI2XHsegnDNPxXafZE1ToZt0UFAQJGH+ASy4fI4oCaLxAZ2y6S7MPcbxmy2nBB2hLAUPY8yQQm/w +[+] Bogus NAT_access_msg: extra_timestamp_digit_,,,, SPA packet: +Fur/n+tdmNnadJ1zuaUty0MgMAHASLmduvtNBhLhsAKkMq4KL6dZ5cXP46xfcno1lDrjWtd7plQ0EOadQd8NC3mMMb/E/KArCiqc48RJgM52Bai2ZV94BB4BetHP6S5q767O3F9t44ueGJFFd//X+nu/uGU+zqww +[+] Bogus NAT_access_msg: extra_timestamp_digit_----, SPA packet: /fuRgFiagihMigpcsFV0Ghh3KGVRlSIelyXVpGH9azHfshYc++8lu6iD7Amp2wrs+V4G1cAeMiMnsGACvBR1kDTRZqGNSoXefvAgHQr2UiqV6ar2NmGL0wUPyW/YqpKmgsqOdNr9BQ6PJO26ipE3hL6FCdaK76heaseJLAQvYbzUFTD2PyQOYj +[+] Bogus NAT_access_msg: extra_timestamp_digit_1.3.4.5.5, SPA packet: 8QjKMCMq1uos5sBwr5i1pdmxZBwtxI416zzpOLqknY+TVlT4u4EQ8UO/RBDRmUDrTr4SL5C55Ga01PPWy1whBJO6zm0uew5NpEa1E2wP2H1nBYhoJnGpJUvKqGNj9tBM7GLfiAwqiWd/OS6U3JPKrZa07nHITgnOM3xAdQBDDI4fEkO0qCk1cZ +[+] Bogus NAT_access_msg: extra_timestamp_digit_1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: 95BWfL5CFrLuPYG0IeHkzl9XoIeGq5wRv/9X6x2EXPJtF/g4U0prkE8SS7pUscQcDwmWGdu4s1NBUQEooL8iWUQ9z1E34xvUWMM767pC+uss3+rn7unqughyIMrFusLD/AjoHj6xYxh9Nj28ePFc2PnGeGI3bEkZwUzUKE3o60QnMPrHXToM7ZTKFUQmU65/BGqDRP6sylopswUFXrd+CxbV6Fwa0sCIlRI2TzDtmRtgbC/iqVzoL3uyD1aChRaDv1/T4Tsjti0jQFTIMn/BZssLVlQTPoQDEIw6G5Dp/7PKa0jZCeDOrBGuncFwDOFYZJbJYROXILTQI8MthNvDhcvLaZHo0iD5M +[+] Bogus NAT_access_msg: extra_timestamp_digit_1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////22, SPA packet: +4uEOwoEXU4mA+GHLgb8k93uLiLDZN2UFk0jbP6f7BDET1zQzLyxftH78PxNf3tK7TL7ASqPXWxKMWs7NRq08BJYHYQtlL6AnXwHAESD7naGg3oQ/I7uK0WaZ3zJn65ECDcEs4xk07ptAC53yEKgO458KKvp8QvRfiWCBPhocS+X1uKQECv6aB/0m+nSuOMWdgUvMlxt2kTVeNtN79c4kkYs0mSJYiqp8/iY1qgzeh6pKYE6hGm7Y707lKKBnblPHA4m20hc8VgWJOg0FiadvS8TQ66KdUn/Ts5j2mLh3/YPKCkMEd0iyrxEsxjE3Ui4KjZPYMV5geDE0xeg3xmuN2JXyRbni+Vw8 +[+] Bogus NAT_access_msg: extra_timestamp_digit_1.2.3.4,rcp/22, SPA packet: +NvlI7Iy7g2e/2Ti84p8eGDAddYrsRKTCig+61hKhG/kiqvG9S2RnK165bgZ2J0IksID32tGol2Y0rS0azHreYpfmgGc4syiywn6lWywC3GrPC1/rzkrmMfwaMAwm+mG1QPg6LZ5RWQCYJKbKb46dCe7R3yXg553hU2WTkmeDrW912YM0ooYKt +[+] Bogus NAT_access_msg: extra_timestamp_digit_1.2.3.4,udp/-1, SPA packet: /t89ZIE0tHUCkizftcjhAj1GJFQXP1CSN6Ixk8tcQJOfp3B3frjSWCK+x9ifMoRE7ORen3U8RF5HCxXaoVaPPDCItkf9byS52K1TSqFLB2eSq5jxdyVvF8SI/ucaQrTsoWmM7Iay66jLTcnaXgE/dAM+Nw70PzCayhWAJmBD88EG5xoVEPpWB9 +[+] Bogus NAT_access_msg: extra_timestamp_digit_1.2.3.4,tcp/-1, SPA packet: 9vVeaM9SvETPv4pRdxgZ1S7bBHQfHiaj7I4ZIQds/Lh8wSaskRuQN+CvSdNnFbV+btIRYa2Zb9ciUNLT/tBf1rh9QuEeUAxyCdWlItHCdLOxnZgjvl2CNJN+TPjEdduQmao10Z8SpBpxke18aerRfrO8PhgwjRqIrwAC2esME7xWtfUgGhNtcv +[+] Bogus NAT_access_msg: extra_timestamp_digit_1.2.3.4,icmp/-1, SPA packet: 9nMfCJeWEUi2SNikGoBZCCvst+WE0Ute0ezumI0hnB4kzKixM1KtnXcb6Q0ir52l1Ig0Q7p+sgO71LIpCcUk6p6QC1b4EmnsyG9kcJUcHxsdh6cX6PZQrX3n1EccASE3Hyh3fSPuwmDqVH4s1GOTR7qMWtbtMVkbhtR9NrP/e+dN6oVBEyLDzv +[+] Bogus NAT_access_msg: extra_timestamp_digit_1.2.3(NA).4,tcp/22, SPA packet: 9+9/C32MfkOCr6aVHF0N1F3RcyR/dPFWTCuHVh/Qid8RH2RSKbpaYeLggbDBboSSmD4aLZZo/706/sqbvJmceTdOWusH96ERGE8pbCXWZZt3d88s/QMyYig7UWl1JkhvX1DZeF8qne0zEtC84gbLuHi1YHb4YX1UGvMoe3daU0jAgu+sbG7mxh +[+] Bogus NAT_access_msg: extra_timestamp_digit_1.2.3.(NA)4,tcp/22, SPA packet: +tcj18NifN7/xhEl1+hlTz+DoWAf9e5JR1ElDt2CVG07vsorjs3BCAWrf//Iuvr+gdlNsNcDQZUV55SLsC0EKfxmKr67KQgsnVmZeRLoR+b3K1cFMC4UXmu49mIr32YT+0eFS3P1ln7EPzaUjLG4y94JdmSd3HSF6xis68nJMNhssN0Pdembxg +[+] Bogus NAT_access_msg: extra_timestamp_digit_1.2.3.4(NA),tcp/22, SPA packet: 97vJQx3xn3qFg8Zzs9fcBFYP4FD+Jvcsx4upzXQTLVkS2vMMPY+dpSHyiiyA9xTF+jGUJ9uMzAZiNn5JVtud+CrjMAeFUBmiReVDFfr7gRo16LUF7fqbBT2DbnvauHCdGCrFOgs8nRRho8fCDcsc56FHQsCllKmJ6m24/PU4NaAFRyEAcsMmFO +[+] Bogus NAT_access_msg: extra_timestamp_digit_1.2.3.4,(NA)tcp/22, SPA packet: 9j7cQu8NBLIUp88kRa293R608xJaIhotRnUZEzoEGA4a9ffInymItk2ADPnvUbc4bg91/nzDeJK3gVB9zKSz45hifOrM5+j1H1QdCNp5gjVNZ6Mx+r/cRbcWRZBtzxMIoDThTXwl3NpoUilZVBR3XKQJRmr2PcnxdPcjKWy960BPP8YK07H652 +[+] Bogus NAT_access_msg: extra_timestamp_digit_1.2.3.4,t(NA)cp/22, SPA packet: /GUf9VNE2/C6W+JR2sZjm/d3PErlFCXNYAumz4rd7uSygMmY2DGDONkdQ/l1qCdrX4v2RFbzIwQGEcjhIv3FEfnK5ygNaSx5UaDKTEZQBMujNm0BndBejXgT2NX0QnnKyxkYviGxhPA9mc2mo6jR6oHqiH0BAOlFjZlKYCtq1TK3P0QXl+Y707 +[+] Bogus NAT_access_msg: extra_timestamp_digit_1.2.3.4,tc(NA)p/22, SPA packet: 9pyv5QU3gWv7Z6qJI+PgPSFvrA9QHP7/g8u8NMdfRuVIV0d2YEzUtJd/SzRiXhTT9VackPjRYlsBg944g1hBoO1bXA4O8FN47f+BdqNfe6pFbCt5B+Py9meA0AlmOSfi0ibkZr9nXHM7UJUBy7+dpxlEsekF+C+VPUnuL0D+W//1a9XkndUI2h +[+] Bogus NAT_access_msg: extra_timestamp_digit_1.2.3.4,tcp(NA)/22, SPA packet: /JaNRjjscx1K4CPJyiHrBujxkfyrOqwhOJIyhw3Ntbgu1jFnhsFw7elxOe67B19WGpIinwd0TdMHqRu/oGr6ay5JheCQe5HlcMpdLEKQWNSNb8M1LrhjfbY+34/wx0q3hq66GXGWLJ9ptsN7kLouuKMwZYqLTl/EtiB5QQykRtnobQvgsqF6DH +[+] Bogus NAT_access_msg: extra_timestamp_digit_1.2.3.4,tcp/(NA)22, SPA packet: /VCtTQabAyZ1blc41ZXqyV39pOc/hNam9WigBhReAgvqMiNMedhpKyEtNWTfpvImBmbMz2et2CH+im97buYvIlGZsoFZO+NM6PcsPwaUqxmxwBSVYGK1ZxXWVKFXkhGQXMHCxkK9NHq8e2pb+FT3e94yQk0/BqXqlKO8fXpRZZUFWNhEIlY2Vh +[+] Bogus NAT_access_msg: extra_timestamp_digit_123.123.123(NA).123,tcp/22, SPA packet: /BTppoVk8w8uebPtcmOo1RT1KjNF+u7bfVkuijS0V+Ldojz9Z9pdoFb3p7+xfpyw2t9mp74u4rqLUFyYpDlV2rq/rjO/2dbGKGaA8BJPIYMsOPAPSualtYBN91+Wgt0Kuuw9wm82yX79rN2y5EcOEEKXCXBmvjmjEUWln5qwGFPyofjA7U9KxB +[+] Bogus NAT_access_msg: extra_timestamp_digit_123.123.123.(NA)123,tcp/22, SPA packet: 9xwSeYO3lkkycdtQmJ3cFH5HdROClWPTLlSCwwKrUu3V4u80yo9GiTSvQ/mZUbvp/O8BjFHU8WQL3nuy+4Z7UXUwFNF9zAvTaoq8CyAOQdDeb6KOUFGpad1FfXQvs2tkjChtkJXeeoHnbio7G/DsKk+BsZbHzcns7luB/1uMLK/DPGeHAs0MXl +[+] Bogus NAT_access_msg: extra_timestamp_digit_123.123.123.1(NA)23,tcp/22, SPA packet: 8fj3LC5ts/skQeTZOTCYAQdNwC0MYhPsy54JYinygo6EBS/bYNHSBkuhK7e40LZyOqph66V6UEvzJaGqImZfttS2mayORvjvmobc6sjsnlzKDuGnQG8mbrRRH/baQN2DtXFm9SnnHIoqnovNYq46ShHM9dpFQmMQ2Jy/eoHi/9AY3taRI8Bj2G +[+] Bogus NAT_access_msg: extra_timestamp_digit_123.123.123.12(NA)3,tcp/22, SPA packet: /CgsND/ildPSFQKqXyHWorJcPBZlj9S3fpgPKOi7+WIa+9PPy4fsJXdAo4xg7paBYCYMwNOgQe6nbddbFWUiPr5V2XzeLL9Svktw8tfK9amJ3cyRCBVv1NLGUvIvg6vK5wIUVI0eJ314mRqBWwExLVTwrFOp8aGWwk4XxLa7OxvGC7wnwyhLbs +[+] Bogus NAT_access_msg: extra_timestamp_digit_123.123.123.123(NA),tcp/22, SPA packet: +O/w3SVBbfcm829p8NtEMOEmEamfkQetib1JoYbiuC8hbGg0MX9pH/MXNLdG9RRwlzJU/CRUGb5RY3CgQEJjTF4aWXOLdOt3cc5+Jj8vvINNMzeKwp5BzyJLSgadVBp6MHfeNyZIm0SwJUC3U7g50l0YEUdbXBUDChuNmgO8r6+2xJI2+MalP2 +[+] Bogus NAT_access_msg: extra_timestamp_digit_123.123.123.123,(NA)tcp/22, SPA packet: /W3bR8ywD9GQgLratwl/flUEtGNIyi3291565YbWb3SoQT7AtCBMpnge8BhSq6nSW+5GeXbWOX0vRr0ZRp6nfrZzRyi11wTn0KXA8ca6Sf1zTCngUt2JNyttVTEaOUwvb9OXTr0j9BkfPc8AydwDESL48K4x089SjlYG1aNMqR3i6iq3tKvYiSdHsd4m80lvSi+mNQDT/4uA +[+] Bogus NAT_access_msg: extra_timestamp_digit_123.123.123.123,t(NA)cp/22, SPA packet: 8RkXnoYnKEqwF8DEmZEiCTZSNf9OYWSuNTLN/UbVxP11JsEWalsYLxTmbQdZnEfHcFQuqF2GCxUCNn1wnuUSQxvUQC3pTbTLygVjFpRRsg+yn8dgkH17NDb623Dp9Q4yfYv5KVx7VEzYey8OEL93GwH1bZHhJhb7/SZBz5ty0CD3ti1n8tGSMvgbaiC+hIMeqSd8l5/PfIYA +[+] Bogus NAT_access_msg: extra_timestamp_digit_123.123.123.123,tc(NA)p/22, SPA packet: 8eFOFxfCcf29eMHfP2duZKZoEOFc0DA5pU7w9OOGdR2lx2dWVxb3/rK22MoZ1CO2xmpoceuM8qxl/v8zHiUrc/IZpwV+dJ3DNYJzZacepO1Wl0EQ/Nw399slBe4Mppo3a9lmL8dFA7u6DZ1odcCX1KIkv4yt2CgCHDxDzGxhKWuaW/IQ8E62upEmLQaSRM9txCbjb63XELeA +[+] Bogus NAT_access_msg: extra_timestamp_digit_123.123.123.123,tcp(NA)/22, SPA packet: 8mfQIxfp0J69Vc/EEfxahgg58x6kE//ZmqrX0iM2h4dVVTzgw2l3LM7oGiW3htswBjay+qqEnPx6l+mxnFNn6Eup9Qj12NOdDE/E7IcapES2t+sgZ+wb0clRJshITzFcK42WZ7+JBjHD4gJBPG9hogDX4ZDxf4VbqZG675wUaokRtunOK7KbMBSWww/M7EFAFwVphUbuwa3g +[+] Bogus NAT_access_msg: extra_timestamp_digit_123.123.123.123,tcp/(NA)22, SPA packet: 8gkbsSq/HDvoeyBkNfTJbfNX4XitV2FFCCqei2jp//dl+ctFCTgzOpEQg5vWIVq+Msvd4tbAjUL6cyNNmWRJTx/Fun1LkKyJ8nEpZ3In85y8ocJtdSr+6EsveKKvPbFktCcXzZ+bRdrXExWHUZrJZU+y5w2QdVdTABKzfk9WTHXYcWRp5ae+d/1o0+CD632tRaIdO+L3hKhw +[+] Bogus NAT_access_msg: extra_timestamp_digit_1.2.3.4,t(NA)cp/22, SPA packet: /0evSVZ0VnQin0hRjpPk8KJnG5mfOBJBA/4nzomh4yNR3GYs+TB9FaNfsjmXOGKKY0xQdlZzETNRMxMsWI77NNJP6g2kvGpGrZjk0sG5n79hEUhyFapPtUmefEC0S1h2+GCWIjlfiSPyZMmkGLJn6LhNnCkl3Skx+qrAx/q+r1lUhfZ4MH9LJy +[+] Bogus NAT_access_msg: extra_timestamp_digit_1.1.1.1,udp/1,tap/1,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: 83nuk9E+edHCICI6KhoFaNU7/qtHRsanQMfdsGSJnT9D+Z7wh0U4rayxhlbgOIJx4eHreZKcWZI68dsibROlORr4fdBfuUI1KFkCrp0S8nuR3yZtdf/huLeEAoTsZFsgukiTB9qKXbf2gQMf+zGAOPBiS4hodX7c7Ze7xA4g55qPO2/g/AKmPXB+E+XGxpHJJ/9RWSAM+SBOwLnTfXRpeB0fYJe4wOj5GS9ib1YNP8jRmTbfHbqJuv +[+] Bogus NAT_access_msg: extra_timestamp_digit_1.1.1.1,udp/1,tcp/-11,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: 8z03MpNifXhDU49oyHL8gFQAikwxwSBxFQldCuKNUngmr7qWNBUfEv3MfRckw3K2SB0kZHOUO7mEu2aU6qrRQTtofYN/Vd59RPgkiVwqX3rbFqatSww2vC3b/xpgCEHKZgMwjfil127VbwXuyW05W4NKn5iTl1g9gKgsMw3nqFwT6HRVzkEHAOkQigf0ux8bDYwfZIaoCM3gSUW2GWJ9tqI4QiV5h7b0fkHN9PKtXhbsgpCJQXf4ku +[+] Bogus NAT_access_msg: extra_timestamp_digit_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp/12345, SPA packet: +tADxd9Qs9QMKBJEYJh+AHSYhcVmqpJxijWg4j17/DzapX6k/EpMoQCUvvNiIjWcWl2N9K8W1JDMVFhkVjbaCJqL9GERv2m1lpXWDZtcZzm9P4jWOATGDfXHIRFGoyP2lTTxJ9E3FQhZCP02ZPrWJxuol9pPfsNNJcANgu0l+tgDHB8skDJa5fQKUCE0fs2QZecJh6H7kFnDQjnyhfkpr7Z6MgHtA7kWgw0Odg4nBqymPh/cbpx/0t +[+] Bogus NAT_access_msg: extra_timestamp_digit_1.1.1.1,udp/1,tcp/1,tcp/2,udp/3,tcp/4,tcp////12345, SPA packet: 9N7aTORH3JeNm3TmNh14JWw7J5bBOuyEW2ZQBKLgctuJNtCVoLQrT3cy6lRSXEEAB3Qokq+HzxrpXHzjy19/qs7D0b6MR7TZlGsPE35qQPHP/3Xd53Rh9mIWUP2xSSNUwFTkEISBN/u5cdoqaVdi+T4szexH3Kix+1yNtc+t60xj9LjbgcJXgRfDfVQOJoG0PxdbkNhJimIEZtFXiGi847KqwHacv0BwPn5m5FZwDwbure4GQ0iASY +[+] Bogus NAT_access_msg: extra_timestamp_digit_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: /hgIzkCYPSm/oUpYeipC5kvFM6IaM3jpcjoBTRkHHCst2kYEa893LERm1YGo9IqI8itS5SWZAB5txVRtNAKYIvJMNwOPwIrpoBw5fZw623BjJM34bQLpobFTDoKrOCvahG/jgNCQ7B51jSx0tAeUfD5NFxkQCQNODC7vEqzmLYeSFXBweLYQBr8Gdm+pxJVRO2pG9tHDz/vRrxRIA2QucFXv9rPnFFyVvi+QLLLzrJefOmg0nhFhtL +[+] Bogus NAT_access_msg: extra_timestamp_digit_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: 9cFMQSZfvaRugchPKJIY3TkmdRIev7/CNJbfGiX1wamxIueMLqRsPXW5m7Ng2UxQHaBa79pYaeDV4VtVpRlAljeYK02U0RF7exQA7yrLs6grFZ0uKOibo/O7xO4iR6aL0taRYshwfOGJfz1BvEHnzaw+poGdKdGt8YGVisLcogpYAfVIrrMWVXJ+qpaV5CwwfUanBn3xhH6ods+DOlaWKvLvs6m9v4LEU3YX3GWgDJreTZDjGrjNAL +[+] Bogus NAT_access_msg: extra_timestamp_digit_1.1.1.1,udp/1,tcp/1,tcp/2udp/3*tcp/4,tcp////12345, SPA packet: 8eB6LKsY+UqhbsaVfQRrB1GnnuENlkvLt6ciTQ8TcKpULH8EMv/vhqfQawCRk5eL+Kghb+3Iy/2ECXYexIJkpa2uRWYLITmmdcZNd/0dmDfvP1EISRD9yML+1pekVMHlQDqeFoSeeLeFYlwBd3wED9t7A/7XM1+PKUeF6YHQa0BkPpWTWnTqTgX0TW3HRG2M8lcKTpWyRwRiFsYECebvyzEse88So8WY0k1UUBrf1WnoPpa4uI7p2+ +[+] Bogus NAT_access_msg: extra_timestamp_digit_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcb/4,tcp////12345, SPA packet: 9vTo1EHaeuH9tKqpfjEXynp/5zc/mra3eHrPZl2fxJsWrtUfF8pzsxMVmeNKlvscF6udI//jmDZy/6yrhsdCi0TlATn5dlSVeZlCFL42snNjZWPyJQHIc5Ii0UpO/A9g0ij4ZGv5q/eC8GnrpbjcBILUkmAxHCMLF9QJ8CCRwmprXDtLpPzRTXEMgNJTksJFXTKumiexKUa5WXmdmJstjxYsbzGq3RXWTlNlADIgaXBLVs1xRburuf +[+] Bogus NAT_access_msg: extra_timestamp_digit_1.1.1.1,udp/1,tcp/1tcp/2udp/3,tcp/4,tcp////12345, SPA packet: +mK+vA0u33Q1jYxRBIEZxYftcbYWXNbx3VNpmsMLr4kOQi04LbipfqqBg+vcdeIQ3+DTJhyWiC1HGpztjitV2ZVjeqi6B12d1fSHjCYR1jkfeS0IYpJUi/ZYh62EMpXTceVssLOGJ2vKlR7a+U1KNSvnQDy8N8eGIiMykXEc0aQFpEEFusO457yfQ/61i7q2ogoUNxK6snPBoxCu+A6p0/KG0h/C0bFIjN08zUlEtBwa6/ePLkAmhn +[+] Bogus NAT_access_msg: extra_timestamp_digit_123.123.123.123udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345////////////, SPA packet: +3LwU8jz/8lAihbpGEnLfA6U9wR0BVkDcIbn9OQ1OKToxwFWoAjfQCvcNNKRj3Hb02BnrUkiKL0D/5SEL5NQ8cbW975E7O5puzGCNp3+glwBPEiSD1cQfDozY8AIA8PP8DPuno87UHQiw9Mcp5QBjnLikOVh5+Luty8p7k2L8KzxV2CoVKht4++1C3IlJ2BLTOl+TFepzYB5pO/zLBN/QG/IeEMAeyphGERYTEpoT1cWebSuhmDNFff3XxdaCQkjCEzoVutq11JC9RDb2HtekM5k2Z6sJIrzU +[+] Bogus cmd_msg: extra_timestamp_digit_-1, SPA packet: 88qz//PNimCa/P9PQc6d7BCLmk5/sN2K49/jrv1DxsAP++FA6AvHV6YCjgZ9J3HwApaijzElz7wyrFUKTIJSiHksOZOitqLjQQKBih425ro/+a2rZfDB6bDK144OL3oIBzguCeVZB5/A +[+] Bogus cmd_msg: extra_timestamp_digit_1, SPA packet: 8ODHCBc7UoF5v0o/E8u0hRIgLLQvP3ENTI6hZGMsizesTZ4AeqVbf6s1sMtHNxNh4YULjKFY752oJ69PqbRFyA1lwSMi+8+GcWzwEr5+KTpTWIYXB3OOoFTuh2+gM/nQyg5VNfT9WUrw +[+] Bogus cmd_msg: extra_timestamp_digit_A, SPA packet: 8x8q/NoKmqlj2iguxcmnzD8UyVfBVo/NVsyqPkjJS3SPNewTy1S8fhTfbcUvaRyH8zfmk2JFRPT1vbsYrcmdo3qUwBGOFjU3NTnLpkvsoKuFKoQyaF1ogiK3wUBfXZ9RR7hV0GmMnDaA +[+] Bogus cmd_msg: extra_timestamp_digit_0, SPA packet: 9WGOJahu96n1ZNl4m77zPoCw9DX4yjou6IFV1E8INUB2SaPvhGzjOrySR71iWUpV0zoO9CzWBhFfHdfY191XEnfP1ue8A8MTAqt0NersBupTTe3J57cYaeucN9+GTodvho4g67ILqQIg +[+] Bogus cmd_msg: extra_timestamp_digit_AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: +EY2SMkKA+7554u5/fBR2iCPNT9fO3S8SoU4As70sNRsweusCM514dsoyu3+2w+1k49YMfsUHblEQgcS5n9kBP19gcUygHxrYONpoXcBLildsO+JbAJGZgETk+YDAa2DiFZCZPldQetRpLqUGO14UHJWNygflqVmEPzCpL0Ysbd69ItPTPRug8g80XxXI54rzi6M8jr8hNLwF+hG639Se/xxQW/bHRRiRwy5IUuEn9f//KzJVLA5vuUzpjDjx+Cw+EQ8T3RqR7qUcTu5BsqySCJLytPHKfy5qFfAQc3AKtCGgpFhCC38Z5ztP3dScHy1gyd7S7MWv24/U/TR/0Bs9Z7zykAsB0ulILU0m3cJ8rZHUbGbwlPA77slt+3sJTKr8tkbB4LE+GKVkt/zYm42iCAXi6wl7upEDEvtniEcFr3utFY0rY/L1/1AJyqVo7UJ9IKYfhZ/E6CDQyoslp4RTVrbXgW4kHQDS0HY5/8jzAXVJymgoWHdzQY3CLU0otzsRitk0b1QA7p7C5OILV4QKOJ6jONzZ+x5BagDmaPDfrbdrpD9QLmX/0n2raSO9R+qVsClmymStPNiRl89dlbJrhfcdpf65JN6lZWsaBUWIKmw/YrfYj/J0iUfc1oR6QeialDMn4iQrukOFw3bw3OXP3sVHKw9BMcWsvXbAH1oefbpHa+AlgakIrA7OIQXN3U5dvvvFlix/cof9bBJeeR6RcvorIOgLFpAUAL55hFlk+JaI/uDZv2IZ2VHfiPmcT8ZHQQu69rFsxjDI3kMXTFEgBKSIOcTEm+qI/MRXzfB+QF/P7eOGE3NMTNCeISW1jbKqxPSNtXBfSydYP9KvgaBMGiuGGdyai9iOeVsdW9nWU2OPDAhV+oJqjP/J984i1482msoL+IlMWfO2nfS9qKmtamMcMlFUuXs96Yc2mZyfP2fhsaf0eNRoHdFlZNG6ji6i22M8TnhANTl0Ug7PAKVRQHyV69qZPAvMQTdpnmm4wy9s5oNa2DOdDFOWya2dskoKnjyzNsTJ8quBLJpQXZGa1iBEuNHJpJaZpJHYDaAmsSYe5/6UkmAdNCoQzqiT5DYrMVoPiq1l5sJzrbxs4f9PniHkB1pJvAB5CAfBEASoWMp1fQoefqyTuNzzwYrModcvrJfFsizhT9UpvWVOsnNqYvUZDx9sUVIl2rlqQ4yj3uFUAvvhgX7DXf9yEqwibqAuSFfYVD/dReY/XL9jhjzJMcRo35S1c1YO/5TVk7/ggyZ2tvNWh5GM7sG2rgVvUZTclFbM4EJUh/XBtvXeKRvpHpHBY19FPEuMOIVLEyd2iIY0VuOah8wHFy6c5+NDFrpjiGniDaaqQnXvYzYa/TsH5V0peVP9MJYYXmL/AMyR2UjCkwESmg7fNT2rE22X/IyqPMMZGTyrZnqnwhBqFhegQkdxTdv0ke2s +[+] Bogus cmd_msg: extra_timestamp_digit_////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: /tiU68cz0kPWZ1xCw/I9wIaf1VJaKKbVAmMXFo7sWK8VAaGMrkw4oHS1AtFGZKw/pqCRdqq6aE5Jlz68D09RxBCtwJOXxfUKXMPN34s3fyW5mSC50gle2jP6l755sEDbyr2TkyvxWtGvc7Lt8t4VLAVCQcjlFBYl86GOyLoRWSt8gcsvSEVz6XBFZuZaGkylEObnjnINMMF/ZtL6zVQ1S96JT30a1tZNHiUfQwkteTg/hDAuUALTZDEQjlUdpixLVrwL6XVVeCJriNCJ9I0nZm/uh0HrnFiRLxUmLLDaJHlXFL4hW3diySI8d/NSAdr+okFxwCBVnRBBWUD9zd/+Kd3A2wbFeVfC5Yr588eNX+pm3+VrjJWySwJZ5BuMDl5KS4XwrSLOBQu22wtpWuRuhKJqGGw2kQWqutzTSfCn7BPxaUSH59U209DQqht3xtb7E4HMxoFRQB5JrjuTk0PSws8tiN6Ro+YnmfJE/EWKijfwXE3UzojDKesv0jS+GirKC2L7z1t4oudrHtE108F0c+w+a6zT/Pi93M0WZrIZCwjcWZvy0boGZIICmfZ+9ETwIIHs4YrGGIclDp88Y4BKZGiI7tZUycuCxcxfB4Z0hDUi/P8XGpcPfs5jT705xMZ2j9cY8McI0N/sJrfCi6Hb4TwRsaXDbpHMBFEnPaoaZRO1djWXyn4gvBBSt31TwGMekybcKfSIFHbX4IAYnbRr8dBEXxEz4vmKezkQLsuYY6+V/vRT0EoAH0GjXhmyzTJvbPYo/Nfj1+dxtidY9HilA0N5ShMPXU9wVQA/02dRIswo8vKUi/VFQnim/hTz4SpMtMfZZitcaOaWlRZxNhCiy+lO3Es7NDhN2puGo3bwvlwCT3dHqznPK2v/w0jzGzX/pzspYDQPXlxbxjkd8pbtb6gZtbid7qOk/P+qpwSQOutzuMLgMboFCAlvxdybbdJG8v6bWYY6fjkTyk7o/10Y2TTTH3pAQsyxLjApzf7L5GtRRBnjx9d7svZUepVbMnnfqtTL++2Rw2fqnriiy0Gx950Kes8o/29Mf092aBBlHRamXnz3t14saAn0pxkGx83fqkG/FHLC7wZ3wq0QzWGfWYWGiXwrA79n8aHKif6b4KiGtujkUA6qi7VlBKhQTBi34ceZrPt8zZpfsIOyQNh0NQ0gaxwlqfM56d0KaAJ+w27OU97pbQrGeTvFMgHhEIAb9nJciKx4c0Dit5tt2/N6C4rTfGo8AFkXYhpfy9wP99TTGHp5cgppSGedM8PMF/R5NS2y0sDn+x8QyO9qFpWhz0/G2J0n4y5hIfc6/e0PNpMyEBSyj7IWvJuVn3PLzKSOXdr0/lJzBkA9AQEUUA8lnPHukUj9serFAi4q/4aTx6KL38oTbChSS5P9LWPONecG0merrtcDvefezoolNbqqOFAsiKGJFboeo +[+] Bogus cmd_msg: extra_timestamp_digit_%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%, SPA packet: +wjWT15vbu4e+RpJuEILoYAfnYBpme5rv4/TiBJUy7gNgtv5XZWQc/VaC9DzNicVsQpw65OzRREOw/axznCpFal1XtAM1CUgNoFwOk2AiCiaN6Dmd7RdKx9NgwYRlqUBHlCPSEva85/FIbRblEx2SYJD5J+m33QdbFa4LyFz+UUeghzmAGRucdIITzDtbnu2nP6dY70PFwuMtB0/Zkj65X4z0HfkqXCVr3967dxZ6DghMfd5YewMRf+VjoR+/VAMlNtf+wOMZU9Yzs6L2O+u9lFO3UJI2xPRYBMYvEA+4zQ5qeb+NrOjy7zhDrbT2ncfdgnv3rvYAbmlgMWRuijRAPXc6vHm4fpV5d4wJgbg9uU9+dmR2q3WfpshdYAb37pUx9RmIioU9TxVIc1M2aFR6Ba7x9tyCNoOfOdjEl567CvDreUM3fLM4Dz+8yL3twb7ryPNW1pGD6UEGTuTPkvKYpHTrvZQEX8/DuhQaLHox6Dk5GdNSAmaTiiSefrdn2nfLafBK0aVg4IWtGNXVE4BBcN8VdUhPpyfN/IU3DyozjCT1L5ffBL9igngwNvkT3cR35fC4XRhNFzH7xMouhz84vLgjeDJm+mXuuRcHDOM6AxRr3DQ5KLyE63rt0tqcJwJBH6fNOsIBqrn67bI6kVv9LdhQyK0cDKv/cgLh6AcGW/2Y4Efc3NptyjZ/UA7ydLWOWh/z6w3QaEjF7wSOa1nMxCxrRmroYc8ikwRIt7Sy6RZIZUzUK380n1ax0ZkDFzwlzkehsWL//8FjM77uv4GZHFA95QU+z/I0vETFLTwwVM6XmkBb/bLf0xJZN5XyRgHJrwIPgi51yn7CdQDoEQRZ3QGyjIWtOe7xCVYFjAdpPwZm/u5K7fBRmiwug8AO5mmyExUA44SGMfK5wAVPiE71veR338SnxIYR2jS8o2lcsvWNuWok9S5EVR30AG8iJR7wF/gGjQ/ShhtWe+Pe7d3t0rzCmfIiMDD5dxiMwqESqTYqpuO2jLng8FTHJlexzk6ocYWUqv4atFM6ILMbwKst0rrb2qag+vU6vdTReZCfPFCbIxlgK2yCEOpza5Yni6HzNKd4lrpGFpcQRNwseRpJKtiLq6DysS3ye9LXTRo6H588EdU1GKh/oFsvZnFt5beb+TcFSWzV/nPalQ6QH68Kj1ZVr6A2ZRee2p+FYLI0wvDdkpX29Pm9jxMx0CnnI99Lxyd/SS9qClqa2gdX7rteg3XA4hNFLFDstiOXmrhw5F2Bxt93QT+8dI51LGVP80PBORtQolzpgWtpDdsCNuvTfJ3BXcOL/HjYjb6iYhzXus/1DRBq3kySMUD3V2YBnC6sWcZopw20ZI1t8NTnCeQfEHMfKNbagfc/aD0Ru/53BQI+KHU/zoqqVK0LMy2kw9CGg2iE8DBCbxqdB4ShiManKWG6oju1MgfU +[+] Bogus cmd_msg: extra_timestamp_digit_::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::, SPA packet: 8c5vkoop7vZegKCWcDOCn4Cb6oPHb1o8lk09sDy9RUK5ohEm9fbozEm2I6YYQ8pSDE/RkBs9s+cpCRCtyi0DHNCUs6yWMki5kkGSf0YaTJxm7Rx/wfufq4JkPuW3zZTJ2eohIfWGwW12dSHBISt8+MXsBKyQ8SJUS92RzecljnAVAFu7EDxigg5j9ERzSUl3OPOKswJCtSiQB5HdGpekhnWyV6SalrU8K38xSBj1s/yzUZ9xFX20nv2JspnfA8u4JvzsfJL0uDohYTDzXnotom4dm8OV7xVJqQlLsLrhhV1IRcALfqPpjt6+SqhDtQgPcHSUkG38oBOjgCaTXgwk7pE3aUO7QNjfrz5/uzx9ywt1ZpGtWFxfYkMHFFp/t09Fo/w6OEuzuplo1cvYfMjNJjSQhRO+uDbm8T1XRz9LeDCUTyvFyyRff1W+VKwAiJZQvdAoDDs/KguCwpCHjyuFmwZv5+ovEGsjrr4SyGV8Y1anhtYn40ocQuADf+GcZHa4GwxgxRSpUFzyhPRmrGouK5iKszXH7CcCATcxgxOIcJg6jodf0kT7BKmfcazfGCVfP3f3pJIeZihT0sCnPe9r6nzk3iaZ1emxKy58L7eN+ROZFCsqq50rT0YZXFRHzWHTotd452n0yZAChrbuFMB7kAWsoXgPJY7W0eeO2/Bu0H6MniFzS6sPCffSKSk2vfSnzjmAIEZfXceJHSr/wu2Vg0Tt1fObgZK/uS43HWnE2vu1CRcoZRsI6pps3NhGMnf71tRgBryIvwPJa0rqkpGIcPXvu0QFPL5NO6qPzNV8CqpxkerpAQQNOjB+RtHfp69o+IYqu7OeIqSuoyb7ICk/UY0yJoe+B4IBF1wbIu8z5YlwWuAIH2INt3/1xV+sHDs5Eh67+JdKYYp5zZajMrdyQBBT5IMIyHe0ygnilZkaLJ4T2/5PDpzLlUJo33WEOrcnlQcDaKEJ1y/t668ZNx8SVPvWueVXfd2IhOOwW07r8ThabVk14qs5JncM2TCPUn0K4GahQz7lYDXfShACaY9BWByAZPiDJnwz/91UOSK7sKgWIlasj/2Gq8tJBnjPOH+qQAMZLcVbSoFGamulDcB663awEqej0lLl819eSIxhMlVC57P+/3I2MPy2oinzLKlyN9gWcCLch2bws7eiSBX8Z5LsNlDXDKh8OHOWIt32xSBFL+miB/6p3EHytCGgb+u1ljqps87sO16/ZNWTnWRtg5PQeMtGHmCHg08MidIL5mz2yMm9IA7FFdet0SSzj1EZWANnB/0c5znFE7MIAaQUOdyTjWdpf0X7w3colMAuaR8FcgNs6AfdniGg99ATXP8vL3V7KJsqA7UKBmHdpkVOX8OM6Ku8THwKAx4npdAsOR3C4PxISao9LbzhIwVrkTuD8iIVZKUrAPr2zlFOcBqi5rQV9/KZ5HjDM +[+] Bogus cmd_msg: extra_timestamp_digit_(NA), SPA packet: (NULL) +[+] Bogus cmd_msg: extra_timestamp_digit_,,,, SPA packet: //LMXh684U264zxGcGi/qgz+Aign+4bi2LFqJ5bCIuqUKSb6GLlVAMYerXfel6VGdBWgGt84aD0eIonMKqA2MB0XZxvcFlW69nQsEyodFiWgtsx3iAwsuMtjwbF8xtyFGafOMWnrZYfg +[+] Bogus cmd_msg: extra_timestamp_digit_----, SPA packet: +eNus/IAC6ODyN5gAvms0v/BTVxnyC5V1wINBp87ZS65kfHvL3t5r/0+xTLRb4xoRapvpFYJFoHhDfoESf8w5GKF5yFarBKQ3NVFO4MvhzdmKhBggZQ7JunjYqUR4++uf8DLyM66yL0A +[+] Bogus cmd_msg: extra_timestamp_digit_1.3.4.5.5, SPA packet: 9BF43pi310iDtEaBQQUlFJylvZznD5kvArwbMm2LOwkb6kpa2yWdyL9m2mF40a8WAb8mefqV1IzMGj6Tj9im6q2V443NWdeoRHc2b1Eh3iDl7b8itFdiN0l+NlRULJyfy+MjbtpWGbGmCJ4EJWFLN1RUBQUS55nw8 +[+] Bogus cmd_msg: extra_timestamp_digit_999.3.4.5, SPA packet: 9IT3jYVSIA4ztki8K6eAwU7zB5ndI79B360CuNK+7Hi75kfPHxQN8MLUMama05Ztyp0YPw3Ulv72NYiJeX+3QVVRK8c8L2WJZWXfFThE5/xf6dqk6GTA/JEMNnY21918SFa94UaLOpaVxPI+ILhXw8//l9oVac5LY +[+] Bogus cmd_msg: extra_timestamp_digit_1.,, SPA packet: /Xkvrudf7obn6j2lvp0YtDJwacDy8WzY1gg8J1knQZzFQampcOewikBwUE/V1M0BElReZBGtoZU55EYquctGhj8JeisQ/N/OiQx0Dw8JGG7+/Qf3I0GFnTDkFnsi/z7gOOQGFc4hGh4w +[+] Bogus cmd_msg: extra_timestamp_digit_1.2.,, SPA packet: 9SfLDgkTUvjJePNsoaOjf2LRXgFDjLmq/pUgSHwzTuBTxD0qkvvW+qjasn4XSnAAVp3krUajFsmK0gTPrA91h8mbDnmKElm6kftqFKS22aVYLGwEjPDs8YpHZKeuwvLOa7DjXzwJTc5w +[+] Bogus cmd_msg: extra_timestamp_digit_1.2.3.,, SPA packet: 8wfW6c0RUK2DAfHGNZE9aCb++l0TJAhHhEJlsFOagSXoH3YyJDdL5Mh+Z04u+0FXjItH//CibBdVcHyDDEdBjC71Q52m85OXNK+0ncHh6+qnh/W38GN8G/SD6Y6ZSS4aUfAnUJPCodjrS8SGS3nJJ44PfWQ0vXZdY +[+] Bogus cmd_msg: extra_timestamp_digit_1.2.3.4, SPA packet: /YLqc3F05at4kJ5f0U0Lg3Ruf2Cjeaq/GlI9av0angLAn0nUKu6McEiq0ryke0J909T+a7WIq7Lp4Y05MUarYePvuEJpbqpO1+unIOfQU1vt74w/QqfL018rdz9UahbTjAULZJVXzD62JCY9t43iIThioJtF9Sb24 +[+] Bogus cmd_msg: extra_timestamp_digit_123.123.123.123, SPA packet: +wCGEu5A02ueqdWlLpzLqcWu8EnsXDbZLjDhwIDZWiikO9ctwitU36aGUbc22ip/iPEzwJ6EhVAkUttmyQxl84u54m1GXjO755GrLZ4RkKjeqbyaln8zeandG67kxFczDE7sM2/iJI1k54qGLJHAgA9tXqLt6B908 +[+] Bogus cmd_msg: extra_timestamp_digit_1.2.3.4,, SPA packet: 8ozuVxj2q21qoALA42OjF4fyiGSTxaI0aVy5I+soiW5crNLbB1XHsggMl6DiB2f3TfNioNO8QzF/mC7rt/+HWQtmX+zjwrHvnx4vMdiDYXJXwk77RAmrkODoiBFuKOaQ1eZUBraHscsRklQlPSejET3JaWOV5BNTc +[+] Bogus cmd_msg: extra_timestamp_digit_1.2.3.4., SPA packet: /UmpD0LqF86m/ZcLrczQLzIJ8iwygamJLM1JKBbNOyXHfes1JDiSuT6U4H3uAq0+cL15sIR0i4npCzrL/E7oIMVi/hA0E9UyZW+Z9rVn+RK+ClHuEhvwHqdTgUxCqjD/gek7DrS9AT7m5Il89cTXIo/0SyLYrBCtU +[+] Bogus cmd_msg: extra_timestamp_digit_123.123.123.123,AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: 9Fx5sEibFRJZwaXhZYSWmWGvk+dNgqHjLSEEjOPp5T/YMe+/XzS1nO30mu86kOoamKmPj/dKXYALMsNMDB/KAX/ur7ZehUMxd1Q5b8E1m6xaMCFOc3J3XbzvNBUAeNDt7S0UYs7PUxAzqg8VbLeEIIWy12Pz8kFfSm7W9VvdsizkIovjsUrr/kcKkpjUlyF5qOtjsse7tjjULJKqJMm/DW1v53Xx9KyFblUi6Wg9d+JZqYjmVQHwoTLIpURz9LgKCga6gLblL1fSphsDx3pltzbebm97cgn+gRndsoyhMFmo3utDJAqxWgjpWeo9yJ2Lmjk/bYIznCVQb+4zTMnIoZrLZVm/v8KeLB8nJrfdQxd2ZDZK/Q06q2opKljODecQuw4GxsI1ckt2gt1xSXnYuJVdTQVTu8pC2e7m/M8p1XF1usAx9P/afbML+jc8iTQGTe/GPN/tQU9t1Is/gnjlefr20AbPsWQ5oBptFG5Wg25fxrB1nUlSGDEVHnxCbp20qzoH0VOm6t1C7H/pdx5ery9hmV2CIfQwLD1R8PjpTaTmpx6cabXLT1UJ7Iqwz7j4SQtla1sJH9lM9+LYcsHVq0AuFUWI1rZSFJgnf+eQ7ZP5SJqmwE8MrJfZ8vCYbX/eNpSoW11wc73WRXJorKVsNER+SHS3JVsdg6rseLfcdauphMeKbrcfwWjMSZ2t1Z2788Y8uT2QW0710EuKjHO/u+bgFKqvbvQVtsP157vwqHKsXvG6JZ44JdE8+qlOJlyKHqGP3JwixZ8rs7t0tY6upSfqvx3WOP65GgGXJQG9CA7bB/5rIGy2QsDGEpuqGu+dDOE+/uJrYpZiuWvzd3dfD4jonyrIE8KaT0PhVCsPaFqc8fMz5FT0Z2MGA7JgKekDEgSIxVuaOqeh5FItp7q1ENj/UulHNaE2A6AqkheS9W0KBS0WGVLU8RfuqvdcuoSVt0oEcFz8kFa9bgoP1MmBuwEjRNIy7k48VpH0Z10hMf6v5UEKLNAVOrUZQH+tBv8TqVAW7atG+deC5NsP9Ra/j547lEBlD3h6QUGsH/IWwLh1m8g2HqOq+bNvuCsA6QHykkwk0FICxf3LNeWNFQjP30SKHVbb6gnL1lW6kLGwQiat1zHvBFGQ0HMhjAELwAs9KvUC831rDx9CEbL/yzpjlAkBJO4C1jG9j+k0mdzfORsZv+lzJlS2J47nNOMR5Upcepva9g8PgYQTdbfp0rKaFxwTjLrfzfswb+gFO+oBvVKQnVD55H1Tlxttl1zcvJ4Wu2siFeG/ZaJ49zppx9LSZpan1BX3/eLnc0f0Ksxl21Jiu5RFzvuFcQk60KtIgOt0uxR6oXVdDGrVbcxoQxb+jIm2rixfzKzCsXROzQpdeMigHODQqm7M2qUz+DlWJwlMr6zc/5FgrsLVSyhRDTFFQkwSp3ufN/bbU +[+] Bogus msg_type: extra_timestamp_digit_-1, SPA packet: +07Dxj5iqt2SbY/9Ty0ySCq9ZXlC6col1mWyB/fY56YQX4iv4MWcnYOb/QxWRH2rfmNtPypQJbzPj17qGuRfsTTx2aRX3Eal8rXmdceS84ZLETLNZvauvLUhp8ux64bF0Ih4EO68A2nkGR6geV3/BlR9M0G53UMRU +[+] Bogus msg_type: extra_timestamp_digit_-2, SPA packet: +J24GrAuiCfRWqzglpggcngEEMLm6qNEw+mjOSn0F0979XMQfd4PJuWafpkylFW1o+4lujpVUn2tG5D3yei0u/AFsNsj+gKikR/Bw/oHUOxp7rkvJOAeO5qlf0DImjqSkux4Ap6w7LDLEjIFquXFLFCDG2BV0+qzI +[+] Bogus msg_type: extra_timestamp_digit_255, SPA packet: 8T31+FccSm5mbUbYFfWbsPe9ocAEOISDC8cfena62m9doC9U1/+QVIsWnWvsHK49GLbceQm639RaVCLAxdBKOq7Q4njHHQgwhzZPj5mtIWITzdFjbNEo5k9MPuk8exBNoEbA04h8U3FvFA+0Kb3g9yqsrbesFELCo +[+] Bogus user: non_b64_user_char_AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: 8/knS3j8pZme/pMlY9YTGGeJ3Eme6+i+Opky0VqDt0dkhkWZOTor0wbxlpW/b81vhz/BC1wVfjCmOa7daCdkrdlxVjk1fR6fHYJOukOrSWS/h0uF4XIphhJfB6URfXxQCc3lS1ywZZRkvHt+HaxBFLb72oe+uFAeTe9lh2zNzrbvWzKn84qB7oICr3yGsc39X7Z5dZBx+0+DDPksepeXq/wPxI5F89WXsmya4SGYGtII58yMFk0DCwCfEUwpb1LeJxwa1keDqAwg +[+] Bogus user: non_b64_user_char_-1, SPA packet: +jtZfhogDwy+jlqgC4ZbyiV2DMrz9XoSwtECQA7aiJ83IROR7WD1epFZwgUfozmF4Ep3pV/y7KDJ0ptWLk1HNF2EY1cunROlEZ5oSb3AgA9bVaTTvTKzWjQzntpVUAeol3jlrXcknm4nM7gGZAG7XUNVXVFPwuHm0 +[+] Bogus user: non_b64_user_char_-1, SPA packet: 9RkOthjrp4ImgD7xHOCsEBwanR4nGW0s8ao5L2ItBmy9HU1Luu9YKvZOpIQ2WQiVLqW/ZkK2cajI7PLZAv0Sbh+pIZ4fqh1hDhcclp7ciebYrHebHIPV4Aa+lUvTycrFVG0fBLhhWC/tUooeFLOejp+3h9gcIqIAY +[+] Bogus user: non_b64_user_char_123%123, SPA packet: /aQfqAXHd+F1w5SYOPKD3GCAxkwA17ep/ISzkDL1GzqEOhhi3hiCK3PR0zpg8bXlO9/sG7ujLuPRKcMP6D7SZwjm6r6UzvfnIq3XyuYiQez8f3cW/o7sYEeGuOfZ3e1RUneNgNhIKaeAV/9/023k7c89v4ILKUsrU +[+] Bogus user: non_b64_user_char_123.123, SPA packet: /wVJ9JHqH33IhRl/8iyE4ZUbQB2b+jCckl2yHOdY33YBWFhtUqa38hzV7p9QWr7ANS2oLCT1RtW3ze3RXWyvELpXALxonqiLbClLzLrr3tmme6ywsKwHKaN5Pv8lX/SKKNDAS8HTIy9LaUXwSl8d64uWlskZ92lpU +[+] Bogus user: non_b64_user_char_123$123, SPA packet: 8Ea7b7pWVDVFGSergyjx9l3I4bqmA3JVVVWxYw0L9LS7flooRyKASerVINCfCY7rsizVheG5b/7AmxRZnVnk/BUhQOjke8NGuOrKN/J7onh/eFO5JYveLiMt+V7xE7JXL5RFilHO3PkM7fKLKX6YTqWB3jYWhgj4k +[+] Bogus user: non_b64_user_char_-user, SPA packet: +wJLm0fpYkyHvPHbb+Us3l46sH8ix524XwlTo9P7oOU6NHgm66Bx3r1SgNKXkpLcJ+z1h6aWMessErOm4QZNfBUQ2RnWfsEJqH2ftbjCPn8+Tfc9WyAezla4G51VUEcsUs+dOnFaH965s6E2s8iYJvhYmh7RksWMY +[+] Bogus user: non_b64_user_char_-User, SPA packet: 9Ji6PbyoHX0aUFuWMa7mF2NqiUe9EtpWdrgIwk5l1Qb4WQdG7nWMZhsHO4TPefWvgn6TqLnqgvm7z7L2OWOyT85Rw8fV0VxJRmtKR5eBe7etUCQlD+UiVxSP2WwcGVn1p16U4pb3Y8nN/Xms2tjWGIOusTKnRL5LU +[+] Bogus user: non_b64_user_char_,User, SPA packet: +qAGdTHzGJU6Dx5CRG1zW39dA2WPykVxn20FAdm/YxvwF2cVElEGXVZ4OBViQQnY3oWPnkS2c0Jz844Z3dnXDNHg9NARlCW4V0Z92/RS29sN1pbJpbsWkTViyZdxjS4Yhk2VZHPGSPPBTKhQrPOYo8ZKxMRRV+lqM +[+] Bogus user: non_b64_user_char_part1 part2, SPA packet: /N9aWqETRQFgNtPBGI6QUgIOdNiKBapNSE5BU4KwFyZVujCJUr/9+YhDMIH2M1XzBIrQqC66vuZ0IT8W7fUB0TW3LwpsxEkrXMFnWVva2WaRTOS7XL76RVoho1XOfi9oqT8TQLcEXGxUz89t1XF/YN1h808x2rHa8g1Fk6mS/4TcVjDs90558O +[+] Bogus user: non_b64_user_char_a:b, SPA packet: 8xbsuooXdi4v+ESkqykGHOR51r3A1BMIstGFMFf/FcBIvixiOJ4gZKU7vKkpueOpcw84i60xQTF0ie/mTy4y7eF/EP8SCEa0yiRiQvHTVeC0GS/XpJNbm/MzrR02LzR7iOs631eSZwW/5C633b8TDAv2XGOUNt1DI +[+] Bogus access_msg: non_b64_user_char_1.2.3.4, SPA packet: 8jOrrVUeBx01XL+1zjOAjlYD1bFdDXb3W5hOubAw8LqwudOPHlN3EK7n0Kahp8kGDwTLkzDkfxRHdWKZazm3JiH6GGR34nC4+xts+dNlzhotga+41PKEddpbCD7yJpkYDhPBLz2qWZKcXsNpUWgP1VI1a7ZjiqFuk +[+] Bogus access_msg: non_b64_user_char_1.2.3.4., SPA packet: /8E7+Sr0BPhrK9f57UeHlbOzSGSbM/dvMRrZQolZ/RgtqkLdPrLucKX2eZd6X4bUvREtk7Tu6Tg8D7CDfmhi9WcfxezuVtheIaF8IB6X+f49DdVfzxioA/VPpmiVu093azM+/eWCgGkkbPeV5rWVNTheuIILuunC0 +[+] Bogus access_msg: non_b64_user_char_123.123.123.123, SPA packet: 9GwDy1wXCeiRpctc8kFBeyIEShnwBchHDhL47WFsfEK+GrJZd4IfbVvnYQlMcZfEkCHsib3Ixroz81gr/nzmcV3bFsUWSN9niEUXAH8RUqE3sFO9cVL6p2drBpcbU2pUHIf3D/VjZvqG2NaDA/pV5dnfH0M5MpjWQ +[+] Bogus access_msg: non_b64_user_char_923.123.123.123, SPA packet: /1rWqa9OHz7xnmFhAKhe5+6DQtZK1ZlC4GpMl6bscmA/ccyfHzBwPACZc2j+yPWkiMFU1ktUr7tz/s9v65M2UGhOPTW9KW0UQ0dZX7IHTE7YxvhXR7fqSfcxo1JHngtYGL8KamJ9IIGdKMJXtaZyXit4z05BaY5As +[+] Bogus access_msg: non_b64_user_char_123.123.123.123., SPA packet: +rKEh7SCc9XI66tsm8EQRJhYqKxvoX31huf+rqOAR9xghBuZhdMNoVo7TughzCDC6ycUToysSzbejqYWBpK6fWC4j6n3+1AASarIdeCk1Rm5m90B6Xfu63ShXBPWk0DxgTol2WQ+cMg4Z/cdynn1PlfDP4zzmy0FY +[+] Bogus access_msg: non_b64_user_char_999.999.999.999, SPA packet: /lsdio2tfGCM7GW/3cVAWBv4u1S6+jZ0i+FGT+oDRlV2XuDXpFiHjxezazICUNz6njnpUMmLkI0lLsgQ6bghnBaD6UXFpCNYNQ4jarjDMtEZp9cgWQrDMgAIGuiV1glgdDyANhtSaxFKwWJUsGsqvRiltl75D60RI +[+] Bogus access_msg: non_b64_user_char_1.2.3.4,tcp/2a2, SPA packet: +kggUR9nsa+nh3ICZdkVczuLqCrRPdlO7jPltTXoMg09NCCau23y7FYsvXMkIm4eKKxEVOLJsj1alPnWNBORBiu0gKFqj5NQIVkZ9BiSmE5PpGMT9ySx6zbfTR++jPMir7ujDM4+MSs9xDYzv4Ti3M/OzmSNncGoQ +[+] Bogus access_msg: non_b64_user_char_1.2.3.4,tcp/22,, SPA packet: 8HNtCep4Kzsayvy2Nyp5qituEWBYpwiMYRkqtqD6uAN8mvGoycW90KKStFZJHextUeAvVgUX1QOroTuV82/g8PZjJkaU6mV2KdodZ/8G9ICmF5KzYIm6AL90sUshIJknyKIcjZKsgP1lOYSuA7QG1qJz4RO2QTDPA +[+] Bogus access_msg: non_b64_user_char_1.2.3.4,tcp/123456, SPA packet: +dE56xBklbJ6rSCSEdrRCUa9Lmsbhn1ib0Ty0m2ZaOLbPpOe6i4utk8+X8kXQFvpTIrmcx1iqZYoYMiZL0v71tdgoXSVx/p9w5K2RTkPSd7yTg/ICazWisAtCsd3oq+3f9ZNKfDpFedDq2Bid61Xch994klQgJphs +[+] Bogus access_msg: non_b64_user_char_1.2.3.4,tcp/1234569999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999, SPA packet: /FLxAsAWKZMrHuBtZhl6+m9bE+sfF0NqXNpVrqpBjG9/Cf0kqZES8bJzJbTevMRHcywZey9g1b671HWW+uYHYU7k+LKDSE+5VosMGGtvRO8A33V71Z5UVTWZcwax/XZJJqa+57n9X2XeCwBTqAzsNRpJ4k5tAuP85P7KeAyB8xhP07GMaobeE86jTSSTomNQ6jo9jXdUf7itcAmrb5WGidff2sVU5eYljoU0YJIdlccVc5P14DZm5sVv0q/h0yp455+I+1pYU5vdmiR9KIOgSBObJaBpSN2e9J5rplyS6ef4Tc6JGxQVXaOTzovamytNsdHlQzo37qdEe1IZgzUvj4HyUYdo4Jy7c +[+] Bogus access_msg: non_b64_user_char_1.2.3.4,tcp//22, SPA packet: +maqKiumDj+fRhvO+UN3mRvVgUEn8PBJuIuhvCxIv/KifJ3DeoD02KF6CgwtsAUXW/qQSVTJ3mdKMykFaKrgwFwP9HRUMWsu3zMwA4TO1hq87oVuwpNzc8fsvJtwcBKIhl9/7Am1GDiDdggvl3j9nJCU2QrSSiHn4 +[+] Bogus access_msg: non_b64_user_char_1.2.3.4,tcp/22/, SPA packet: 8uR87/YO/iSf0KJY6vsgVOI2g2hh5ab16GiEwYfZ3tITYc9f/pfpMQalSZdmGzesn3g283qTHFRi4acDAtws7SB8sesFMssC1DnfCAqjBihZcnGVGoq+Z08T2qHdQjaKimogWlS0vZBFRzkyOmiD3hErTdduB6Hg0 +[+] Bogus access_msg: non_b64_user_char_a23.123.123.123,tcp/12345, SPA packet: /vD0YHmufmfiU+//a0/l+Ufw+2f8/HiKFfIR9V5iVR0fGACo/7JmUekAeuuhrdQmYTG1IqovzOIAvLLRnA9FXuMwTmYAPbfaTUkAHXYquoMt3CCeCMV1Cb3pkQ7J43OdL8dMS2lOOX0cs4uv3dA17ky1basiEI2/EWbayL/9bPQxLhk6n/gIpB +[+] Bogus access_msg: non_b64_user_char_999.999.999.999,tcp/22, SPA packet: 9386qdmUbviknC7REXU8Avs9u7bnu3GbXpcQBCjZt2J5StVaH66x/7kYaytHpWPmfvBw8Np1JXENLtXG4MXct5jbohl34+Jsyf8zAcDLxZDOx9rmFZ6iUT42ZJAfK8Rxc4887jEizrikkE+WYDE0vapX7RBeYHvgn3XBgc8UdWSG9gKZguLzLX +[+] Bogus access_msg: non_b64_user_char_999.1.1.1,tcp/22, SPA packet: /O0KhDk256kxRmd/SNFtbZ5l9Dw9sN6Y+t0JXn+GoZJLMe87+GVqrAiWRV3eAdHUGyQXyZxboTQi1Q6MhZ2fxFDUgBlbFQaQKTjD+dgaNeTyaVjliZ9HfVwMIi498hOccFf0W5Jk53NQi+nsNWDnPPNOJJI59VW48 +[+] Bogus access_msg: non_b64_user_char_-1, SPA packet: +CqFrhlawXjjKqWGIfoRBrt70pRwDC73hnvkpFtxeP4Tei+3VuuOx/cEjZOeQX8OXsrf5bsKkWr5HR6FDbM3YTKP7YJQgVasMxTeTH4OISibgoLWpLq+wTeyZD1kxA80tz/ZZmILlNWg +[+] Bogus access_msg: non_b64_user_char_1, SPA packet: 9Iqm4MvcVPILzEEE4gs+gCR84K6qli9BSfJP+WsKO9BOmloYNQppJAac1edmnNSB1A4lPJsJ4D/2mtYXXakpsCL1dsog5ebMWUNvqodlcErCAdweYdxyn6DFx5AiDfrk90bLkAsFqj9g +[+] Bogus access_msg: non_b64_user_char_A, SPA packet: +S07ch5Y2p4k78qkdD5QUtrNmwyMCV+yKO5vyo7z5L9SVtG1Ol7tlj4103Ps5KrGDXAgc/L2N55kscvQSLZ+XbQ1g+F/8tjXlp5keB+dnk5NfkndYvRnF5/03oABbYWkafg/iAV87HFw +[+] Bogus access_msg: non_b64_user_char_0, SPA packet: /9Yd/v+txUKuBfVn2KfR7FQzTXVFRMvlgpu6wCgr3R95DIlv/SJTEbQji4+zJsfE/KonYcwTi+BWhHzEyqJfDKW68HpvZ9LQGOPpX8wNa/bjNKz+jwALYxUx0lcrYzDT+cnPxTnKt3Dg +[+] Bogus access_msg: non_b64_user_char_AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: +V/3JBOZ6e9lMZLRFctph9f30OtGAu6UusOO5gwoct+9w+bVZZ4DxjncjoA0xfO+NciaMGw9JlI96TZHOEUsLDIATTm3rTJZrAOolFdSNLsVF2R9fC20n5mAtg19yBF+S2HWe2AWcljt0YRUZp/Kwo1ulPRA6Wx7SIP/rr6mEXExQJB8uNdTiaNhVgZz1CGPLkb7onfWzq9M2IAmkjQQSDqxMj/wrSm54bZhcHd1zMUGZyc2mwawmPLc/mYzpDIU8RWmjXlZS93qbu8pkQsrLzXOR/8siJaAna+rXdZpbxfAttGFxcGXf+KDyM4MRhR+iB5US0QRbDeIeQRYgUd0HCL9cxNPEJDwLejqNuxIVuhIgncS59a4wUokQD4CkI6Ah3jYPFTPc48pcD/Y/zTlC6o+GLou2wDvBf043akGt1g/p0XdWYhk2R1/rdac7yZdj5K1uRPgRMhoiHT97eCAHM9KKD+jcQN5itx1py57/HZ39Qq7mSC/e2cJfdFE6QbY/qotrLoOl7qgJsjBpIEj8bVDY4U006+WKkUgPKg9K/zt4wSqipZ/pMb1TDkKYy2arNsOX1hO7TdmX5xG4029cX5KLG7UrR97A92kGvJT/vSeFqYIOxC+EtG9oG/0PRRSs1Qxj96/W72BiOIs/JC2/c0YAP/T0ECmPHfmWXBgkCUlD4dCP+o51qNZ/ptNy+eSUHEUr8+GdAO0TqbIbzoKYycnFoSnySAIBw9JHUErwyZr0B6C5ah5mmEdhowOZsILGk+kt86VHHLOaS1AKgi6CgliAZTuh9tTjKBdYOAq0oieUd8QCKKLqFCwmHjUDzYBRq+5vQ6+KVrNum52iPzuevUdrhF1oA3UWesbfH0ocLhIKQM0swYsjNc7SJyczH3eCOILoeV3HK6zvERAaXXPSSKgwXfLzAbXCWW3XxegSSEoy7cJtmEbStoIrO7DEG0hq+Fa+3oXQnOIiyK0Uw7LPhSG1+JMsI60De8ySc8uXzA6FS+kXgb05flEgC0nk1IYJQprApZi0o5y4t5XnVjFVX1Q9QHmnutaUuV+OuuMMfjb11lQacVhfgp88YV0n+aGVXFUjcDxt2MGBGg9Ba0js/fqcp47f8c43Ol5vkXPwXToInBev0tOY8/pf3lzZKJXi8twrBEztEXBxdyo/B5FpCmXzt7FGJvOfYc1S0IAw3gBtN5XYQvraCY8mkJJa5xE4BEPVBWjIv+4id2bMhZe+wbvqcHInElTEfLfPcAlp4SCGBmV8JprgzRUfJH7uxzpfIowuCmJFQFFBr5RN5lKAYPIn0nspDP5sIG0v3K26kKsQEDlkurA/LG14LwcjTAlgNXZK+wVMpKZ47FXazPBdQ7t8V3gesHemLsxoUFn8DBy7+seu9Hh6tzfxHYFs9JJ+tDu+gnksVKg4OoYip3Q8hgtdfjTry0Dk +[+] Bogus access_msg: non_b64_user_char_////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: 9v24JMGB+yjEthd8x+Pk3lQlDi/WPP04AJWXvLVxXDY8cANR/6T7X6bn1I+tBZa3QRHbm8C5594og1+n0KMuLGBRYa2I6dMbq77bH8H/EP+d5Jv81a77FWhBb+rf0HGsEgKHx9PLPF/IYDx+hhVA3dCbR4yuydZ3GvMfjceEke2f4k1vglaFOyrmXrgbpac631Svdvf+xwu28r1sKQGVuV/vIQwQjh9C1apc8JlRCoWoZTSUi41F1DGRhU8LIL4BQYzYhcEeJhlVM2CaVPPqQnFgrWCbU95rYzGL46e7Rq6iipUc2kaMep2NewVOLjNSGM53aae8c7mZSe63O7Bw2UqbNSdxqneVs/3Q9mTkl7rEupTQQ0/B5uvuZShk4p65xdD4+FmxZcvDs+kt9/1yiMaS7QCsYa57bvAwZsyxgASF79QcjJyR55Vz+M8oeJDSCAx+3EI9LQPoGYCJchsNbxgVFFfaIauwpjvZcL9bpE8PDyQ/Xfud7skq/lzxF0mu6GCzHCAq8ojiXX2ndEsvS1obhImAN1da2BmE4Of0W+DcFD6RaKrRFdufOeopLepqgLB3U/4DIDALqUEtpu2btJzvFQhoRs2W15WpM9taNHjrcCPVGR3lKssQJIs2txgy2yN7GD3t9nmzD4+f/BevCeC3ihMfREDVvJcVkkWziJ7/oNd4bNwfmZ5OvUsA5QwpBqP3r30L3knPw+WknsKgSECTTRZFAD1enDAgYeXPt7zFakiyGtVHeuNKCsrPlZ8TToPFtvnWWN80bglK0+KIK4ipIoTdygfQiN5Z57JasZXxmT/H0sLuIQE5XH1SRzqSSdiY+C/rysH92yZR0hIV+bpYuBXfhDWwemqv3R5EPqOne3ObzlWtcWfbLTUDljX8tId3aAv+LiBdei32w5pQWEHHF2avrkvSKPp+aztG29ApyHhfXEE/pqoJ6g5QJ5Ie1Xcwpe9ORMhXvGunsbob+bjYKzzSoo0nwtdqCdinZFkAxsnN5cY8aLoCEsKL+nRCrnnIxwUEfak3CTYp/y9q0ToPf0FOsxJl3wRwGx57RkhtVYKA60+xCRJPLpUm6MCqi5EDDyON8rAZGtQs7No1e2RRWpVJYS6nMZ9jKqEbQhfA4B996ApgItCAnLmIAdRMxSYDHr1EGrZTR/geow7GFgSNB98CjQx+UedMxZQinBwrrh9cCyyEk0AOiznMVTSPYKmC9fgbgSN96G+Ei1LeDvS/FKh6ZIq6vM9M2Wa84qkkTMFq4T6pfByNAH9OKVH9me8s6ipXjG4qoaxJ6fXmAiUTyhE9XfxwmKQiwEuHnBiPYWBwWNTJG/1+ybl8hJOH1Zx5+WTNPmBw99h+nYpsrA6wDo5Hd2KP7zZDD+kNm+mkDKI6tEexxWvrrHJ6w+1d7dEvsUh2FdUluElTkOl6KhOsUKh/vkjLw +[+] Bogus access_msg: non_b64_user_char_%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%, SPA packet: /qf4QSuC+u1T3OtD4HGzTcmrHcVyPDbmiUj+wAh1XY/tbuCBjgTZFCbkS5faw9WGT0C+n/OghzHUzX+/DgVJOyjQqNPOOaXSiYyzOm/rVSewzVLURQkHRshCqnLyya7tDu0OuUHdaCT3JfV3JezuVSFoxt95K27/xS4LdbSeE5CktkXw9OtuuntDMkeG0373wKjfWz0NaeBw2N+YYoyJzCERF0bOJbEK7JxoXnisjnt5UZB0H49ly7lCt/F2jV+Q2Q/Jjaz6LwYfjKDBGnUwijOVEisCfHCRt1+WJfe/i3U6FVkOPj+rKmcywSk3o2O1cT54Nr2f/KvvNE3g8jdscZTMn/VcgPgl+PQHPF+xzWTVhlLxQ3Y1wm9RQ5CDB0bfGLTSXuSGd3C4qUEsnKz32kkpzweXu7Hk8OJQMbE/hzIRETa+iAtDlBnZ6RLt3pC6rgDDMsQu2zfXZWdq8ggWjN+RaUCncLNVfpxMT8+NX7+477MJOc4xWCOOwFR+tHNmAbWAgxZ97hOjjVguAech3MAchqpak3zNceY/HZaH6CflCoqHTszA5lREhsQoGKcPmblg+VVq9wkKzp6aj+4/EqZX0ZYvZQRCPtoaOUmOnj3cJFisp7U1ssjTZCcInfBgRKn04P4d8Mtem3pHgR5FDF0otlPPqZbMgupSYT3WZzFg0YjUNpj1/a5vcyjJyjZzSJM6iIqTaPMP0ZclDXfZfJMrZ8uwb80uZf5vVe9SBXD0mbVoN+iJYjd/3eDOyC4wbzdOK2BLV4Go1Aq1HI9Gwr46mxMKAeIS6hauCilMq+jw70hyXBvEaycwXA+MMxpMKFQbqvNQEOTGdGv2CVlOABWNkl6d5OOemKCXoKwhD2FG8kR//NJ+UDWdnxqoh82GHGB4ammtbZmeaGNiZW2dEmxQf8Ohooi/qDkWUYaNElqjzHV1TVAF8d8X8qqYwZiBqGm10fwlZFJDxx9e2pWkuBhBj/Mcd1VCm7kWDBFpk+birt2HYzyt00EswNQgO8B/ZMPlg8S4x5XLWf+jR7AaE9+7Tp7RHp+m83yKbjY1T6SmsmMuszvc5Nj37rHdMjFXMgd1R7+n9Zxx+KNhZJ5qIt8/xxP1wWRiQEwyvZMug/vVGLJywXEbM2nRhGVyXTz9AyEPncB5anSyzRiZ5jknmQIe4WKDQLW7aiSs6ya9txcErDrrcLwAQJFkOtvCMokCZ0FLzc6zpO6yLIelqaNl1em8qcLTXkbwHQvFumtOZwmaI3FMCyha7yBQ9z6NnQqvtBo2X8hwTspP/JPmVMwyFa947F0J0byGBTmvPPTJ5DXkomtEEexCu+3UxBOjbe6Quzw98ld7PWKHR5JnMLAtlRqD1RG8HeFmT+r8+PlBgkgYwHeOnE+Qbjcg1cCsnFzd4UKnviZJTteJxyEvGbFvUvpabQmflng7s +[+] Bogus access_msg: non_b64_user_char_::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::, SPA packet: /HU7fv3m24noBYF1mlBgls8e5D9Perb43PVY/7E9FEKie/VQqmMHJVyeIG02wyBzG/Z1HtaR5O9JGwm18oXIb/zraMFTCDOwnBvvqhxaVvmU6vN+lqVn15zFh5PKm5XLZPNICdkrE+aqOg29ZTN5XQZQotNT9WITQrE4Bol5KLToYzQIXeM2/w1VFBOczVXgRqvE6vR06zorj6diKuHxfac0xFhMzin62CnXfSdgEyGu8zmQZhtskjstSo0a8TdwNiJQBW+frPXFCZaz8hBRWGTOeEQfPXnKvfrLt4k+Ap8DfiW1kHMtzoZZWMSPjK+wcrDqjLImnCvgKIy4cbMPQlGZPNDw7sp94EfhAOZeOm9oTPkfpcXVFl0vtBpg9is6ARPybrdlVRCgp17l3UHfZnWL+TmYGvIWS1iPN9JhA9lKgZQkLYXjWOecgOSa42xBACfYwJEvrOJp6w/t1XsYftyrk/EftoXALI67HU8qpGgqGhyoA7b6Mcd3AB0DQ4WfxToiqAFhby18z0Gt1i6z85+3QGbhtN2CGTesY3Pa0IuxAtLpBmA0fgPR6RwzslVdoy9t1aBlKFsuaIlhwxtcV5kKmZyO/zofOnwjichkoq9kb7pYE18VByFIzy6rmGDZvr+fNwaKBPrGRpexGFeJwFXenu003Qi/S99SXfuRKSfpPb3PYTWcas7W9ZgjyN+rMr/c1CCqJ2XaDSvBkTEQ3FYiSaN+BMZUMln1ibOEFXWJPUQ0PE5m6Zyk6laZeV6nNSnK3yaW31B5jvrFNKF8yeAlGtfgMt6njBTw5cv7LzE6MMwRE1ck2Z/8jOktUGYb6sli7PukAIGS2Tr/+pVOPZC3lb+ALb78363rf0w1YbEaBR3qm7iqerLs9j9+tdh6Uc+3+z9a1woe1m6dZKGlqOlachHhsWCbEMBtxrplQyYHzjfmUEuGX68+DybTjWM4iDz0uR0m5nUfzyqdpdI/2j1cJC+1S5j8GM25mku+SOvG93ubWzvuCOygM0f8VkXeYhe4+YvTn7yiRqe1e5ZiR6MOsMwS/OmyrmWRYcsbtd3cEOQ0Y31MAGccpbFshEQ3dYCNfUiZ2wPjV0ONNVfUDKTmVPwTokKO4sD1trbmWMUou4Li99orDULbpDYAxcs1pS6I2UD//DUvkqLHfgIMVc9oczMymq3y3DTV9zlxjyDQJdfhHYA83oxpzXD08NCyyWiwAUl26WxLXHCD7Ff8uN6z7HXOWCJjFXcecgMujt2SVu2RcAMgFLkH/rHUEbEJQN/Jza766bUfDRysdFup88hWxuGrvfV678kCLP3QlJgW6cV13OyWmpl5PqbPAEfmTrpIRsUJDFzDzpExGe/FanlJ/LtfbSnaSdpFdH9dLRSYkkNcagjdhNPEnX4zSmSMm+Jr3h+0Xh828N74o2aJpJmzVvhjv0nBc +[+] Bogus access_msg: non_b64_user_char_(NA), SPA packet: (NULL) +[+] Bogus access_msg: non_b64_user_char_1.1.1.p/12345, SPA packet: +EU/t0+IPwOv6ccwiNlUaHtNROM1BgpBDp9B74AIYflvqabnmdACNS/lHSK9KpxSAxuq1lmKM7sl8tL0iRrsuMnMWqz+c6dUUyw5aU9dqFMXAOV/aSBieejunCWg00oIQVvo78xw+mP8ToEm6U0jZg3q04igIeMac +[+] Bogus access_msg: non_b64_user_char_1.1.1.2,,,,12345, SPA packet: +PPy4LKG5tpq5ZE8SiVujk7wvdebO82KD8ZZQepWAipWOvPRcDnBldyjwJaJgaDuHBdr6W++WEz+ZfXZyOoccGKT5A4eaRd0c/mNUymx257c2ldGTvKx3pm68Nc26rFQUXi4LZBOF96x5nhm4VnU3sVcuIw+QMNk8 +[+] Bogus access_msg: non_b64_user_char_1.1.1.2,icmp/123, SPA packet: 8icCx8hxI8zCeYrvZX/lA4+Qft2JOnFsWxXzo0STikh9ZvrlF8Q36KIjEpYvUdw/rTfi8uR6YrpoDxWO7rZpI5GjIajLWRgaQ1kwrprpzVv7jWvyrE5DoNg5hBdaWDox3Mr3tD9jg8MvfWc8SJkSOSn/pzyt9nFSQ +[+] Bogus access_msg: non_b64_user_char_,,,, SPA packet: +GOxU2ullLTV+bQFvwHxmLqBMzONtQXrFlG3KH13QulYDLXAg5nEk8V7ZqSR/Zjx/a/PkDfF78h2NF3yFgeFj/ObZHPjbbYOqPLtmnDpR8QYKgZM66V8mXClPg7UJ2q3F1+wC0i02Yzw +[+] Bogus access_msg: non_b64_user_char_----, SPA packet: /zWG1GDD+nooBdjohBumpOURzKiSeG2z+3jzORje720+9ICmt+slv9ym5qMLicdDkTXO1yalMGzquA3Koka4mThhCvEaL2JVaOezbAg3s1/YHg4k2rSh+0wRT6e/WHYBMG3dY3IxAMQQ +[+] Bogus access_msg: non_b64_user_char_1.3.4.5.5, SPA packet: +RygVjzKbm7Q3lUkgHpkAnzGxzVduh4P4sBtxf/VhknC9sF/LfDmqds30UdLHC0AAI9qK6k8Yy+WtKtUycaKQodKqH5wxNXH1R6LNFZzqh8ujaMt8X1w2d6olWpIfMC1CoT7jVOYRxXgNOcd1VElyTEmHYc7AcoBw +[+] Bogus access_msg: non_b64_user_char_1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: /cK22HqDr4OtpZODrKC6YlMUXDQG/MrwuYop9FIrPiPbbUocHQkWBfGP3+n9oGRx2v32GfotJI/W8aFueKHtRkXVuRcayZgtRlo2LUym9ecmioXm6scClJc47M1mgFBCEWWLYBX2as0SDhMDZfbD3qMNcUHs6rDe9aWoChz9ERDX+h/nPvLWLi6WXo9PjA5g42cB4mdFC+27jJaRgfI9SQPG9iEU8ctMTsdxLUQImn0MjrfQcC3M3x93mU+gMOhb2Nwl+yIkQIyo7IzuKoE9wyb53gLi4mWTfLyWrC6mIBRro7H3KxPI8kGUo7pcyx3dV901HkYS121A +[+] Bogus access_msg: non_b64_user_char_1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////22, SPA packet: 9aYq5jjbHLxdZR9ZDVpfT9EKgI8eoUG65OBUp31lFEGWRVD494BVhX6dZR/fddVyLlvwroQhfWuuaXntC99dPQ5+qIVppmKke/lRUNo2srSC454Msjpdog7P9NI/vjTkYZW8z6ItI8UPsXQIKepIOPr3lxSRwG7rPf4tJmLskeOBI9NnzoXy5qtro1pEwT7d17aQtGq1u4dWuMwNo7PLJ3A6VZGjArYh0/zqzRraU1OIm7W+7UwV5p1c/sEC4T1rZSXG3aJHdSZIF2hJWM8XGjOUFgEn2VqleLd/TgwPyICGMoU1ZxoQq1LQXK2nRxL7WQ+Oxd8uXNHw +[+] Bogus access_msg: non_b64_user_char_1.2.3.4,rcp/22, SPA packet: 98FbPHyU+t+cTvB/86aspQXylom5GvenbmbmLWM9R987wbiA7WVgTDVCLPyLU7Jyr1r9gAbhghRHiRc+l24wpW2u0RD6YzUUrbkSF3btJkdgg1FzcK07VVi47CbGIKP/sBMkD62p/QkCx4wjsLwQutTwR/IP1vJO8 +[+] Bogus access_msg: non_b64_user_char_1.2.3.4,udp/-1, SPA packet: 8edvwbR3ByQKxxWJ6S5wa6gugV74LrWPaN2DWIit9ViqUOVSbGt8rDX3jJs/1FjvxYf9w1QQInTkhk/ksUi+OvxjS/GXZJHEJUvpEeblCl6O6sn7HXjGkSKdg7M5mu+xrfozaT0jaq9s32NfY5D++rfYhQTZjBjbk +[+] Bogus access_msg: non_b64_user_char_1.2.3.4,tcp/-1, SPA packet: /NDjrrJdTuVnOXBBq0dm0d1W6Izvk7AE73q9ceZWWKxd7OH/VOPBz6h936YWWqoF47dKq3toapl2hp7StLCV4w/5xrl6bZ1LlWo5mRtLGwkZHVcPj8T29LQsxEhwAcO5z01ttlQV59lzZz08ki5jF2Vo6MBFkWpf4 +[+] Bogus access_msg: non_b64_user_char_1.2.3.4,icmp/-1, SPA packet: +hOKDux4zisbSKCWs+PodSqJXPu7rQFp3YsalMeK8ldgb31iuEt6PGlt2tV81D5sFOhssCyx5jsAWhIaHlA243MPrPVwBYcjD6ZoY7sB44EnUEvWdrGQMZ9+9brd5uBhz8KayQ+KdPUG3u2YK8m/YelLs0EnbVd3k +[+] Bogus access_msg: non_b64_user_char_1.2.3(NA).4,tcp/22, SPA packet: 99RIHEugz92S+AQOn70TC91mY5BJZBEoYtN9yPNCgSRV2PdXctxa2RzL0Y/r2U+ulGANOCNXKt9IDmq1sceN6r9tO0v4RtY7hAUVaUK6eEtgeZWH5QreV0rPK4YEh5Y0w/IvGoS7VMRg +[+] Bogus access_msg: non_b64_user_char_1.2.3.(NA)4,tcp/22, SPA packet: /Vek6ASDWyKKa1z1YuuNZRSLy8eklLtcWJvQWHzD4lDGykhkuCBzhpoXARazY37ye8KZ1I2fUnC8JJkAliyNDnX7tpQMwgADNuqlaRxVv/NOMO0yQXG8MpSf2NRFSmDKwmS+rtemaDDQ +[+] Bogus access_msg: non_b64_user_char_1.2.3.4(NA),tcp/22, SPA packet: 9BMNNJWibl7KF2SNxEPPixl/R6mhE6HVRcMBXlNJFlZrHIPneUgakQM/1bSZFxL2SHfeqsIENggCl/iqaCSCJKGury63H/pkw3nqol79SqDm6hIrNHVRwnvvWmYZdPyFwlNa6FDJODN//jKlFUGvX9BfjNvIMcD5o +[+] Bogus access_msg: non_b64_user_char_1.2.3.4,(NA)tcp/22, SPA packet: 81OflfvkisD9ZD/lfQnqkc7vwoWSIaPASyP1A2jsWSWmXopwNfivZAL+EW8Yzgy82KI2oJa6kEM8TpUbdWYv0Py/nHNSX62d+j5/27Te1jpLhjTL1nU7d4KVJOLaxQp5wbiedUugThQltjDYTloPY6B23cPvNXUcc +[+] Bogus access_msg: non_b64_user_char_1.2.3.4,t(NA)cp/22, SPA packet: /GhTSBglKgozfjVLI10mD326nKT2WO8+bJwlDaHxeNWhsA89lvf8+X6YA2IeZVuQdFCRkwIC6umxR6QNHe7ugs1iXAR8pAXp48gWcL0B4+BZVk7XGC4ucLqGpzg1t7oTterQClEg13lb5q/xsilPj6K4junSqrKeQ +[+] Bogus access_msg: non_b64_user_char_1.2.3.4,tc(NA)p/22, SPA packet: 86YTMwI3Zi/Q4IoG7l+74q1lrYXo9oK8P+zq2OBboto5w7tvMgT8wmaIgNIDlxMG6TMu15rjENsNZcws/rifWDxpQx4r/Z87UklhRQWLjKRePI7PcHTykoK2AnLrlvIszrppjLLsw/DIBGvpFgJ1YV3p4irghnCKk +[+] Bogus access_msg: non_b64_user_char_1.2.3.4,tcp(NA)/22, SPA packet: 9u+Iu5Udjjgbah/hewsOlhKTpIDrIER/PkgIoJIcikz0Fj+KNeok/KXlCzjJF0LO3+bEHuV47kGvFFpr2zH6Qk2OH5Bg/iPac8akr7Sse1m1X0ohZb6xIgsnNrl6NTv+17ESRLyMMq3N8bTZwwbaiJnfisGCVPUKg +[+] Bogus access_msg: non_b64_user_char_1.2.3.4,tcp/(NA)22, SPA packet: 8gZFJyQYbYITEZw3QSlRXrn29v4AotKQFAQtxCVI76Daqa3MTXJLjx1yQQdHWtswB06bCi4jXx3g43zhBhUDlaewTeCtrTCi4PgfP1IYdwhylc/+C+y/5+270BEGSv5berCaxbw3JrWCJ4PsBmhj1RWsMk5n7z/tg +[+] Bogus access_msg: non_b64_user_char_123.123.123(NA).123,tcp/22, SPA packet: +LsMKX+5wGQ31Ct6+B/6c4zOKJBByDs1UpIPkD5pxcEaPKThzhca0QDKHZGQsrxlELQVvuIclL3kuHl/TGGDljVDBmltTv8qKfFpkz9XMGSVmRsiakGZuyNpHMnRLEwQ38nsLNWs9OYKvuufHcNlYGFQi89F695W0 +[+] Bogus access_msg: non_b64_user_char_123.123.123.(NA)123,tcp/22, SPA packet: /E9TGaCuauXrESYdwdxvbAAbpaYP5zycrdGGDPuwzy4Ut6Iryuqb6XfSfL3KjEYeXqmeLtsl4iKK2tvBxu/br9tqpWfqEVpbWYpLvCZ0YFfVlhO5MP6aRAeNiNW2jG3rr7HIbIa8Vb8g2yKGFvACFk9oxg/KjDzFw +[+] Bogus access_msg: non_b64_user_char_123.123.123.1(NA)23,tcp/22, SPA packet: 9ziXIgS0ajMsijRM5EBeT0wCeudWLJFa0kaK0eZTjYfDZ4qULk13k7dW81A6yllsN+tWA54TVK+/VI2EJdSlE80P9a2PT/zOdR3FONiN7xe9pRvGYGRfZOXKeoBebz/8NKgAi51VJxV1r1Fa8CmqlUeCLu+pW3tvw +[+] Bogus access_msg: non_b64_user_char_123.123.123.12(NA)3,tcp/22, SPA packet: 8+Wcq7FiVmcJLFUUhKrstRbsxF044YomWaPhYVG0uYfwfNdlZjjQJpMpkJxKjwKTPLcDrhLG37Nde3tIbjvmUD6nknWf/SH5BjBaNQtsc6bfOVVy1GlYRuVsNCMkggmD6paIiVPhmomTlVrZw2/zoz98IqVXVnLDU +[+] Bogus access_msg: non_b64_user_char_123.123.123.123(NA),tcp/22, SPA packet: +3gCSyKP9JYme/mFiyWqUTuZie8tLSneIRJ9/CZ/dWYXglV+8WYOKNFJHNUM4k5D1sHPvuu4dOZnOgM109uMfyJUUxFZYItIB5Lm4fiLvc6XlTNx25KnQQ8YRSe4zPOGV+EASM/w+gLsJ4xkLVvzxVfDhHqQBpzHg +[+] Bogus access_msg: non_b64_user_char_123.123.123.123,(NA)tcp/22, SPA packet: 9nm7veE70DBEFn/6R/diti0zpiGOQqkPzy4cLCIpkvQPT6SJOR8mQEiDmLoRc94xZ4pOitQ2bdQfZmCw3rfWzq9PVcZDNB00hZPAZDaNLsPnT125VjVdyez9YmyGP4WWsiH40jUukgzyUH3fot1mPEjz+SCPTBdNc +[+] Bogus access_msg: non_b64_user_char_123.123.123.123,t(NA)cp/22, SPA packet: +96bjgSGr9apU2gWVG/18Sh7gI8VGpqGdLgPnaceRS86w/W5sjILy3ZJ963CoffKhdMXt9Q/rLQMQn47hSEKLZQ1pGKCUjaftfxSTc9soJ7mF9nzVpaD5sJjoxJzU3jf32eyMouVZgNZc9FcQCOsq2KlSKb5J0s8o +[+] Bogus access_msg: non_b64_user_char_123.123.123.123,tc(NA)p/22, SPA packet: 9V4cPjUXsVlmpqS/GYZ1VwmKQ8HRHnCviS+cD/CMhzfSDJBa+72lPOWu3nLuS2DxDxP4UHpAwy8cm3O9kVSJVNoY616wue0z2oJNEgkXo/N5rzI3s9yQos7X5jwIOxo1PFSFmOABRjE5j1XLMsr2G47mu6Op0g2VY +[+] Bogus access_msg: non_b64_user_char_123.123.123.123,tcp(NA)/22, SPA packet: 82Pbbt4yTMjuMpImG/DQowI+ccZzmftXGLckUt9hioCzJKBOx4+Luko9+xcTGPbGNQhFUchbAyGy3llh+/mVWhvbNjehFXg5ahS8o7nTAgawOTiDibYvZyLF7iAc340WA9BS8zdEEXT77jJSsxp1tQSSolWDdisBOi9xZHTqw0X9o/86bmq0MI +[+] Bogus access_msg: non_b64_user_char_123.123.123.123,tcp/(NA)22, SPA packet: /hfaEm1aQm7p1O4TSeI3B70MTkgdFiuSqf1Xcs++XWpl12P23mKIbr4iJI1pEE0da1NQZffSeiu2Co6siirk0SCLlZiyTu8e7tbahc73xeWG8cq9LDMGbrdQzQ96iPBeUncmkIkmlf+0atMs6f+xRtcX3tsynY+H57iIegR+OyXpAQQTek8heN +[+] Bogus access_msg: non_b64_user_char_1.2.3.4,t(NA)cp/22, SPA packet: +O/KML9ZuN7zXFUfDiOTg04P+OjzsgkLkapzwx4rOuJT34FHEMf8ZvvF9YHxwBaYxY15JUpBSv4Ni9xBNaTty/KSPOj2iI2BLszK9ZI4IVIIpnVa8RbAytEh/QObh4plS+ySQgLdwppvH2evGL9opjIHzN5WuU7F0 +[+] Bogus access_msg: non_b64_user_char_1.1.1.1,udp/1,tap/1,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: +H6Qsl/UFr+28F7hzL2kKFz5xv5WDr6UbT9Xrn+HyGG4B5+W0kd5N27ZcitzrjHqDITIotc3LZMty+XEM2kSeCYdU9keZbgqKk57cNiTqPqx9Fwumj9wE/9GqlfiW6pd+8gIFKvHpHEvzipHO1ZV0SrQFWeXNcwyRBcLACawMtF/HamKKNEFbqVPRLvQ37PkapYTQR36MWBTCzuzvBcdWSVaN6OZmhPm0 +[+] Bogus access_msg: non_b64_user_char_1.1.1.1,udp/1,tcp/-11,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: /NFLYtvB7RzKstjofAhtddASipBrq8agEKkAvCbE+9bb0nnf9BoaqPEw4/KhHd6g6nQNzcWQIs92J/xKnbTV60Escj+1eFh+yrao78gaYGSW7vwk9VhGGK2QIDEvIOULRfpRVvrYxosQt5kGuWwfOccDQDmviMJRSi39iJkaZNgctvXzWZ/MvwOiRbqGrRFZDhvd5pnhnEVCz6bRL0mtBEOoX76/0zlAo +[+] Bogus access_msg: non_b64_user_char_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp/12345, SPA packet: +0EUhVwMZR/NeWXewmPJQQJ1xzQpmcmIgc3nlAD8PLrXdOWg6tkq/GZdMKGPLkUxdjux7HJQ8MEBzWgqXM2PwmgVxB5bNN8Hvr2fostJCKvtfnDkeyw9OpjwnBa2+Alh5mgXKrdlDFSPf83z+++VWX1iUinM9oepQKeCMVk/uSh0sOQV6uggbaAijPzrzqOAjRRiyhUl0E6sP8r+VX9YUDRw4rtoBSVNU +[+] Bogus access_msg: non_b64_user_char_1.1.1.1,udp/1,tcp/1,tcp/2,udp/3,tcp/4,tcp////12345, SPA packet: 9sn9oImP1So0b4Wu8yAJi+sC+h1M+8AdI1i5H+13sg/sv+RcKOGci5oXpQ4lfNkY0LJLzoXhCoU23yDNd3SjOsQ0d7Xaoa8BsZy/hN9rDQE1I1pIF8wjr2Q2Rtyu/JZbJP20nS4UnNq4f+pPoIYoz4vTAwZxAiU953eJLVsFdKTiyhxQ5WI26kfj/jKrhDiVCSvQZh26WWoabMbPI2S7KPda82k2TJx08 +[+] Bogus access_msg: non_b64_user_char_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: 9H1gZEaz3b/IhbQTBYsa4wnpV1Q18vrYSCvAmEvqXx+9pQjrPJ33jX1jc76lyFA7CXaBfW53deR1GbVJfhoH/wf+8Zw1jOBA+vJeBtPUI4WO17B/iYXYpcjzxK3WTloFKmZ/JTOrjj5NmXo+b5KTwVzRJpeUCPMGYDAslI6PkiIW20N66DHXJndgf56m7oi8Jw0AkgwOzXaAWBBj/nCbrFDSAuFy1RyS8 +[+] Bogus access_msg: non_b64_user_char_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: /hYA6p7nahHbkBicPC2NcQ1m9sZce3jEfrXb/73zCUXlYL74d6/o5EFsnm254Js7WfWfyUQDnVqL1qgNNe9XKRlPycs18npepeIBih1xTbGUJ0VEKqS/E53S2yUUDLBoNf3XNfxqqa11jnE8wjRIzb27tbwxxtTNRJ/3/bc/FuHG+L4hrAX3R+ylWMF/QFRpipOTJzJ3WJBtzJEZ7953u7jL+hQ7kHZb8 +[+] Bogus access_msg: non_b64_user_char_1.1.1.1,udp/1,tcp/1,tcp/2udp/3*tcp/4,tcp////12345, SPA packet: +P4AbT5ogmjxuv9Y3d95rF4rts2+9r8DnNMmHYm0vK6m31BYhjkelsKc5Feu1WVUDCApesaDeSnX429xcEJzezSiNqYRbPea2C1IyCKNMKMktr9w5NZW+a+bqNp44wyRjgOzpTQZiFBnxIswuNuZ2azf0v/FsCNUlPh0SoHyaBoBMRZqywCl2Fp9B3kiCCM1q4wNe6ZEO6N+hTeF8g2c/lwBmhVzisxLw +[+] Bogus access_msg: non_b64_user_char_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcb/4,tcp////12345, SPA packet: 8HeToY7Y1E+agZrP/LyEDtgoo8ocyb9iisZ4ONmmkWnhssB+c/RP2EckLEy056TRyouyJGSzABzF6THJKParJi6rV95Q4qeIqGX+ZkigVzmEhT1K1W08idNEdHTrErm2P+IyJx7ViU+5WIQT+of1/NFTyyuXFomhEFLwZ+Z6q0PzWsjRuEVPSwJdheMYyD9pnBNxIsMNuEGSC+vq6dBQ5ra9j32ZwaONU +[+] Bogus access_msg: non_b64_user_char_1.1.1.1,udp/1,tcp/1tcp/2udp/3,tcp/4,tcp////12345, SPA packet: /T6J4lCueUCzfboA+vrfgFIakDhXL9kNhiCUxEqQnNloulHjaYV5u0FV6eGlkSlIqKis9UwWxHK1E6d3VlhM3Lg3wS3wW+D26uvlrz5M06lgOESEo23jam0zoPxL6v+Epi4IBD6X1lfq6vNGhGqQLtlxry5uteI87cfa+pHf+iQUl8zYvcGpVZGHdayAhZ0/mU0bkOnR4DiPqypfPYLqYnG6OdyKrC01s +[+] Bogus access_msg: non_b64_user_char_123.123.123.123udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345////////////, SPA packet: 9IV4QugSWKdJy96SYeEauD3mRvYi2+sLfPOyblzSRtTHd65RwVnrWj5pR3GJQZupjPr6clH/0Xyh9nRkWHI74JMEvjJE4txL2jMg7yKlEX4JirerNZhOvBtB5DuyhXuH7atI+yi3BPQuCGtNmyNS2x/0skdjMp1REoBR6LVdAPSrdt/l5h8j1yhmNrgcLiQ08RJQa3y+wvh6POTBcuDHDL6Qlsn1zpryWWT9f+2iHnes1fvh8GrxxAd9ROGdnwKxXd5kKa/aqPXA +[+] Bogus access_msg: non_b64_user_char_1.2.3.4,22, SPA packet: 8hpeN40JY10PII0t7UvcGnP890ryigamcrFDWL7gwUOochKLXVMW9sQKkUM0VsMAoQ5trRA7HAhW/1uBn66uEkF1c9YxwBd/QTeolNxv3A94NxwcX1QXTE5FVRpO0YKog3g6uRYsuJdsznp6a509qqy+DsIRVMqQc +[+] Bogus access_msg: non_b64_user_char_123.123.123.123,12345, SPA packet: 9Zy5ymx9vjvKKU5+UM/gJ6Y/ieu58dq7KiFy62arrfo8alNzQMRIFhaA/ESN3Nn5eakySJlWWgQID0rUxOTIzfm7tADen8Ksz0Xs5czIxGw7xUYFWZTlO/hUaZuNI8BPBbJWf/3LaAr6KG8K1hvc2/2j+T174tmD3vPck/HVbu9vnaTK5hDanV +[+] Bogus access_msg: non_b64_user_char_1.1.1.2,12345, SPA packet: /YCktEyumqLdMU7gkhKhgJfn0dzthvoz+sVCYF5dnGS0QpeZBx1xEZU7fFINXJ9fMg+NP49aXv9BW7LwmfKOVQWAvjmLElBNsdzDot3IfJUqNV5+Prec+t0wdGE9+/Xp82QX8+1H0zNZaraC0MOkObQuPZWMhhVy0 +[+] Bogus access_msg: non_b64_user_char_67, SPA packet: 9jFP8MdXhv0rEriBriAhGUQTyiXaRHgIq63FTzwO1rXSb5j1HnCjdIUfZjNukJO8cIwz8vwcogbDl9pFlivjLhcCricgK26ptfMv7JVDKOvhQ48jGqNiJyl/084m4ycKhO1OLccOYvfw +[+] Bogus NAT_access_msg: non_b64_user_char_1.2.3.4, SPA packet: 9n18pAF2VEaB8Zmlf4ViRAwQyUk7XGgspsWhGT5T4Dzv/sxQogq43sijpNd4wfW7CphWxhua2df0zCaGad4RckJQswV2cIwK2obD9AQ9v4ewu/krR5pMAWuM+XhGLdnAzwclFfxztiT55kjcDiOVk2j1MG0geqcCFdWZqJ9gDLiyRCmBMwguHV +[+] Bogus NAT_access_msg: non_b64_user_char_1.2.3.4., SPA packet: +WT7AOQyBKaT8Z3F3iZ0IB7W/zVRvT+m9SPpp98WFO3rQLrRVsO1TwtekZLB2VyL17Kre/Op3VV/lIL4iJV5LHsSQDsH6hBt588BaxVEZn5hfrEQt579f+ioOXbZOKQDO4fNY5/jKi2l5ubMnnXUygIZN+W+ofuQBm+UoI/ij5bQdoaKpLkuDH +[+] Bogus NAT_access_msg: non_b64_user_char_123.123.123.123, SPA packet: 8TRcVrrTE2YfRjhLHqT2lZOtcI+P1rzjsJPlsH8uR+m4vR0i5+ez1zPba7AkO6oC+tcIvR0RiX2B4TaLcXsbqo3EGjgTYCXHjHrDKB04QH86YehHHgqLF9C30LC6W1A/sZbhgdXDeF1ULYy4CW+Ofc/Fp4/TwZCcMdBRc6+Azh7X/UXuVxR3U8 +[+] Bogus NAT_access_msg: non_b64_user_char_923.123.123.123, SPA packet: +mvCSvOlcZWPHFHa3pk/6A6m4+VV2Hre6fYholQH1hcofMmDU28QuCWq54PcCHSWlKlzRDmzPBWU3uyDJsL5l/qTjSrX+HAnAZq/Nm3E8TjTgsXvYL8o2+01rKwThVcvdTJokOiqVNc00+lvOqeXeHaRjPZRk3ESnyCWSuzuHVuzRtDjcvBy3U +[+] Bogus NAT_access_msg: non_b64_user_char_123.123.123.123., SPA packet: /7SVJiArjsUf79LKETkfU/0OmymFfxQoHyWV7BHkqTryEpw6V1e1AtgXw9f5txwvy3Uaml872fEppoIrOUmnpilXwDK29WTTYThM9B40ElLlG53SjAN7eLX2H1V0C1WckTJDzec+tvReVbHIJTESuND4nnLi/NT4LWT7/3rv7lpjZVk6q43N8aMs5WVC4eNc2QxeCdLvtm2w +[+] Bogus NAT_access_msg: non_b64_user_char_999.999.999.999, SPA packet: /SzI1h63N3I63LT7T1Wl+XXbbx6Pyj60vDhaMfKIGaRMXxrebG+AOK2kaHSAIhm3R0Np/7gdte4GcV+Pg8ash+xBl9eRQP+0VLwtHsLfAHjmzhkO7DUrfvF3fgo+OlugItDds6MhAqalWwwnlApV5UwSRnXJ+YH6Udtzi3HuNThqmuFc0ovdb8 +[+] Bogus NAT_access_msg: non_b64_user_char_1.2.3.4,tcp/2a2, SPA packet: 9GoqVVB6D4v7Ry9jX5SMYo8cbVVcQJDhgK6cuya41NnoEQG0bA2p2sFhSgHBFXzxI28ma5cjNOTeZA8utqdyeCDiizKWZgQbA83Jo+GH1eMS4xwBf+pp0p0hE8JdE35N8R36iJqDCVfc4ePcINac9RgFdww3U4lKclyfZ6kUkA0iylKW0ylz+x +[+] Bogus NAT_access_msg: non_b64_user_char_1.2.3.4,tcp/22,, SPA packet: +0n+Ov4MIYI4WdycdmMRhEiF8BOTfkgLa2klalgXfJf/dSK/P7Mbts561VCH3h1Ge2sQFo0Od6VzduD5alhFYmBQ+RXPWgSKD398pSlwvKGAw8A8+WUu90EjFh4LBWWgnF2jMq4gLK9Jb8WPTRgaZm2rrs0/YnqLSnEU3D7Mnkjq1qtukP2wTd +[+] Bogus NAT_access_msg: non_b64_user_char_1.2.3.4,tcp/123456, SPA packet: /fNaMHJBPBPmuZs5OZIdqqI/i4SR10xdw4+c49SjGXg4fHTSQ67fufwEPOk0vw0IDLnMJZt3GEF6Pa/kAd5s/Shjoa7Fl1RQ3ZBWxN+fayoETPuSQ+NDZNRDg/ijSnqdZHRtCqH6Gn+bTMudQqN+o38WQWyLRhJ29KqSXQL1i2sVgXlRJGk/8cKJ1NH2KM2x2YMd81lRH/Xg +[+] Bogus NAT_access_msg: non_b64_user_char_1.2.3.4,tcp/1234569999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999999, SPA packet: +blAO4DMT8RZjJtVAF830uVkYBhaV3mRWVbHJqH72SFts2hL0SonwacKWlZJQNEu9VRnvkLvFYQwSocISkS8wie/IFoevxoIjyirLREzLKKsyBrPXEzAgX8LS3i4MuAiEtvpdPJqMGHV+gH+BnmiWC8hYIWmxAY/baAeSDDkuC0ZFD24dnzCUJGBUDZRkZyWqOUiTheMK5MHngtBVyuXv/p43sywfHl6lMoxbpzusoWomKRgeExqMc+uN8izhwoseUHTmHp7UBB4xST6inPfBNO1YsRAcloPTbVG1JtovgPspe4tgHr/5tE44hBcwlmfREOn/l8P13RCCX6kUQeh2nCmj5t088u8kw0ZgPe7e1AilfHiakQaKF +[+] Bogus NAT_access_msg: non_b64_user_char_1.2.3.4,tcp//22, SPA packet: 9+DC9brszmU2EuI0Fl79I9mmRD/GIYHCke/44BXWU2TgPHjUPHtrpUDPUY6RZSkTWhA5RryBgHt7d18v7T8JZIYNpGWKdsjin9w0zhWE76S0LoCESEPy/dW2izG7Xt9DETO6iYq0+tu4djKq3BVylg6MsXf7hf9JFfN4hRjuaniubvVIRWJaaL +[+] Bogus NAT_access_msg: non_b64_user_char_1.2.3.4,tcp/22/, SPA packet: /D4aQs6DYv7x6QX/BT5WFKKbuAA1bWlNtuxzCsKfsNKRGJAH2GBZA3iZ3lPFlHPYEiSZTsX9oQ0va+QeFIqyVlnZSfPoWrlXxlGO0v80eYJQW+0UHOKGwKuYdX6K5ACua49xpg04IUQANf15h/DuNE4J0QVWo1delG+vRKN+siYOcmokwQUUYd +[+] Bogus NAT_access_msg: non_b64_user_char_a23.123.123.123,tcp/12345, SPA packet: /pexRUoKiFH7DEmvp2aPyMh0Q9saz/IS+n3Z1u76rM5CBmeHBIOax0e/d1quI8jkNKoWRQK+gqsg7DGxDdsy1RWUVRr5QXWwpcVaG1uY1e5Cl11yOKXx+YYXu2E1jNdGKyHiXyA1lT5yrzDoAy6wXohKHSqhNd2PrVxWgxPmaF75HlRiRh34tHtezNZ9hFgOrKeuBk/xNS4Q +[+] Bogus NAT_access_msg: non_b64_user_char_999.999.999.999,tcp/22, SPA packet: 9aM9q1+ay7fCZpLPeAfXUFVe8N7gct2ys4oKZV3wtjYdqjmTnpEUwwNkWQ0HRvDJmPwLl/K2RAQwX6lk0dEIpfRCqa/QLgmUYYm+u8JCgFb1JgTH/Bjg3Kpzb+KZTPQx+R07ckNAAWGk+a6hZZopMElSI575i6htCQz3PQtgaxDRqkfd9wx+uuWfzjaL4W4BbAuI+yYsmNOQ +[+] Bogus NAT_access_msg: non_b64_user_char_999.1.1.1,tcp/22, SPA packet: /e6Y6nlWi1Sd8J2WD8qtmHwXY2JCpSjkA1zwvKKeHdw8o4WXiOZboncpfx4hk7fdaztHFWyYnqDIvVtquXYZX1u2kOZzYkAZ9mQEFZzFTi5FIbzebi6YusBCVCSLF2QvtgfKypW850pCSrfkSpr+q+r7XwjkUligfEX0BbJqTyOX6IWT9i6lQkbyN/GcPk4LQduPRTp0zEhg +[+] Bogus NAT_access_msg: non_b64_user_char_-1, SPA packet: /Vwg4mFG7VyzgNa3fpTFFcnRELl7KjcMlaIlVhlB7bRc1qkFbLcFqhEj3kKXC2NO39OaT+BGBEia/y+o8y6rqtGrAxKoPNb+UJ/A5XU9Ec8e99vYgf/xPhgCn7yxtYcXCkg3Zna+7YqZQ7NPP4vajzCTnEOvXtwuc +[+] Bogus NAT_access_msg: non_b64_user_char_1, SPA packet: 9rXk3uWgB8U7fSWePZXCaHIWJf/t8NlL8TLMWWME562/bCfUTNJtCbkZ1jKE+gKVPoSH8eV1silBUHwrhgXZrNfUtvxqHyjMVk23HGA9sRSezFdwMsWG9CfSy9VLD3a5+ydx0FkkHuWlGdwK0YvtJxCtnxqUzN28Q +[+] Bogus NAT_access_msg: non_b64_user_char_A, SPA packet: 8ahStG8PkimReXM+bNf7inFLS+koZ3yrv5bYOhn6PZeP0GKAUs8cF88h3WCZTOoFYE8aRU6Olq8cD7KfvqJE71T1f/Z5lV1HJFrZFYfHE8Y9pFiGPk9bUUcdaP9qu0pA+VlJRUmUPrsTo/LQd+Gpe0k7JItsYUIEs +[+] Bogus NAT_access_msg: non_b64_user_char_0, SPA packet: +DVRSFmmAkaB8TrWSdCFMJYUPZtphh34SzTq+oeW3Lw/rSfMCupG+dbnwV8KqZqKDQMVKLhLRP2kW7qXrawptSciiivKnx5PhbCkxL3Y9JF1IKX2n0jmQHnfDkVTX+4iN9rmLeeA0xzdKt8snSLnWuZIsZ750mGDs +[+] Bogus NAT_access_msg: non_b64_user_char_AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: +2MhLKjKqU7IXJsxjRA3vTf5aOHjOtimZn7f0rM17NKZkl2j+TKgHB/ve1FxzqCX75Orzu2dMGUxo/NQ9FNjWG4EAnlug9U6Ak/Lt033N7NfS4Hsrl+0m+7qVhnu9Ss2dgDrK1TgO9DZm4jSlBw8qRDBLqQWywu2GRixImRUmaeinDnrVtNQdG/ABDDd+pvUn3HnTNCKBRdav/5f+jzwCOW/JrVPXk+YqPfUdxEf5m1daC8aGuuMzkJPtzWueH9SK9IZ0+q9/CNlwgJ/4xDmu01rNx6WSCKn5K2ysmuB9BQcrXMLhEMK3+onSYllMFgriHTgVGdG+UooBOqBbPsL3DZUHfEOSWdPnEhDniQCtMzzD4K4fTxS96VrXfy40mII7H8zo/0fro9+/WVdtlpOkMy0HPytNpkGvBa98xuTXd99FjxNDW98iRZFB3ly2OrlkpwuVEiwcysweIq33snPnJXpZYc7RAGxlM3uHMXwMm34vKOhVX8ygkBxwQZ7YcI1M4qISqJ/bS2N8aKIT/KG5Bwix55qHFuRUQ7FjgxngaEQJ4PtRCWZh1DjByBDClCWREhz3+mPFs0wkbAE9MZxumOO+8gzOUgAp6bJCTf7wTYG2wa6dvNSdWfTWndzFzul3Xxfd9x1M8Wq3sFI6m/Bjp3XZHyh1NZS9jZwJXE1KeDR/Uf0E+UlqTSpzQKeOuQysexNN1RWaeFmV9NH3j5UXSI44Oyc2kgEVUSIzpNK7wTtdvstewMC/KiuFBWA/Vn5H6IUuRw0PRAw3VOwdUys6kzbFI1N3+O1Iq3l7AvK7aTw7PzlMq0odcUh4/gGFQjYTyy10Gahoib1d+r4D5gAP/luwGUXv/ghvkuRshVqDNdADFhRWWmn+GON1iX5lZTism6aRpIrSp9ZjwL0jOrqxW8ShLeIcM6O2jBccMeeD18dqzxKS/POLVsJM9amEDMAxOg6wEQl3OoOaBRouCgQFzN77XIePjOt+fmt7IMEFRuQ2p7unUY0w58zaM02k6ybIC6Rab81gh4PTSLsbtosBjtlkN6tP+FmxtCBPSa7/rRSPy65xD29z9ozUtvnML4KK7e5q3v2SvJ7omRv2amh2+gpj0M4f7Cs7V/i1USBq9na1e0WhYkjfPTrkYpi/D5plLOU4PixECuP2jpGfoCOslLitQoJEAhrkS68I1lIpO4MCt9mzIvEiO878a9LnxNN9NF4gEETICBjSt98iPat6FtKWQae/NMzwqqU1D/nfmpSR+vhyUyFEzKg8GANxaetuVPEF8bCpp7V77z61OGePBUdYA8d/GTTyFvPkGp6jqV4Yxcwgom1A7ds+5bkD4n5Dh4G7YfXGXiEO+O/+S6yMs/p2PVCeTlZs2k/70mnOuXTV+F6Ubpr4bo3oQrdD5wqqv3Qc7Rius4JD9EbOHbC5Z6Hu6iiLIA+4 +[+] Bogus NAT_access_msg: non_b64_user_char_////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: 98MW474sKyshqGL5ELfZex475ovWcQunLy2Grck6qp8ENt43/3/UnraAUNVvdyBXilCaSHJ/gvEHbXYcIkWqZ2vc9CPWcGCynKB+LIy7FQXPMDE6kCqJPliA0cPERo9OfVPLNnW8GELgq/mNFvnvB++4xIg1T6mDqrZA1tHoqHHnVFjzhjtUVZMKSnkQP+oB+qksaL6mblyzXAuW0wmwZRpz7tea1hZkmq+CBZoIR/kIBrnZhzLvjScaZk25mWNx8oPYLIcyhGiXOv89xcCq9yZMWPMC77xTIaTtSN/683bAHHdSY09Rg7tJ68Y/L/QDxC60hTgC7WKzTAMifUNNlEDBLI1WDgBE+chvH2SQKjuaHvwnQZJ1iWVKxAfmAh0A3g5C5GP18Dxiab7bQsenhpGtIC1Vh72ucNqpSHoLdeczsuvpLdBvdyLhQAxqxBwD/+GrTNGd5fgR9uGJAtYpCmOVwa79DdTnk5VMu1p5weO2GchkLjeR4VPMzuREblq4ph8qLJPdBnpDSnra7giFbnMQgU50ZYfI6WpSSsn1Vsiwb6HqhNGIQhp/BbSoB1icvfi8MA4DYjwNY9wB2a7yedbFqC7UGxjjR8zWy1YIek1HGihiAB4QjAUhTaY5tXAxeczsRwiDbz2LyEzMaK7NSCdTOuoJj2S7JjHb3k1+mbb7xNW6etgwE0Q0YwFNqYYo33kargX+K9S4zjihtCz4J7vnUel7KB/E8Z3Al/3DT/jjl3BJpsGi9L2W4nmKYFeN85lsOS6mhKfqzN0MOp7Zsf1rHG+xqJBAlBuckaXJW5HBCpdHJ1o2zvzcDJEUq0XwDT8ABuVpUr5Nr2CXmsxC8N055mHfxgLUw7boZkjMIosU5M2MEKJn8VWnupMeJPhXtgh9OCutIQDpeoJryweaBRreG05u+/ST3OTsm82OwqNHUHWYMkp1BAL1P4Zcld5ImLhKooMRRehPYOeI5E1ILADzrF87dY1QtKiPL/oIquzZrFd3eKLe5BW376AqyQZdL9bKRKqlNieldFVSdXynFU4dXo6m5YIxiXGZrlhKulds7IoWkuFPnThscjpgWfML7wYBgh8CIV4RWiBjOs2nxAoABnWSxAy2FA6ErhxFfLbidNkKjNZ9hcsOt4ziu65XufoVer/GlcTOTnWX2rvcNpyTplr0O2uw2V+SEkZBPQAeMoKypYOszz/miO0EFHRHvD2dK2DdW3wUUhkDAcDl6iijhHctDoo7IgvohaPrTUSdW/vrFiVjv/uSf5wg/NzG7ADxLKK4v82hZXpEVqh9pZdVGxoatVuRNBXSFqkgV1shFth+UZBmJyiD6ICJMaa/dSYb1W/kFGbyX9U1/68OTBoKY1ie46l//B28wf5I38eHrRr3kKEME+Jbd9k8ksF9ZucCmGqobIDSAPE5scMyrWPZ0aKvB0KoY +[+] Bogus NAT_access_msg: non_b64_user_char_%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%, SPA packet: /GQr1+P0unf2+CY4CNADzT4fw+UdBwbMbuO0gRJyiInftZhF8JaoyOztXWUl85i25jpfqB3xz9xyq8DQ6CN00oN30Ids5qJQ2LtloD7mjMCxpEsPTAw8CMdlSlrRJsg/Zdo/nx88cVQRhuMBBsA1feJr0yIOvWP1oIboGbDaw7LCAPB8W4LumHxz9Kah2nNUJtBBq+4+tbtJLy72GhFQoBBW+XcCrNf0Fe0CPQ2v3HVNh6NXFJziaMmeg6q7VTwpWlR9/JqvhqlTElQaNpcWHjipAA1eCWCA1snxIf90wSyGOIrI4zhmJ1L9/B1tQbg2OoYJXs4YM6RsmAyKprpZ9zA4mVOgw+rNpyBYWjFjQluZJDrWw3/Xq+9S4nlJxCVKvJiFa+cMAUGmKgK6zUQbAkIMv8atvvW0vuHp07KFSNRMx34wm8cZn1ZK7AiG1EmasAvlaqUS68koWK/J2gvIuiObBeEGt/8SGvoCLMWsMRkCyC11V7BEMFFyl72ikQMCmgeqZb5A4hWEUA+6vfnukps09xn1SRInggEeNonYOlfdi5rdehIEp2PKhwo2AJAnZhRyLISRBz853+Qpfdk7a4v2plEjEPqsuCNWMPEEYVwKtGczbRMrcdoxAJBuwax/5UakXciNKLaA1wAbYaPUWPKy6dY6YvTjMV/QOopBaStFCxb2nww8nlNIbQzmPB89rMBXhsExWDdywkUhYTnOr7XYibFGZNZ0495mS+Ntn80wokWTEs3YuuxMRLlbWwPDEmSk4wAh6PSmL8CRjhKK8I1MwEiQUtlgAvacZLpcxUEoR4YQk6xnD7NM7m+bFhVUKDUV/sJIDrBaT3CEWxcdXdUh6HlaDiI/kzZ1VMSMK/4+893h6LiP9KH/3r2cmkW+5IFX8hvuG5RuIW6Sn39YA4g7kYCP6ZPeDWi4b8FtlgiBH4PilK9HQczV17YCo4BndkQJbsGNryYt99AaZXYYRBBT2UlBExDIrklyvyVGDiK+CGjwArNut1+Q86v5Cjam93oy3G8BrmY+jDWdQU7O2jsBZ0p96CyNAmW4D2PCJA0TXvVQVrkvcM2rrfMYDDEDvnfPA0xcTFxP9OYhve44L7MEOq/jwGmU05OGkRuRQShJWeVIU5xUeoqUI2HdjXeviewssaJ6NbNjXMCn2qriwIp0ZhMPY03UFut2S86MIPp6obDVImPcHO6kTLdc4pKw6tMhozKaz3GywCcK7IyXFwcjeOXQ1tauDgmpPLysx8ouUGz9YvYT/SL28LFPYBEkTN0cFl9DU6gNn9cW8E5HzYy6mjIzXk35mVUcxaTcy/tC7WSsPBraEnH8hmd5OV7wbznQAC0rwbsRTDekHEBh+3EC/d029tXQbpRsoy9G+QPPiiBh4TbrPdA1hkOw0G2AJm2rc4qslWJ8toYrOZi272zpkS+5ErDmo +[+] Bogus NAT_access_msg: non_b64_user_char_::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::, SPA packet: 8vliaX77J0YTdSIkM9vpD5iWDnIiZGFkL3G92NqTkV4HeyeHWEcwEaAVYaLIqGHwjbUW6DWBsh31mesKi+xRmSoH/J2VQLBDXw+/52Dc+7zr9/Uo02qR2+gC93rtPi0Zmz0jCu4p0/euQc+wllsgh6y2F3PeEuax4AyCNhTe78fbu2rDsBXju9Pkw3tmTF3ZPrbLiNi+qIKZURpor3k/l7Om/RSgBHW1ROrIiElIvGxrvO1zLKn1G8jmeslXxcBXpRScH/kzGAEurLKi59jhvACCwA+8gzQf6pyXX2vK0WFkodZvQhtlJYZyk5KvpPp+Yv7uRyF5GkTahFN6QLtXCqmrNzcIWua/Me2fBDEPEXfWwLlxgoIxAxp8Qm8iwY0p1Lofs2kW0qe7/CLatdLo/iObF9wxU93QKp9h9oG38KR8uH97WR0jWq3UpQjQlxBSDDjXReIyuH2KaFBuD5JZidwQfKs7iEUpEPETqw8E8Cnvs/j7Rx38GtdsIjiOG3lVxpqFrqCBE7Pap2iFyZIlUEbRneAGM6KsY7ZmBdGsfdOCx7Z9e+APkyTuu6tkFrXaFEaEP90LDKuC+hDnPnnA5i5tmgAXgPgOwcQ3TVZmTtm+sakZKnOryFxlIN+dl7zzwKawWkxh4jkToMbu7c77qZB0u+X6xAFs9vgLMaAJdYf1YXskVafxIk/Kg/eAROtk70t9oq6nzrFxnRAABkLfGbTX3/nDTJJmdu8UveNY+UYr3To3Gzd4/zwWsTBakHETJrBFPoJfmWc7zbXbNe7wogaPkTIkspcVRR3o3qadEnbPnucuoecDqM5ChjfEpctQKy9VE/sQQ44TdrBquk3FJbbrC1g12/CPlJCcpNMeKwalPa8htoh/lx4SD/t8H/vVGnqLIlEWOtdYPVoMAFInEkDlxUmIjynqwSupuCFceV4ayyrqxmE9W1AaVWsSgRvV177ngz3OB1+PiUK9BhszSnAgzCrGJfJXDK+k3zbdBFAlKcJTBe2uV7v4k0ModE9TRx5f+PafOTNaCI2GeklyG50RaFj3Fu2kzhBegvT+4Rf0NJ9OmWP97sc99w4BUm3J+wG9z92iaSA9vNQRikgXph5taJTJOyt7Ug+JatMvDabtw5dXe0s5YebY/PcphA0uTdIfLeuihuVfNbd+TI7mdu2Bw5d4U7tgqsKz3dWChmuU4WKzawpywaaFzVmj9qPCKtMd2KSYaj2C+StiWTVwKGE1PLObbPykj2yqztLrRmiQAqSGU5Ocl7d5yFzrJseQ6rwpwD4g0UfzXCaA3G2f2jIAenfM8PloJw4G9nVP30IcHLdREoYN+G//X4Vi1RfrlVP/LNXw5BZzOL/7wVa31lQkSHKo77i7uSgBFEBa5dA3Ax30y8t02qcrCKs1l8sRwVPoyoTsP2BGtK1sPmsf+vLTlSG0LT/ss +[+] Bogus NAT_access_msg: non_b64_user_char_(NA), SPA packet: (NULL) +[+] Bogus NAT_access_msg: non_b64_user_char_1.1.1.p/12345, SPA packet: +zCky3qjGKs4mI8JhsjaivUMsz6cwxOgcn5bT9ZVR9KIlH5xNs8ZryIwljFT9/tehSOhT662FcxFX67gmcsEWOPeHrymSz6rnjcZOeAZzHWuVGODZXPklTVDtaD4M6ePzoKKuyq+hunae5APgILH3Pm+sSo4uPbjfr0JGtfjbAby9YW3OA42V8 +[+] Bogus NAT_access_msg: non_b64_user_char_1.1.1.2,,,,12345, SPA packet: /owri0OruTWYrtA8NG7IGEtkLi/vbdJVCFfV41Er85ScMyEU6kJtCh/xW1fiuR/gqrfblUzZ1gPP1nU5XidFtp/rpuLoMIwXsrDF6muyis5QDeR//kiHihp3g3nTpJhDjF2eQfkn96YCHd/ZgEe0FhCjAixjC511jlCUGBISpxl16JVCIR4/FoU3P2YLZ5sGP2Ye616Ihs2Q +[+] Bogus NAT_access_msg: non_b64_user_char_1.1.1.2,icmp/123, SPA packet: /0OdcjvLau8DzCpoQCQAGF31MquYQFCCboHnRJbfuoNPX/kBZa3Ql43cpXiJuEFKHdY2JKoy07hgqAf99fn00SCEP9kh8aotF28SJvV9KS59+UE5yNy/VWa3Pewze/pmq4ez7vBt8CjXo6w9RlsUN42uKbtoGG3sIZ0KaiTD+TAIze/YLAKcTu7+ty68YEyFErojoG0TTqvA +[+] Bogus NAT_access_msg: non_b64_user_char_,,,, SPA packet: /y77t6xal3AQ0qeYwZjJfvTTeUqziFXnRjVZcKvjs7+EVP3Lwjqi7JNJA3C3UPeGaNiBXv5sD0nyMMMkAvG4a7izt/dodH0LiJ3BVn+MqAg3X46TG/erZm5oGv3Pp43k7m1QzC5B0UtYuMuJByLIeWqPrpN7EL/II +[+] Bogus NAT_access_msg: non_b64_user_char_----, SPA packet: 9Wixugydxldlv0ubi/UKKm1o6UlxGOTWZ/6dbjPTOJ/WmDBCMyPc3fF1gxVuJmoajtCc7ZU7dPXuy44Fmgiia6LtswLyszv6xbUwSP2nDoUG/h3pTcY92mXY7i1Cik32J2tgx98rSF2ke6hvwxZaWZTslnmXdnYj8rYUyzr6moOGx95fIk7XCu +[+] Bogus NAT_access_msg: non_b64_user_char_1.3.4.5.5, SPA packet: +Ww4AXTjQSctne/cz7oebVgdv9ArkHJiN6agzIq/IslX/60yTVlegNzjUQaU271WQ9ax0LFwN173rouSAZU3OzIE43RYZxcsUlI4q2TuHudp4B/qAjtFzsZXmruJM09O9xqe1BhBNJJ2rXMAN4PVs0FFRm4tF4fhJPpDesyz1Tdev753gQRUQW +[+] Bogus NAT_access_msg: non_b64_user_char_1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: 9Pv3iEQhu0ebIKNs5C6abpZAMfUkjNSKWCzhGD8ibK66NlPs5wpVjnVvmalFaRJZLDMiLUd43BQYRPSbk9j+MxNRqCtQ2FYsefJSP/fLFUZWNP9wn9ipBttUIDqKGbS0ZYkX/MtwGoVr9WiJNBlRYE7ZFhJG7RidN1ILohgGGi4ADuiN5Of9wIw17rRyYNGUWxj9VFQwcWc15ZIrNaG1403uVzWtCghrhfWrkqq3RL679RrhikKUO4XeCWTLbCtNl92d6IXZ/c73/rudPsVDc0uJ+eDkCnnX99ivRF3StmeoAFbcyGEJnU+nLjutQTP26hgi7phYkEn1ylvi6QSWjLgTBHpnJ86VM +[+] Bogus NAT_access_msg: non_b64_user_char_1.3.4.5,////////////////////////////////////////////////////////////////////////////////////////////////////22, SPA packet: /7g7+vcbqbxY9emDPJDCB0jkwVAVgr45eNHJXZr90w2nqYjsnpcjrP/H1tO01kxtQdxyXBqChDZE7jwTyRIxfIBiaThTh5yLZRa6+KcayeS9x/MmSBIH5PA739jyFTUmlt2whlt1XPWxi5RDSXR23oeLXFZc50ZgKXWC/386MyEH7OYeQhu751FhxOGMm7OqcAWv9XvYaM8kf9DfEEcRj2Jhw82nrKHwhNgWsNgbnsvPgTfi+2QweOW8qZeGeIpOEEE6f6jDoaA/MXgRTi2lMM33gh5rs4N0syHwR3hD8oNkYn1XFCJHACTIHl3SX3HDS/pTnutYqoqb53hYIJf/agfQi6xJST560 +[+] Bogus NAT_access_msg: non_b64_user_char_1.2.3.4,rcp/22, SPA packet: 9hpIO1SxES+QIkQBkpqt1iaj547MvnJGukSnZluzqLGytPsxkQwvgefxeadclJ+ezqpId0/CEU0/eVSoU/DFoX2QJ2TctGRaIvAGjE//UvunqBe4omemxNUbTqO2ga4j9gof6SJoDCaFu+M3zNWU/p4ZinMZkKDr1ShBtNj/GPPvyU3/phvVOn +[+] Bogus NAT_access_msg: non_b64_user_char_1.2.3.4,udp/-1, SPA packet: 8oFioCQyvoUAM1L9pFcwTrcn4aHE5pmd40ZmotoWtNfvmUtoSAWLUJ7bVFwNX5V1cYfLyPLSIiZXpMNu64BV7ASrH8yhUo8sJtuilW5r34eXxVG3zrIPcrOkGbGFE69g78FPQYuSYBNtjYCloJmS0zAZNEtoW/M+dOPStTY4Uf9odAmwvnduKI +[+] Bogus NAT_access_msg: non_b64_user_char_1.2.3.4,tcp/-1, SPA packet: 8/3C+siF1mvlbVqvITTEypLeS5xMA1PzOPYb+PFTl2/obwW+6nVJUP3yHOz0Tvr0f4HemnyVVcv/hdgyJAT+GtEor/tuhX/LIgC0LYXPUjKykGX1ykl0nfk4OiiXR2kM2GuMJkSsWv/mmTc23NB1ke3+308nfmpsesJ+i91NlirGdodBmL68db +[+] Bogus NAT_access_msg: non_b64_user_char_1.2.3.4,icmp/-1, SPA packet: 9QZbqO/yNdqZLNabLltsM/qFYXno2sF78swKFQIhd4DX9YwVUu/9rd4zDnnfmBOdshQaElgygCV51dyKX8JGwkiF7TzZwZJs7kRkSsQkeiuJAvXV5erN2TTLwXRhwc7QgzZUUNykFDESdt22QXc+FNGRmFT2WXbpv/+Zcl17BJ8YMcdxH6BEJR +[+] Bogus NAT_access_msg: non_b64_user_char_1.2.3(NA).4,tcp/22, SPA packet: 9WRN+meeBaU+S2GcWyelk3b/92r22DA1S9iplvLLS6oV9TNMjODelnDaODJ2L6ivvMEVaNVIrje72ry6CRR/rLVfEFFkQx0lyK/lVHGTu1eeaNVHjoErd1F0KktBjvaWKhUgPiQoQ89lm1HVR2X6DU7VjcbhIpdCO7WsNG1daik99cI6Ug6mH1 +[+] Bogus NAT_access_msg: non_b64_user_char_1.2.3.(NA)4,tcp/22, SPA packet: 9NBBOp/Fk9/KoqsKWmwHk44TYXeXLKvdYfH2rra10c63uB7OMpal89eP04Zwa9piuT+ZSoDdQn77JqwNlcoDQTIMbhcwQh4CHzHNJIwoyu2TMoCrrCky6zAGKiiZicj0b2j2j17n4PEzIx0owtNRDlvojjWAxLGUSkIRnVOdMJ1eQQ7wrd8k0l +[+] Bogus NAT_access_msg: non_b64_user_char_1.2.3.4(NA),tcp/22, SPA packet: /VFYcBSeke1pILfs52wznq/wPuRgc9uANYiIpzrTg4EHQRsNUcWTFO6EVu1YRa/Qud7PXnzmjEhIAVv0LaB/0bbSsukdSO8I6ohGtP0qYapu60ulNpHaE6ARdvVTddO5MEKlTaykzAs2QSh2l3dhJTwGBhzWexmR+MR5CI244+1h5dEynghhd3 +[+] Bogus NAT_access_msg: non_b64_user_char_1.2.3.4,(NA)tcp/22, SPA packet: +lNqAhpz4NHVg1PdZsAcMMLe4cFfB8QBu2A3AcNKMnPO/RD3Rqv5p2fXNwURMcUJMqUHhLIgGzIFC6/Cspjzy1MMUlhkKQrIXLCx0rKC+nVH49Vu0cVUOEd+jgrdEJs/37qeftRUr+32YTStSsAd3Xw4VJ5GCxDzhDlLkYtgfDJnChPSu6e5wp +[+] Bogus NAT_access_msg: non_b64_user_char_1.2.3.4,t(NA)cp/22, SPA packet: 8QDQufL7rJa9ctggUCHdNanhGnXai7mfE9/8Koca3voY+osrNC+oApDC80z3y94OXD7xRfHbvSkIv64/GQB/F3UtkLei06IT35sHKaIMvr/A9tp61pJjqa9XlGI1+gzMiPnV46d04YGQzwhQDnMGetSfy5kiGWlwgyv6ZCmQi2NjC+/RSWj3MA +[+] Bogus NAT_access_msg: non_b64_user_char_1.2.3.4,tc(NA)p/22, SPA packet: /j4+ZLdGoCf62mSudpLzPq36fk9WmCkS/iaEALB/FEz2KiBv1uTJlKW+os/r8ivzfkMqyyrZ9f8ng1oFzkA2S51rqazmQO6zX36BwOrIIFi/5LphYk0SpJIqmul9vdEjRfCOhyRbiLXQpHjRy6j0fnYPA92XTd4B/bHLe4nl2sEJ+LXhfc/rhQ +[+] Bogus NAT_access_msg: non_b64_user_char_1.2.3.4,tcp(NA)/22, SPA packet: /7LY1iWFGQzEJ1npnLqALzi74FJ4838UeeH7eXBfRyWyVXJ9gvqnkkYGd4zPUjflpTlbfzVevwVe4r4GdEWczU2s1th/CqfigcQuEbT0Hse9ChCSu+RvYDV6pJ/uEvjB8UqSAK9UJ6c3RRZ5lvTQG8cHkOgxBLnN4ygqekGHzljBW2vstB2WO0 +[+] Bogus NAT_access_msg: non_b64_user_char_1.2.3.4,tcp/(NA)22, SPA packet: +FXJWdwCFR5pY1cRRxTOjsBzc1bnEEcBR+SLgYsVi3jmJ85EF0HqKPlWdodu6CH/hSGcOjruon85l7eUL/Ygh9Cfog3/rGKFdiRHc/ruJWaKWtTvGgdCIkIvOvwR1PavBTMP63vL0vksbu7CJg/sjQMfGllmpkJ3+xotcgkQOo1DzHyxI80D7A +[+] Bogus NAT_access_msg: non_b64_user_char_123.123.123(NA).123,tcp/22, SPA packet: +qkf7GoOS2+UR4BK0hLtrLhvRhbNDnWKLW+z7rdqjwjvo+CJwkJdvvn/STyWZlQTAfUDB5xCQlNydirQq71xAwTyspqsmOvsmPAgIthJHgmsgfxbW8TyjzAlk9qsNQ7muDgVTtPxWYMt1JszmD065BAcTJzix2W2AH5TesKfQaSp9xoFNQnr8T +[+] Bogus NAT_access_msg: non_b64_user_char_123.123.123.(NA)123,tcp/22, SPA packet: 8K6tX4nTwP6xKT1p3dfb4Og/EpdcNVdQ09iYgZqOhqPGHtrsIglbES+EkXr5+Fc2XSJQTnK68WxksRzDifanLF8SF7/91pmFDiCr3hF7g9ieSTYPYJQh/aw9oS1MNiIGeqwoVMHRYkmzgtJ7W6+ZKu+cKj4zaOus7n8KEORDLjXxwbqYNuZxR9 +[+] Bogus NAT_access_msg: non_b64_user_char_123.123.123.1(NA)23,tcp/22, SPA packet: /WzsBrGICmNeP0G8QEC1zTfat+a4EHRIfKFuSYnzYd4II4Pr0w4+y4drqi4wOT5EhgiQMiIVuhb59nH6y0O5dbeP8QNEUmn4HOXWgbmqtJV/CXgwJAF0I62KJK4Qce2eJOdD74N4wiF5Gue1sr1VacKCmv4ZuX6O/6oE6CskX8Ik2RBNL/mjZ5 +[+] Bogus NAT_access_msg: non_b64_user_char_123.123.123.12(NA)3,tcp/22, SPA packet: /oCVzZHu2xitve8a1PgQnlPQt2Zk86eAv+rnh5tYEBmWMb3oHSb1EtEYL3CWUvLHST2so3PHpl/Ga1RhnB36ZkjPTyoxwx6sbWKaPVA50CZ9cuH9f7l/LAPjUNfozz1rfJtNB6Dj3RX340PKWb1RSSZHAPSR6ccBd8WtnKESiBTtBL+jEcH4uw +[+] Bogus NAT_access_msg: non_b64_user_char_123.123.123.123(NA),tcp/22, SPA packet: 8QwquZvhvKG7H+3yb9SD+00Qei+ksx1EQWlcBHWmcdG6jeN0jk6XyMWtHT4QHkZSmXcL9aTYdlJjm+uNEaHUBSa0IDkbzsgDwDpRF/czU4OrxtRKMrksMlDyib6CaUf2btpl4MRIOUJh1R9ZttPhFchby001C+PxBqQXq1RSQq6We9/3Lyetmq +[+] Bogus NAT_access_msg: non_b64_user_char_123.123.123.123,(NA)tcp/22, SPA packet: /+SejLzbCg4AthSbAjMAwi29jNZt69OyJzjmUtY45B0gen8KNzK2TjACIygPE8vEV+bk9lPLRmJ/2/IR/19m0V7a+XQUpQXIVYHnm/ixLXcbiDbKZOYn4IZG/+XPoSO+HpUMD3MB9wbHAvaiOHvmZp7JXxA0qGxyjlVIQCQBDsjSeQ0CoHWdIQXNiG47fluYAPd/iaBYpU6g +[+] Bogus NAT_access_msg: non_b64_user_char_123.123.123.123,t(NA)cp/22, SPA packet: +3DyQb4ZsTm+PzcQQzVmc0PGyexuqoRsA7EaRsnbKtp+o/ZoSZ5TgeLvo/pOx4expKSOUrB6Ia48rpijxelUMOqTNmN7pHCzSuS8EmzvF26JaoRCSHY0zeuw48MBUA5NX7RdLOoRNqVVA6ah0aymOvvZ18RfFYZWZhAgtpijI3CUEUURMVWnJax9ILDwPfqB1MPQ8Rw3es2Q +[+] Bogus NAT_access_msg: non_b64_user_char_123.123.123.123,tc(NA)p/22, SPA packet: 9ztB2nOZqulmMEF8kbgSkWsMnatKt0aB/aL+2kteWploak3uVjgeGhY1wpGZv+imMLIEtfsRCfxbZeJLre8pJNwVqxfpHLrxM++3HUy52Qm40y9ofyKX2bOj0qB/n/iQs9GnEORyua7oNt9L7WthIxOLyyWc9ePErF4smkbbYAejVD8r2TdvQpvM4WKi08qi+NMpwbkJ1rVQ +[+] Bogus NAT_access_msg: non_b64_user_char_123.123.123.123,tcp(NA)/22, SPA packet: 8F+tWGUr08DO9Xr+1TpkqDJqjWd90Mrm+lzjH1sGUNxCGIZlgk/vem6zdBNxiBKQXIufeiR0HsY0UvoiSasIxOy2DpzXI1wyVcy7H9xfNz4W0hlfLCR0T1sdokPjkCihwHyHyAtycrHb0Pd7Mwnd3tP/+kqr7aNNL7h/LwgNwsK+YUdF5PCoImlWTCjF9WKHShNLLqh3yZcA +[+] Bogus NAT_access_msg: non_b64_user_char_123.123.123.123,tcp/(NA)22, SPA packet: 9n1Lgt8Yx125Wy+7RqIWx6apq4PiMkUxChQ9iF5l8nD6spN+FXfTSAM83DXv2sk124fLWyeEwn1ksAgrGDbBeL7KVP9DsvhL/uQOylIywBf5mmOdy41w3OHcsyu00jp1/A8+cTFgocSosPZe5BDR838VH8SS1arCExedZZxoKykmuS6x5OOXO/V7mX1+K0O3K9VY3aiFPs8g +[+] Bogus NAT_access_msg: non_b64_user_char_1.2.3.4,t(NA)cp/22, SPA packet: +I5p9gIdxEIrf8ML1HeHkKKT4f6ZoBxMMH6auY+0+Xb151AeFigVFOPy7ucAbIRy7/I66haN6Ivo/KhQDv/ZIAKgpxBP6juXtTnZW3h2iXsRQpm/orZBIus9sACF35NbcJw9i2q+bd6Qj+HTaP2CISg4qCI6qGGycY7As6qaCP9OQqeGQx0Iv4 +[+] Bogus NAT_access_msg: non_b64_user_char_1.1.1.1,udp/1,tap/1,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: 9VP8ld670VafOdzcBM1HbPuLAu0kjPixwupbusswXMuDM8jIcwUyTq/0Y1lVKg2/6+ytNF7ac5p3VrRbXma7j9xbWXxAtDjvuLgEw/dY+EXQ+NSDnd424TCXojGs3TkapLeBJcDyBkEpHS1VLp47uIgEgtoYYBSI3dABRDiLBly3oFWhLQlshWyt7oqzoW2f3ctbJejdUuimZFBYNorF0ruKU8jANXFsVzFb9eMR41+gWNL0MYgT4Z +[+] Bogus NAT_access_msg: non_b64_user_char_1.1.1.1,udp/1,tcp/-11,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: /cb3dTiHuUzIbnqWrV+hCQgNj1Bb9KhH8muGpZfNymcQeQEraYEhwkuz7WbG9k/z2JlYBqpKOEQJfBZ2eiOV1sDWy6J6p1laZibpwMpu/lmh8xaB+IQcIQE9S/F1u5doE4zr3G076C48tExNGvufa9+4uVTHRtadvv4/JzXDkAvRRcQR/AadPER3xOpO3foTgOvP5tq62yhGinzx/gCep3crN+cBZXnBvEKJKQFz5II5Yzg7pHleUv +[+] Bogus NAT_access_msg: non_b64_user_char_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp/12345, SPA packet: /oTZZnN1gEEfsKGCECEMWO28yEZPWzRpwHnPH1gaxTvA6flzBFEwdxE5NQihJnulmeGJL+78B/OKnAqLyh3uuSHYQq7gkaLC405m2s2KlTt+eXkHKbDkeC+1rTsRwhmR9N3DoZ4eIKY4SwC725NHs6ji1mrTNYJce3+SAUngvCh3YKpUQJK11UE99V/tbB55TkhgOUIooOzldkLjW1tpzVfoApEBdmuv1KSW0lWgOt6so/1D1Yut6t +[+] Bogus NAT_access_msg: non_b64_user_char_1.1.1.1,udp/1,tcp/1,tcp/2,udp/3,tcp/4,tcp////12345, SPA packet: /B5IAnw9majft4Mol7JWg94EssVsSXiJ5/3wGJFNFvBVwa2aZALhvAW0q+UyJXOoYNeNC5xHDZCEemWCedRD8+yXOBsefnZXy2JMf16wQp/xTE4IPVQToG/rHL4W4ow9/PAQPZdRxVzKaRMzJphC9LR5z/npu344fukbHbUnWMl0TpeDfTGAI1yxDGiGrIbzB+0XlddBh9tT+GNA+7feoNbNjC9J8lVr8u19yG7GHhYqxC3W6UuBxG +[+] Bogus NAT_access_msg: non_b64_user_char_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: /pVm5l8vLOGe6w97Zv/nbkAAc+FOzfGfATcTVVZTq6xCnNmip0qgfYBD70FRR5ifYU+hcb9WapmVsZxpfh853rGx5IPseluSRM6daNWaY98eXjBNEbh4x6mlaqCgtv5H7KdceEAI7/myYaReaAq0auM5+fYaO09HX04bA8eZkKCkOoAI3XrbAfEOPg8cvh7YJkkxd3WlF4SCP5IEIfwQWDMPnfiLXNCO7llEPzGgqgC1UGGw/xVk75 +[+] Bogus NAT_access_msg: non_b64_user_char_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345, SPA packet: /T+pie+qnr1lFc78Lio18f7B4r2hCBnEM/yPD2LyPtz2CGfUTYf5yiOq8lG9XvNKotTcVifEINYG8lWxr81sESJhFJZcQxCDiyjAdhbbPL3yhPLxVky/U5EDKEmeFkaxP0/7EQptP2jMMkPNJTBY7UshpCxtkp5qQuF5bL/rqiimCS05K1z7kPad0uQvYvsNsGc++Ulh8ShPqPTc+oU+eyHrc8Q0l7c1rMG2rB5JM+VndNHqTzvSPU +[+] Bogus NAT_access_msg: non_b64_user_char_1.1.1.1,udp/1,tcp/1,tcp/2udp/3*tcp/4,tcp////12345, SPA packet: 8V4Zh8A4bGFlrxP882SDt/TpvFEL7lS29DG6NqP7pfdXGJmt8JXwxnWwKTl/Z5rHtFpxfGLdItli0VDOXEi1wvQZxA6Z20rfxCf4SJQaOhCSDc6MHNCjlmm95RZexKVsSUnPfb2IB0fCR8llYtJHdO06DhgUdQ5NlajATiJ8pg+uhrGa21L+HGhtXyEuIJrqIXpqFHdrloWmDYkSIpiz01UqU4udU4WR0KWGfE5e8iixLEpuCqnrFR +[+] Bogus NAT_access_msg: non_b64_user_char_1.1.1.1,udp/1,tcp/1,tcp/2udp/3,tcb/4,tcp////12345, SPA packet: /AZ8DjMe2A9QhRHS2HaUjDSU4oCxVFPV8+24oCJ4Fj7Af70P/TVPUuZizJW2c8AwlnTwMN7iIFJ5JB5HIbbR25FI0fX/Lxy/laNsLS/hI6hbTNZa5dgPQudZHuCCRKQuwGa/8KslfS5yRkdtKbUfMzuvG02qOCQOBFNOUM7DXvDMbPEEYP9YJDbGnPozj203APzwTSFp/jdeS54KzH1KRJEob3km/F96SUdvD+jaKr6d2/+RpTTrZo +[+] Bogus NAT_access_msg: non_b64_user_char_1.1.1.1,udp/1,tcp/1tcp/2udp/3,tcp/4,tcp////12345, SPA packet: 9rrtlR+kvBBH8eUd0RcyzuSZcAF9Ks07eIasMQ4hLOU/eD6Pj17USyZAfrX4HW4poyDCT1jatGci8OFwM6hth8PV7K8hL7nlcwoFxvwf4HZEuG+D3630VbDa2s/+KcWQcYGt/aGEt28sys0E1Mvd1njy92An7JcJTnByHhDmCmwbwHuO5wIu6JMRiTqCkzsuBJv9B/zR+C26fO6CiLuKDGniEzCZU4l0EG+YuGfg2+2FJ++ic1qZr6 +[+] Bogus NAT_access_msg: non_b64_user_char_123.123.123.123udp/1,tcp/1,tcp/2udp/3,tcp/4,tcp////12345////////////, SPA packet: 8YIx4N9qaSBpOjsF9nq92SiRNvQQMndvYtBgMgpMBAosB+gHbQ/nrj7JBEwsJT6BmvUXLUMXSqwZ7F07965z1sgCYtHODiCF177v1HkBCTrYiTjcETnyMkiaXEWWNqiZ9UVFH01yZduCr1APULESe6j0xsrRH2x56Po5JmGOoB5vT3sZ79Ct0UegdBrGE6h0pYv2MtYVwFJA9b6yq4y4GgRBzFQhws5VLNWDsQQi80jWkgFpg61zYX/+faff9KSzC5NBhKeghnQ4g/ElInNdjRx9BHC5ybJqI +[+] Bogus cmd_msg: non_b64_user_char_-1, SPA packet: 8toad5OH0SCCUf9Yzvx3vM8f4f2LD2K4b45KBcL9kLVdb8YetF1o3h4EcRkkSiLzOTI/yXB0FZMqSr8Zvr2VP1zBTxEzwWFGSMt5NuxJHIvTG99O2bB8KbhDg1Z/MMgj+iVPEboNSFoQ +[+] Bogus cmd_msg: non_b64_user_char_1, SPA packet: 95NKoXTZ3PYcSDVWROqMQLvRj8Yik7laX7+j2HIVYv8lptx/9iNuKaPWxdf7zVDcHaPzyxyctj9xv3C94+OjutQeH9SISBRe/mrcXFoizXB5AffsgH+sNZ/Ctlj7qDWtDPlBG+ynz1nA +[+] Bogus cmd_msg: non_b64_user_char_A, SPA packet: +l+cFFT55VFcUnt63BbuuxcCkRX5VmkQafaMRym1EipPMHOF1hQmagOiC7hRyVNMUTkhX1VChQBgXfJRXREx/kCzF22vFba6mkPusXTrmmEmajtQnCif6Fmey+aM5elqUf3gR+KIe9Zw +[+] Bogus cmd_msg: non_b64_user_char_0, SPA packet: +zBfXhivYMh8S23GTSy8hFrtxo6acESltYigpDC5r5u4GokvHifwd4A8j4m80Gq29kD19SpJqCXHKdxBVoUVD/lq03yqTtZz4LD1NIMsWWJ5smDEJXN2PaV1J6MeMJKUDmdlH9GgcGcQ +[+] Bogus cmd_msg: non_b64_user_char_AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: 91Oej9Zd+pUmNe9qS+KWacqkTp5kg/lKkQD1DCwIf+VPdY01jHwZQGZhqGnOmsxm46nZ6fSSagiQRPIceAjdXOyiUE8u1FDJ+mV1G2EeL/r9TWLasSukoLySXlbsjcJE+JkucLryoYd1ly8fHzZdNC7JC3LdQ1mzRXnPOpS9ieDU/5mT9+NA9xtPwt6EiC0f8dlRI16i0huTiYrJplPivKMK3WJe3uQKAIMPFLoLct1LRhjF48iskiyPR0sLcJECDYexkRad/ea+DxluNLDpiVl0USvAn9KfFXtxYEDLjxBA8kieCYLEtNN92AT0LOw6/DxxzaEswP1URlc5e49zA+HEPlFxGGHs6b1wh65IEYmrUSoRh2z1n0Vxz3ylU88dnpz0c601fp07SrgpbMiIuA4qqdFTK2D8UBM8mTM2vo1lDkOtRxLVHGVV0WSZGjO3hJZj+xEy7XNb5/bXvRlhyYk8vB/qRI+sRJ6xRLHp9U0mPp7bM8fC2gTIbDreC/c1SgmQ2Ci3v8DNroVw6/3+UbeAmCOlrgDP+S64hOh+Yl9/dKrXqn1rqNUBtxof4fS2D1uZum/XTFy3CX0DIgcX9qCVaHBqhqyL1DngU+iuqeQ93uzOBNRSVfh9J5RwQ+nsOFAdEZ4Wr5y32t8uAtei0bnKj2elfnMzqZvkBHuGpPciYn7oUmXl3WH8ePlOC5B17XmISQGDksUdg/tJYwZc3AqP6XOCEXgE6s0CsLYQWJootbdLr6rd6m3VQMlgI9d6XpeOdq7cUzuk49K977e6yNJZmSSqqdV0K5j/2JL153jFr1xuykqEf3ysvMQR4ShJhuzZjnMlkqHiGAqyXBGOt/DYLJTged7sYWq+NQIqgBgDwvzgo2tODjrGMi6kJVVZ6g2XYNQ8Ye2cvt4oyQnpnPfBsTH6Bix3TCp6Mc0r+d+l9P85m4QHTHTh2/hrj0G1yxd8LxwdgRmCJOqf7oXoFJ67KnOxo2hnmqWrdbbwcj4gEOGooK6hYr6hswaBMOcBxyOdGFL3t0HsxBbQe4mU/DWnpOhElsA+PjIJKiro+D5bxB4VZX3dtPJ7D2KzzIRIjIbJtPagiGsdNrCfzPq+DVZPnMgCJTEbrPzi+LYtYSzVC0dI3O8/D6zyAYpJFS496QSXtq80hvzpjHbBdwP8YoN5FeLiKYABGhlgAJsIEaJ9pOQsTp2qmkAS/qkV4DhmQnHkjKh9cz7etSuYlgN8Qtmh39LOMaRBlOML7aQCCbU43zjCrXEbz/u+67AZtDYOPIFkaqvz0ZZs0BwUXDEJWj1Q2eiV5xF32tyejUIyUeMM2nOHEpJy1OoUrZ1kJ8vuMKYGtLDYji5nfhNMHYKpIELMXv1yE64kOGsho2To5bqKRnVrQeF3YSuEq18s6zsH9mW9vNep4Pl+uCyF567emRDi0cSxc2I0o +[+] Bogus cmd_msg: non_b64_user_char_////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////, SPA packet: /S3maMQ3zBii3nA9zVVatDFdc+Yan40VfDkD7zi0T5NsXxCre4hEhmgMS5lnCMASgKmNtRVxOTXQneEXyOyVikzRQU62xw+pHcAitvP4PkOl7Lqb8mYIPmiTCfXIWPdvH59+acXQFy48PcBP77y++YvwzNQn27M+rYcoL4/Xll9G0piUylyZ9AhFWQJkG8f8RX9WXOQJCsKQOV6RLTkTXaTC8AMaaeMh5i53J+N1o+WwQweGDfy1OFugflcvCkMoTrVbfOQg9SDOxqP39hP00eIykkQPBt+SIN7j004MPZDR5SNlTwHxklsmU4A8B9gnyys+AJI9kkc1bTRci43FdXZ0tAWz2TWC9I4WeOvBIj3AuUmrPo7tE1Ih7VYhzXl+kTu4AvTTBY8unfxde9HB1IYZ47asheRI6Oimnu/zYSiU7THFAflBSia/E7jeuVCEG96ObhvFYk8tmGAOpKLVge1zqDVh6ZAN4N46ANlAo3nXCnGJ8PO9HptSDoveBewXWjaqymXW5bVtq77iJamew58FOmpZ9TOGvzPf+/QaopIuGgKHWHDA5BhblzxdBu2vAFurtuFfad9I6pVxGfLpDwc/dTbD88YDf9U4Mp5iA0FkFPIwT91QaXUtBRklq7o5m4WLRlQC2qs6RufAMg3gS1dFcFJz/UT2Fac2qI+gr5izB10eC2C6clEfAtHmxHcwN8NQcp1/2ubWNdCgEwrgIqcZEtA/TFOX00w3fp+Ke0djjfNOJ8vGC/OC4pZFiefY3or4f3MVPjegH772QKN3q2LWeYiirZ4P2dnZO8t61za+sRWwd3z1XB+tfKlq1ioF1dtVKceTqE8lkpdQqcbDFmRAfi+fxoOWRmmVrjVn3G9ahbneNnk+ETerkytKBa4n3dxM2Pa2w1IQukqmlz1JD+Nc2xo+ph47IHfgXuj0X6tVJCR13jMAuP1RLe9/ypSc6dM7i28CITuaOfVXAeul+vnRtgI/XsKfdS7JGcex7GxHnGGpmIMNSxYH2LUOR5jZsSbWbG4VvZ6cJSwRXi1+gyJ+wLSqtmkm4h+V2u4XW2HHSovy0APsHLcD01J7Tq5L5v72ONR8EF6MAeRpDWI5Uhpm1/j5cVS7weaGVmOY6iPQ+ujzErPrVuXlY3jum0c/58MMOscHWM5Tza7dWlqdrpNqZ6oa1Jxc3r1HcOTITrnI/npbCfsGFvhxRNQFJj7hsFV/4ZeXBpXMZBp+/XPiQHD4AiCSvSw45cyz93NF7bBjW1BdR31pzuvCOGEDevTY4ngj7fmvSKPtZ8oAIsqaIvlLcmxeHAsvmJsrcA5J6vk9Bp8kwEpIitoGY+Z6Y3PouX8JKfuk12il53Qt/9pM53BgYhFPSre00NazkHi7s1g6r/SVLK03JWM19KRZ10RMcPRybxpAiDaLNo2ZLxEQELiWyNt4VHMn4 +[+] Bogus cmd_msg: non_b64_user_char_%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%, SPA packet: 9Srp7lxdw5tffsowL3qC3TY5rKKGpjXCZCiSQ5uu91IyhuPguPV709bjkNqqsiYjaW322xb2krxFZQpvA3btFL54DBDZq2I0DeebK0E+zENid7ZGC2kapxRNDg+IUO3GrdRTuZByn6mJjvZ7tmXG4Qu+4gdPv7AtVPa2bDnirwyHswA76k728Xe8vQsVLljMn6JGYqM0jfUqxkdq5PmbQHEw9qrkuMcLnJzHF8+tE3/RMnq/qJB+eOGscH1a1KBRZzPptSHl9NcQwBs4nC/KZtwmG2AlO0WLUuDJChJ3Vjoy2B13O1/x5vI4VIqAOKmWMHmtYglRixdsILeq8uVfwerbQOZEPF1vi3rQqi49dNrpcMp5p4akZ+/7dhaaujjVDgsrkoUrbsh1Kot7SJBF7UFrOfFIofHjJUV79M24bdYhcymENMFo5a+Yfm8SKAoW6CxHbAY7oS8JWVXP/DkOAs0n5wchM6r50ZK15jIlcx7p1h5UJodWV/tXaHJ6w2Q5b5udKrElgcrIgaZmuNMKAnNBvq1IcddgpxAJzSsGLiTmbmBb2s6kwNmeoqbGuSWRo5FrDs0a+uwXOUavMIqYJ9M6PXCuQKoBWbwWManZbFcaALSYJ79j23/5qrI7kCwFYfyIvxJ9hNhNiB3+zinrYUaXK74mnQSMTbYx4btiH9yH14D/MO+dNnnlrCSDT+xwnUrTSWD3fnVLyNP3kvF9sccDrRI/hVjsnw3j29HaiEwM3ftuMYZUWtVAbHrqiOkunzLQmIERAmKafNSapJn5g7MpYLkJvcsgRvGfYotQ9/IM8k4Xhn5U3aFs9kt9/0QclZherBYwbpAQiyhPKvjT55eYOnprxi9W5r9kPlS/5xOfn88y58K+US9EybcCLEtx2uEfq01Ne/N3hV2Lyuybg1IMms4nJPaXhSqyNzJ21tou7B+6G8C6Dq4QAFcUs09tF+1TxmaGgL98tRl6CBBMZlYnf9kOIfv80y1zSHT7yZzVy1UD/OEoaBMqMoKp9KIjmCebdovO3imqZk24JPL5nb+ZWdmDk6NsZ+4YwUY8KmgZSLpGaCtbnF0gqn8hd4XT5Q/hb+Iz2Pr+Y5GkF93Hfc7KwNWhVIHK0mKSJrC4pdKB944dQH2vK68iMTchYY9TOAPVnQT0fVaiXqRCmVQuPM1fxpjGpTX2+hbBcDdz9PmcLVMTzmNOQHFh7hxK9V5rM3O0bQ76NEYNkCfS8PnRW10u8DCqlmdmfGmFj/C/jCiox1CDpXbie1B42ak/ILthypJ7HPGG5sJITJy4vrf8k1Bk7/ieND12vu7ppdW1W+exhK7LysXICLh5K5vwq5K+ZLtmzd+tF41kYc3FoOc05SbT0ZLCHpoYGYqhnJ6QNzD7aa83gFI8VqiF0n+OzZcHeLSzCJHAhB1cr5gO6LENl8L14W+GZQFtg +[+] Bogus cmd_msg: non_b64_user_char_::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::, SPA packet: /iJ46ejoFXuDmix2Bv89MY77Us0lT+ZRdw8EwLpkPOsvqYwaYQaa7rFy+bdedSN54yN/0X+lP7MTl9i3VKHnnnBx3jfB/dqpO7xPPda+/gWpV0vt3nPDDhjvBa32AeV+LXxm7stWWA6whSb6/N1KuO8o8YhHvQDuY4SP+9+D7d6v1uCqyUFpYVUyDk8KS7HfDNL5PAG5tQh5ZY6NvOVVpRagtONy4Igr2aDEjT6kkzk3JzyfXmXr1KQGJXD3zGGobRNTDT6lN2Bs88jt7/7lTNCOqaUx+KeqUDacxsRJw64aji2Mo+HvjabQLe/85Z1wKS7N5v7Wl/mHyHKdnVhVJI684bYGvOGfKW3GKdBlo3gYiW81lxJiM51Dz0/3Jh1zEEaWdPff4sFGVrprfL/fYAQgcIgiADrRT5IN2PwV/X0hlUIINots20QxBGYzAhEqJBhq8jR9aM62w9f3dYzrt4hRWfS8Rn9HoBoH23wW8bJA4g6stu4rVR/WxkhQasUe5ProkG+TPGdAXBraPif4ydCFLDhYcL5QBbCH5caSjD7DNTv/bXhyxqFzLxV6y42b4OK3GN2XFrespX5dzh3U0qtAGAG6IshcA5s+pQRhZsWgp4wInme8vNDkRhV+D293up/KmCzanUjQMknucrQ3RqWKoMdvsb4lY5WXBHw0vaSzrUxk4C9Vujpo7E1OJ6jP0MIEXkaXFPpN68sWwzylMny0zI1N/ma0BZMxh4ND7e6LQDPLe1GmqhiJFUA3DJTyZHFPyCuM9tmu+MIf6wmoQIQX5LiD3zncYLPPmX8v/rPTBLYN3Z/v2hnRqwTz7yMhFOu49MFPHrnML7cmm52swc447UQELMSjrmoklM0jjHX8/Fi6KX6hLwjAHv+gtKR+X7bKUTh1cajmOpyT0Dcn+mTAPx/r2tmhKQL+xhv0U+l6ZYAvG+hMBzUTXzocORusfGogiUbAT42/zVsXZlRleU+QvWM/ZYUKVQQoLgSIFLB/CJkdh6bJAZ8HMhanz5JcsUruUVSauBJZGFzN+6hEX4DNJwWLEK7bycxaQj2cpWIgBY0aPhpjlP1lrT1n4XAr1I/yPbRo2yqbMNOZcdJido+9SiCsDXzr1W6Nrgtd3H5iS3+3aVLfly47ixMxq/3fN9mvVDgNAjdvGzlmZfCI+zWZy3OnqxfTspVahQ6tXLxwQXSduXIcotePTS/EYt1xAHy4svCwWM9QV6OpRiBoBbM5TH4P8Pc+0ULqrY0rOVguwb/mK24tlm3ak4zGSajR8i8lYPysjnQxU40bvvZTLKcHjMQv6M79qzmGLdoY8//jl29Ul2oBM0JN6llEA6OZ14SZpwkX7a7mWc8ma+RlnmKSoSnefiSPr9KY+AxNr6kBrjwSD/8VsqXjhWF+1p1FgpvtGvJBvijBnZEj7NImBrCh2EDzaixMc +[+] Bogus cmd_msg: non_b64_user_char_(NA), SPA packet: (NULL) +[+] Bogus cmd_msg: non_b64_user_char_,,,, SPA packet: 8yfNaowBMWY6yhc3BEcXH/c0JHZ/7KDu+zcpoqjzQmB1ArNg/GgB4y3cezcS/Fr/HZLiv+O1CwPjFz/PBktg5htPwmIMhJoJZ0g+M+1frlZQgM7bG3fHXzaiWc2invG9YYRTkQVEg3/g +[+] Bogus cmd_msg: non_b64_user_char_----, SPA packet: 9v62n30esEtWSBO3J6KagZeEXM4Nr5z/zNq3yl7nebNv9tcNI5eZhKok7HMljIOn1qwG9KXv4H6Etpb0x55LQq1PszQ7lMImqrea3ZRJ7dMntQeOWS6N08madoAtvCZ3UbAn0RaYbKzw +[+] Bogus cmd_msg: non_b64_user_char_1.3.4.5.5, SPA packet: +I/lcNUtYQ+dIuCg6K+rrW+iVkeZmFNZVfT2fyyA6zY3q8zvT8Eru/C1UC283n4LBlKG1NnMYtnSDcQUAl6ziU+HI2t4bBPCwR8nFsptxfI4C1Ref2m5iZA1KWE2yPYSmtDEYsRVOeV7D6brh+ofl0YeiGxF/Yf/4 +[+] Bogus cmd_msg: non_b64_user_char_999.3.4.5, SPA packet: /Lmw+SZ3D1vpWeXEMcx8tidT6NlPEXC9qN9Vhn3tAYBTyaBpH7G2FZ+TE5kYZjeirO+jzuPAlDK+dKtomDmAIM6RVCjsvImXAEKUJBXVYlAAOq1S5brgGyirOnZmjaMcvAGasjzTJOFOA/yLE2T9EzQ4fxyPNvju8 +[+] Bogus cmd_msg: non_b64_user_char_1.,, SPA packet: 8VQRZLpKF3kcZZBvfSsBcFYKrnc5AxOZDxIYZO0XnedVxWweoatGDXbx92RCYfRBaeXqdfJmu0hDra0IXU8cmzwDqcO6YPGEb6z/fmf+pgH0JVFm0RU3vL4DBTb0qCDn2sEvrOkFY9Lg +[+] Bogus cmd_msg: non_b64_user_char_1.2.,, SPA packet: 99A7rwy2vGMz/5R6UD28bpVJUBAiUTaU3yFZEUjmflZmx2IFkQIi96Bui+m4rp3GjT/8DZj9rVF/BtIa65EahRN9QN+St0GMp9xiyBTA5Aq05ZavFNJFJ5vpofIfu1iwa8E9wYGG7MRQ +[+] Bogus cmd_msg: non_b64_user_char_1.2.3.,, SPA packet: 8/YSZOQh9iOTQcv645ZPfOBNt5N7ET6j7DVJ04qCPXvp7Ki6B6D+/bUUMdmbIolUcCbo6FlXlhFV9JgUsygh8EA95Gy6O9ViN6Ccp91lA3orzlLwCRfYqUWqox2IpHvAVhWKv9801QR8srt6sxy+i3w9cUPjKWFng +[+] Bogus cmd_msg: non_b64_user_char_1.2.3.4, SPA packet: 82gvW6b27r8j5aqDb7HMFgSAuhDb3TqnuVVlg7UOVTx4DPfYS8plPMI0vLOPstbDj6qtbBzuzx98TKhjgnf0eOK3jUi3bLi7O8J/VtHKH08RP+66a9X5iMu+TAk4nhoZEv2ADymFl36pjkmmoCOOJlSXu2KwH2wKY +[+] Bogus cmd_msg: non_b64_user_char_123.123.123.123, SPA packet: 8qaQIRBvmYvQDNTVYXanKtnS87Px00Xj6UIT8A6nAezGyQ3IhDdmC3NhMwN5PDvLjn+I+oY7u6JZeM492PyhRfehcdNHTfe0ITrfL9yc/4r2PbWYf7nZux14yCzhxGJgsYGfF7uF86FoX1M12tZChFN17AcEgJwks +[+] Bogus cmd_msg: non_b64_user_char_1.2.3.4,, SPA packet: 8TsxBn1I9BTvWs5avVQc/WsLR9PN5ji05WYwK2AibATDBScFdIG1aRgB6bggr0nENZ5qMhX85xAxmxp1mxa6o4X1zsEj/BJef//cUWMVEdKT7NbIkjKdfqt7oWzTTvA7vbY2Zv2Cq5wm5hfErimf2c66fhghSgUqM +[+] Bogus cmd_msg: non_b64_user_char_1.2.3.4., SPA packet: /3E1f6DUPPoCPtOAbeQ3zeQKVJJMAVq6xG98RcKHMtmLx0e//XuZ94Rgex+aBAGACtKJOQaiBDOHqI9cmLVHeekCHPfsqYWXqWTAg8EEUaIaAPCzPx8IrPHr6ZNEg7OWk2oqOx/NJ0yG6pe6kEDQwD+ZKhBzDh8r0 +[+] Bogus cmd_msg: non_b64_user_char_123.123.123.123,AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, SPA packet: 8tLkw51K3x5p6RJsjzgTyAQcCf6dxU8TyMuOnU5XDa5XkgL6vzAJdO/OQa0isnvog875vm8sXwqWRDdRYWYFcy4KXK+94VG3KUM4bE01w7bZ0qHbgQw54x49KnYBGEgcQQP1vWhj1nj3acY25OFMH2GCNxY0LJV6Sobuv8Yob6vGN+CGaJwXuYTS7UcFX3AvwDQOMBf5MCypLyENVsxXs5cBClMjNqb+jJw63miZlsn8nwdMC9dvbI4NNGxsKbsUyP32vBUFN7aYYYMEXwlV9+sIFfHaumj1R/tP0R3529DDPxDBMusA1QS03xGnjHj4Gvp7hz4PlkdVUkhpHbrA+yK6wpI8vWGA7w7ivc9VwCTAIUEW0UYEFVLwiPu+bSIoGMttalI9LSqIhNs+opnVpYlp6cOKJJgxY9sAWKNDIEioCA+r+2LVQRBNFUGI/DwXVdaEdc3qENy0wIupWw7q8Sqav86PXgZuSiWKMBsF/mr9LjiC65GSKr2m5fz4HHjKkAs+EmSVsQBsy7VpHFkCSj5Lkw/IzkQ3iKJfXE3Erpy2XkO2wkgrkpiurM+ift1nnUeVfwh/vGRBKnfCJbrSyDfrwjNO/1sQtCOP4tiAR1MepyHuHCorue61QeSw8fBh83aCfmP9BGUt2LZv1IO/z39brzxJrPtXNVgc5YtJoGuAWdrpAzlLYnpYXTgO355Y3PtHzZfeK5UIwW3NpZcsdck1eMxrrEjjnjacgn+goP4yX0MNruCZd159344LjPXOtZ9/WO8XbElzdQRpNauU4tiRzyLLo+ciOYk+pddN8HxUOH7auOik/ke+Rf3K6Y06jCrySNq7l+zt/OEwb3qE4ggmrgExct1smpfSfh0+6cSTy3gDcb9TUeJAp+4SD1BuaCwDeY8Q9BJ7F0nqgitDaG2nfMpNZUQaAS8jcc5jfBNEoKbLFOtiKOLIfUqIgLB/7mKdNFTAwIOmcVkTwtAGLy2SvkKRRFeADZZL8WY2zM2ASyTb2AUIT9VOZeTkek2Umq178+BjiQvjtnBBNlr0o6U5QbaPHKIV44xenXFoBF8uaemI4JnlJ3EHuOxag3wSBAsdCoAuTVNGwNGtE+Wu8JP3CS3aCLlA1u5yiUYSbM6/9dEGN1UQtq5SxUhBnA5IJcrall2gUSpi77vqpSQ5n2TAAFXy7VKT9KX9msTDlhTL9fRFyjZjIeRP5crIdPYpg/8V/AbzTzg8HDrLyy/joIKkj8jnB2Vwv3pMwqXU2RRSeaZTfYOVxgY9/T5lvROFWBoO+R+kC+ASMmNorWTvl579Vf4fVbLJkCTfHIBopbaMVckF4zsNnh6x9tQofSrfo95ZMJY9hqjBFjI1cJ5iB+VUYIYTusokiI/15Vf6T5LhFKsf7cZ03kS3ZEFUiNdZYxkgumSv5rF7KJ4cnhy/kujxEYkcPwB4k +[+] Bogus msg_type: non_b64_user_char_-1, SPA packet: 9joMA/frW89LuwII4+/Woq2EfzrgvrB18vJdKzD1A3mWJzRTEtHZkqy2MZrEtpOz9p5NRH/tS6pqGZgYftvQyh7MerCwbqgBEgH4O57MI5rv50KGnYi6R5NNIX3/ECio81ukLMyyONO1HZEIJLoUhD56FYdfu86xQ +[+] Bogus msg_type: non_b64_user_char_-2, SPA packet: 8fFmjUFNvSnm7ZAugLg+1wWkq/4YU0jn7iA7s8Ii4ii5muo7UXNI3WKHIYVK6k6Jx+ELmhJcYIjD7rfTfWKyK/PLfapCvcDDqdZ4fRf/0dX7yQyMKEWRTtn7/pevKxtj6u5B6CW/dzLHmVMHhh+LMkITrlIaUGSxo +[+] Bogus msg_type: non_b64_user_char_255, SPA packet: /GUVf0x5GkoXnO7VmgqwjzBuYGUcJkBj8sKpwe96MGgQa2VI9c83R2XJk+E5kIyX6HugN2ND8WG4znaWfrm4qIxZL24H6oG26k6Ffpq9NnrE/sE7u/rI3s8IXk8QLNLBLObcAc0dlZd8mdCbO2qbdHRd4t+/hu490 +[+] Invalid_encoding user: encoded_colon1_missing_test, SPA packet: 859TVR5TxVu3Gm/d4405AxaWtmsZ3HhFJMGGr/Dtt9GBgFExtYirxjTnp7CxcwcyeaShJj7puZwuDZqk3nzCNZWfam6QwTPo9LywOunpiU1ejxPCGY832EYnv9WXQ8WgchKRY4mVV2+zhqXe4MwcyUgbIye05hSM0 +[+] Invalid_encoding user: encoded_colon1_missing_root, SPA packet: /DjgfVCvxYNtCK3M5EA7+nK8EM+wMxvuuS27o6OD5StyDUy7jvhBUJgycouPrWNSUtXdkXu3BH8d6Uc9qdjvU6gv/XmRTO2vKzgw/ivldD0fTleadiOz9ka23kXRFSQDdw3/rF5BQo9x/0raxpzguakOZAl/wLKlc +[+] Invalid_encoding user: encoded_colon1_missing_mbr, SPA packet: /QpOj9Io0yknOT7dQjj/aT3Zc9QvudSi686iZRiwgpZtmIuKOD9Zp03pC6gCSAp0VkjDv3pDmi2vq8bp0vVB5Adqc3Vzn4iy2yQtzTp/6RIkK9tWdiw0KGeFXu+UYVsiFSPrk0QS1ntNVuSO72KsNQ0iqzKK9dWQg +[+] Invalid_encoding user: encoded_colon1_missing_test-test, SPA packet: 8jJihpMzEfMTy307mdxhjWsvHiVfJDzLzhmH1twZJyNT4RGhh4xp+0w+6gONvttX5USK8H2QKi7rb2sYph1NBuVa28kIvUdFMKGmlmF3LBr20xWBO0L8x8J54jo+BLzLFeBjBChBfJPnnt2WTO/FoXKFS72+fknkY +[+] Invalid_encoding user: encoded_colon1_missing_someuser, SPA packet: /dI/wd/u9GPujMh3OTY0LZ0SOOD3iFy64LXwg8Q+pmNrDGP+F501uZLaC93RjhUQHUsKUE9w5SsxHzXsm1H5OicASbVm+Gzjp6YPNT5eINU+QL/ed2oPnuMX7EFZ7nitUOZPGAJM69QO+PiNqwR9ZC9Z9xYfB3WSQ +[+] Invalid_encoding user: encoded_colon1_missing_someUser, SPA packet: +JdsYyFBtyUqQRv2jvuX70Y+onNNfh920qxlvQIiHNCGsGvkaUP8QkUHeTmJTxHISEsZ+K4j6boGvqHohO6tPnOCU1JNZfBGEPeaBixhQObhntufBqo+NdXAyFHqmesiH9zHA/YOKibsAYs31j0DLYVHaBj5nq/DE +[+] Invalid_encoding user: encoded_colon1_missing_USER, SPA packet: /pG9XIghf8Co9oq3ftzYVuLFFNq9oRibxsA8fZKUEMYFQp6QetD0z8cJrmnJGafdz0UcrVweQIMfYRvK+ZpEQ5mKEqzEK8QJEMCmGYun+CF/cgOhFwOKjlORtu4lpC1B9NGA+kCR8UslFI9izMu8O5IgV0YPHrFgQ +[+] Invalid_encoding user: encoded_colon1_missing_USER001, SPA packet: /8ZPmNEXliapp0b5GtXdtgNOasACFjf7ATKNBplWUIMQ3RPCrh3egX+0OQpsu+7Uqiy0GRc17wLN1n69qaCzKzduZKkwA4lB7oca4T4P/59/HVIULAOQ+hkV5IXbp1G1y+FDREtmcNFH887RISHzOW8QLUQExYse0 +[+] Invalid_encoding user: encoded_colon1_missing_00001, SPA packet: 8EikreH4mra4B4Iw81xK0MZa0bogk9PBVyNivGBJ/Xg82UIoykNtKuGtrlJa5q1X0xtx1oYF/fxFJBWbMSfw2HRN0bNO1LT16Du+5e6xAvXbeum4r2koKTdxod6YlngMmkVpY+BgRNzM7LzvUBAsYwiNJCOptF3SE +[+] Invalid_encoding access_msg: encoded_colon1_missing_1.2.3.4,tcp/22, SPA packet: +CWzA0srcKhbWhYJnW4wCC/VYfEGlKouC8HazZ/Eu3+p6CrpGLWdV6MoecQmY0GE++mqrg5bqbpLbpOGcHENAz/tfzUBX0VK57y9mGdjVnYw7qDQyGeaPgXNSmAWL/4vsWHHEZ7hbr/+tBLILhr9h57g3vtT1snRo +[+] Invalid_encoding access_msg: encoded_colon1_missing_123.123.123.123,tcp/12345, SPA packet: 98g0Xsg2eiUxh54W2JEEh6/WetDiyBEtcrVJ8JTYII92DuRhhrHcoRttNU753sOiVB5CxjyB6kk47rOXBj5uzN+bFOzCyg+v9vBak8ylP66zXQFhvRrVN0U9/+8YuEKnSTd4lTRgxSFqvVwGDwCr3QdmYd5CgOhi+CWvhtQNdiQsbjImmjNxas +[+] Invalid_encoding access_msg: encoded_colon1_missing_1.2.3.4,udp/53, SPA packet: 8nm/CVql1Ayg0GGCa/jCet3yZU9LfrdkKA0EGEDPhtgU6ub+pHQ/85kiSFxcmx5XA0E10ZwAUCLcEbF5rVDnOD4GOdeGrYHKi5toAYOflkD7dTMfHaXdYbwjX2c3wgP/VdXgaGJWteI84VCeAErI4r8up8qMn3nts +[+] Invalid_encoding access_msg: encoded_colon1_missing_123.123.123.123,udp/12345, SPA packet: /4h6Y7PvJvaznlDjZuow4yTkdSSrV7g1wR9xr7A4wqaguDINnNoEMPIgwe8NMEbdr2/PzD0h5v9K1xTiQseWtBTlnGKiImH9FJ3roUugofkOAObYht5zIM0dRN3MzmN6/nTBX4tEKEQHkKq6tNwj+5kVabJH25OGs8JSUeAJKVvhexJdtBV49J +[+] Invalid_encoding access_msg: encoded_colon1_missing_123.123.123.123,udp/12345,tcp/12345, SPA packet: /fpj1225rEBEs9v23g1sZ7ys5t3AXTQ12ldSHoFqi1I6vQLLH2dBaR7JIr/nQEp5gfnuYY/RxjSZsItp8utZdr7pTC1FvdMXWy28s5257WZnIgEfUZKiCmu7j8SxKcViNCjgMpojFePE+wK2sGvyCiQ95R5+FV2ieQF84Z15la5nESY+uu20pQOTnYDhiqpIkMSpZBUksi6A +[+] Invalid_encoding access_msg: encoded_colon1_missing_1.1.1.1,udp/1,tcp/1,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: /oD3cGN0tItROvlcsNP5h8ajVTD2lJSrtLGrc5XUt1CO/6L/rl56uotfTztChyypUOmBTufC/kTak9cUTRZfWBhlsPCdtdo0SoQo3xU7LtO5s7qkyk8DhwEtjfFvWRbKOQjGUd33w3NZMkpKf5d2Z5WG6Agci7CAso/c0nNRyoPO5ec71DPTPZ4zAqyVFtO75pHLq6kbJ2yur8Fc50xtQ4bGYJrn8B37w +[+] Invalid_encoding NAT_access_msg: encoded_colon1_missing_1.2.3.4,22, SPA packet: 9rQMouB0yw42ySvPU0eg11XtVKl3Tk2MhIhCcVCFwqXJZrvYxNMF9BjRk6fK9269OrimGbAkYpO6/2HkpwPdd6jbkTZjhDsZSMbifnZhnmci7A8TrwkYYFjBHPgSCZnH46QUzDHSRHPvdjTYeVw/WBQ9iY9ZWLaQ2k3ketVR2lj0EVx07xKGQy +[+] Invalid_encoding NAT_access_msg: encoded_colon1_missing_123.123.123.123,12345, SPA packet: 9VcKWxWmtNtiejubKoStEOL5DrMqpjsyUqd1O9nj1EMXwUuTrcmvpkrRDXj9WDTzDSRGs9bXEqIG63j7D+V7Ub1vllzZsO8fvuQ9bAoNn7UBTF2xKaYA1EOmRmTu2KP7mI4Y2v9qIXRsKWKYSNS0U/+t7QTi0lHrnve23sKum9Vxzkj06PDJV6qUhXoeYq0iFqF+jE4GiCSA +[+] Invalid_encoding cmd_msg: encoded_colon1_missing_1.2.3.4,cat /etc/hosts, SPA packet: /p18C61k5N11B82Fj5YDWyksSkUi+Ki8DJkFl+3sRIG/dv7q4J3SjqjM3mDaKLv+AVVwoD6BXRc0cteILG9Rr5EA11K33384NN3e83DWCoGLMBbHpfX82BiiZDUi3ma/Z1VcrHgYHZHkCZO7M5LhfHq24kcBKJuAsOZi3dkvDNlBGJR2hW0dy6 +[+] Invalid_encoding cmd_msg: encoded_colon1_missing_123.123.123.123,cat /etc/hosts, SPA packet: 9LS7egGRu8BK9+4/9uJs47hJc5PpvfOKpcfZsWNUXLjcsE12z5R4vEhH/Qs7NQ/5/fBlvlvOTM9ph7oPLtomUgkFSPTAOb37qahsECqgrToD9kxGaw/qCaX1Fnm9pvtN+e6Bfd5mdI7gPwHtARbcsKoncY7yrBiAQtBLflkBBuGfJkbocTTUR+ +[+] Invalid_encoding cmd_msg: encoded_colon1_missing_123.123.123.123,echo blah > /some/file, SPA packet: 8SgaG6RZ06x0UcNfXNK2DqZtzw0cj3THAwAlA3bxVNNIVdKXsNIam3bKR1tf6lP2mu3d0zYUTTgqj7kEYAe/yCk+R73awePHWg0A/Zjd06ph0dK7cdt4Tl1Pca+uRHmBK5NU7/1EjuPSrbtYOS7YTSl+r65qHYMi2n6cxYQiohPZfIEI6AfbqiOPrmR3p6lbuJd3LmIe7q7w +[+] Invalid_encoding cmd_msg: encoded_colon1_missing_1.1.1.1,echo blah > /some/file, SPA packet: 9yUb1EBe6AlMveVQMaDOvnvgCEuSy//Lw8/ks+XDibQlbH5zlwlLD2sI+ApFuOm+dCj2OkfRSLxRphNxIjJsaPIce3ZW0pyl5tSJG2aUz04zeEiQXo1GL8IDzSkY6XkDj1e26qKosIVAfiihb56/c3gGJFPrWE7FBtoOplNqMLfKh8RDlG0GSf +[+] Invalid_encoding cmd_msg: encoded_colon1_missing_1.1.1.1,AAAAAAAAAA, SPA packet: +nln0u5WeSKPXpdLUOU15xpLLy2sIImPVlyWo/rP9iH8Flg4K3msXauzZjHYcc6IEgTgtdP0un1EIv6wedaGu1fj2c87I/VhbfzK9TTRMFHX5pG2hjcWXq46BdLcOUIwB8lsfjVRwYCNd9feKLRsBixHa4Dhpbw9g +[+] Invalid_encoding cmd_msg: encoded_colon1_missing_1.1.1.1,AAAAAAAAAA:, SPA packet: +iJ5Rl+X8wgFNe6A2lmMjt04ipOECVnAuwYvWPQeBAagbVrxce0YBMmavVKG/yNz6XVeS0j1D33yHZXlftVGD2irdU8MxVVDVhKED/DDTERDN0gQ0RVmHErLqFt/kiM/+LRL+kS8p2hmUIS2GbJISR0Iey1l3gF+E +[+] Invalid_encoding msg_type: encoded_colon1_missing_1, SPA packet: +RgnJzXuRuEswe8pDOzR9u5Tvt83+gNNWDEHIMVteuIACg+HQG5HvIqXZRElHFMYoaOlIGESlguo+yYMc2+PNQdnl5qL+BYZ4LLh+nf+rDAwQQ5Ck0x43Fqxvz3YvDP8jCgeWVK+jKOY+qLR1d0IDT2owIIqXQqyA +[+] Invalid_encoding msg_type: encoded_colon1_missing_0, SPA packet: 8XYBquKvmrO+93qwYF3kDd/HBRAv4GYk7+lPAn8eFCOGb8UTGYL2XwfYhqmvD/QKCrMAktGSp26mMefyIXbub929SUpJ057OO5eJkxjV/N4/oxAS3z2x8RWFouohL6hAkpER1SeRukUcvzLG/JTJ1Brww/kp+biWQ +[+] Invalid_encoding msg_type: encoded_colon1_missing_5, SPA packet: 8gJjUcD4P/uA/m0Pnzl45fp7+Wh+btLYVWU1lGS0wUoUBEveCC0Xgf9nmagD8cBF8Q4okAomf80dmAxSPj+VZ6LCGXQtzHU+Zbz3mcshTo4XyAd62V1XX/cuWUHQt2sNMubVes0MR3HfrRGwI0O9EtUmT5kDkH/L4 +[+] Invalid_encoding msg_type: encoded_colon1_missing_2, SPA packet: (NULL) +[+] Invalid_encoding msg_type: encoded_colon1_missing_3, SPA packet: 9QWav9tRaEnnkXI2NWsUsD+f/zDpkysqLhaMzTPtZPaVY1swJuwJ1my3RXHMsc9NFREm2a7rcw4d4/2rM1RxaPYrDXLeuhL6OoYWc/kdHgUk/c47kHwdlX8nMExevM3LmsA0PNpS94oZ3OS3vFiMe1R2XXRMUk3ic +[+] Invalid_encoding msg_type: encoded_colon1_missing_4, SPA packet: 9hMSn1ynd9JMJFB2t6GgoNGmcHNHXYJ2XmhOhY6QbLzUmus+n77FO0METjtxVGN9G4dimgRBl4UktvVHXfzPvMuyCn3M1h8tisNM7/ucP9cyvr5NfonRu7Sc3G+T5Z9FFrvrFwQ8qqmGxweuoXI9ezuvxZLfXIxy8 +[+] Invalid_encoding msg_type: encoded_colon1_missing_6, SPA packet: +XWx5ZBy2SfRNyusioAHLqVrbR+9XXH21DIhTqUZArmVsNci8U0aLuEiiH0cA2o7NzoWXrN+47e1WdOiX/8yvGleKlqLoR7xBv52ZdpwgRlrDUsKkFHyFpuQMKu3V72cvQMClcAFtSzPegPl2t5KD1q119IlxbxZg +[+] Invalid_encoding user: encoded_colon2_missing_test, SPA packet: /7KE9xjNshtezaf6AFuRjT1t3vijqG68SIMdLiaS1qEQQRr9zJWlV+wZw51MiNPeoyiy3M3nO738jsDGGSrjbhU8R9xXqzxF5vLoRRyi7gTxdrW+G8AYO3fwNP5K4cdKm6oqgSyczuxN7z0i2vzglfb7wb8ER9OQo +[+] Invalid_encoding user: encoded_colon2_missing_root, SPA packet: /oqbp5eFT7o1l9ReB+J13tfd7ZMnfvT55CVmk4pUmRYNts6Dx2+C+NdYgIJfP87CeEMeCI8nE5scLw3lUMWyNXjs/Ky7JMY17vQLl3JdorF/Hspi3WF3lTPDBX1Ft7uU15IbLuHwdJi8dJXenRn77MHwV/5PPni1M +[+] Invalid_encoding user: encoded_colon2_missing_mbr, SPA packet: +PVDESSMNoWBqYdzPY3IlH8wmzBeWZzaHnxQtCwhnT8CRl6TSImmrmjV9oClZ4NTysjQVl2udOS7J+eq66Scpk0YbEF4lHX4hUFZb4jwkIxmtDCtrA24lMp8XguNmLWBnwS/owSE/4sGCVf5J6pGTiI8+yR4Vbpqk +[+] Invalid_encoding user: encoded_colon2_missing_test-test, SPA packet: 90sm6+C2EiIdhFbJEYHljLtpGnJxoOq9QA7YCdE9AvqaVr7xa4MdGNeT5UWl2pXG5Sq1NeA8RQ02gl/QX8vpHMkAgwaSCVa3X0qbJheg8nC/97SxkPQozdRglBDS1xq+Aov3kmAAHaLXfuGsVwzMl6fli1xbEI/fg +[+] Invalid_encoding user: encoded_colon2_missing_someuser, SPA packet: /Dn6ru8bqHGQPKwRXEVQOT2xix38mGO1hPc/3X51mVUyDsoNEy+Jvt+gre5txYDAOHAnilCmvpyA9e+ysR6aab+14IiRvC1iZ2CrF86pfiLw8jSzYY2I+qJF7vhN0uvfamcLTGpJv3nlKynBCrfuQvE9oULrrRqHs +[+] Invalid_encoding user: encoded_colon2_missing_someUser, SPA packet: 9DXrpN//ojlROanJ0/K3ZIKLmd78432LPJpcqk1hpLHVL/ctiTylr73o1N7FXVpX916+oywK+wurRKfBkh85+qFyw+WpHShBdQahfEVgSWVzD72dl6DRs4D9J4zp0gxmDfjhuo+apUILg9GPlFLiSfq9N/438nIPE +[+] Invalid_encoding user: encoded_colon2_missing_USER, SPA packet: +8LBvRshMmiCAJBw70fCqtDvlk2UIoTQOrrCYDz1CIUBugTc/TLFDZjQUt2TTTg5u4VrS9Lgg6u+iNmTxDx5IQFfQoPeJapU/0tqcykDnaz/yuJu+Bi70/DjoBkTZmn44LPENkezN7mL+fCjEf8etSi7O4viFBe24 +[+] Invalid_encoding user: encoded_colon2_missing_USER001, SPA packet: /pL4Qjj9pv4o/k3kYOt4DLQfo/oSOXoaO9tLuVN4L5iZDIkjNTVGZabwnKT0LNMce80igDEqMgLxlhY9v0zwxgzg/rk8GmPJOR1iglsFmt8tTZuoL29OP4Z/wTHhPA+AExutmUGWvockA1txk5bGClLLxUd3C1cBo +[+] Invalid_encoding user: encoded_colon2_missing_00001, SPA packet: 9hl8GKbw/Y8YhHHMpzDs0woVrnywSJNNxTyJ1YXflQOHunO/JyXHifc9HRK9u5vEpor8KgkSNYN4FvvY66zMsus8nhmN7hieVtCxt1wmPDEy/U3FpvlctWeMTbwujZW62J1jqkq+AhNNWDLz329WbUzTkzS7EIKTo +[+] Invalid_encoding access_msg: encoded_colon2_missing_1.2.3.4,tcp/22, SPA packet: 9WIUGIGA+wTqy26xPgLE0JTaabSzE2ZFAAzUKnLZgPkiGtCbjb9SbispJAxseMmOhKvuI3ElvccukbVRtrmhk0JnFda70PJVCWo/JyowPyE9W01mQ7+QB+zp0+8Grbb8Kje60fBD5IrSPCverBY1ucn3FdUCeA12w +[+] Invalid_encoding access_msg: encoded_colon2_missing_123.123.123.123,tcp/12345, SPA packet: 8xOi9O02di+lWzTxBQQnbh0QoL9Q+4VL5g0egRv17jjCYsgBbcTIcR9gv1wdl2nbAn4TqUH9YNo0DTU9pk4BBUjqp4f3gwqZSdDvGETneOMRjeZMaC/kJVcVVVmrKrnj4peqtxEKF7YYjUkz4B9y4A/TybzUBK4Pw4POOgn/zbs5RzWA6CHKF4 +[+] Invalid_encoding access_msg: encoded_colon2_missing_1.2.3.4,udp/53, SPA packet: +gyrYeO8TpNttBoQNZc7V+TitXkC3hWdH/QvKNSWvGxxL4pehZlyp4OZnr0yfBgUr7/4+CNwxzyiEcf1nstMfVEhpn2HUYrJbZZORRMiBjmffJz1Nq11upfnAyiuYrvZsBQ33myhwukUa2R13/nliVzUGhDdOwJr0 +[+] Invalid_encoding access_msg: encoded_colon2_missing_123.123.123.123,udp/12345, SPA packet: 9ve3acqEw3668Vj1I9MkJm8yna+KmHgx1L3VFWzF5ZgqrNxPqqDwGlVc9V/k1JKGUH1/aHIg/IHAqeEaoP38eUKFcilMLjgSuMU5XCookpSXaRAOh0/mFhfe8GuSvHSeshyQ60xs1eePkhuOACdwFVAM9QHZ5HTahPhJ8WkQGmkGpnmcvP8lC2 +[+] Invalid_encoding access_msg: encoded_colon2_missing_123.123.123.123,udp/12345,tcp/12345, SPA packet: 9Llxiz+3p17w2qc8vFxSNhoHxPBYrASBdhqUTsinssrfbPSU+G2YDFd1SI5+EwFLyWFheHRNZK5WdziRcPtmq6v19tVoHwcVr9gslqo9rAHIG91FELUTQS3vJptsCh+2jJRfJk2Q+FkXpNGmT8REFr1xc7HGQafhvg0OUayGJJilCPfjUf2L8li/ckhGKgVorHNNru+i+4VQ +[+] Invalid_encoding access_msg: encoded_colon2_missing_1.1.1.1,udp/1,tcp/1,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: 85ZunOL+zBKQklAZFXoRIg2mXB6feG4v8M2zOoqeTsHDmF9qjH2Luerr+WoDWtXGK7NJqLq4shwln/cnn9yCO5EM0aEWZMrUcSqZ32Hh4egi5FxD1yqogjR2gpQWSyogYaQA2fyY3NaKnzhEr10lCvEYdJy09yaH7TW5hKcqxVrmdMIqsBfFuMeRbBlOU1LlBCQFOZrgl82YJvd2O71k+0nzyUcZWQmwk +[+] Invalid_encoding NAT_access_msg: encoded_colon2_missing_1.2.3.4,22, SPA packet: 9P5BfKlYEPqfvP0apJnyW59ZzX47FGQ1qeezY9jOw7lR70SXeXUqVK/zGAItw1YD8iUpx9E86ctuHVJi9OrznkKpk6V+byout+b4lP7mDVJ17+siWIxAy4TyVYz1YQqIXXcPUc3Fx/l17kOfeOiew8HD1pYdyCPyYCSoUWzqEODmJ9BEQko4OA +[+] Invalid_encoding NAT_access_msg: encoded_colon2_missing_123.123.123.123,12345, SPA packet: +reDGOIaFSaBYVp6Cn+DPBgjIcOSZ5MhdwBNSAKIZZ+cb1IYLrzNun+c/n/tLymP2eswTya/EzQScLoWo29deKivwV+BrwaeG7KtlIhaaLPYDW255j5R10H6ryN9ySIEzeeH+yRe8MSwVtGL15L22pkf58fQNyj9+FFGpzP7jtudJy3QJsF7gXcSfckUKzBLcfDkYbYXjHig +[+] Invalid_encoding cmd_msg: encoded_colon2_missing_1.2.3.4,cat /etc/hosts, SPA packet: +kuk4iHfQQESFAFhc7WvX+pJ4I9PfJxHjI/HU9TCf1esw3D6ICEGrnTgO2LuYz8X7GbMaGJzv0U3vI7sxoK08g1sRheP1TzM/k9u17DtA86f13Iq5bkmdgdvL7541NGsdveqNHxYxx+PDpSHmN6h/wc8KdVNCEwX4sDZ/VAMeQ1AApUzk3MfLo +[+] Invalid_encoding cmd_msg: encoded_colon2_missing_123.123.123.123,cat /etc/hosts, SPA packet: +pV5u+IFApPLl5Tjp8fuqGx2RM7ReBInkZknHs22RwFieztrwI+6DuzdhJnwAawC8qPbS1qk6WBqSJLSJ9486sQaswm50UrFzLZDF+BZz5kEsOeL0e7tXE1AWlmrbrk9pgHQ4VbA6Vznuk56GHuSEslmXFt7iCbsp2ehOvCIaJ9MVpPUAmkL26 +[+] Invalid_encoding cmd_msg: encoded_colon2_missing_123.123.123.123,echo blah > /some/file, SPA packet: +EwX+PcKlNrib/CyZ/4miWy933K/jLhA/KW53KMD11FA+PtFms2a2UWxvKA+L5d06zuPCClsWfBOrUNBPSBYpU2T8uKxdXU8slfU5ViYQXhb+2zbSJLyMS/7tkftsuR4+q6+WYi/gepX8vjmaGNIoIa0BqoUb7G7TFoHIEiox5aXLVDkP/Z1cA/OhRGUYYA5HmUfAfql1qaQ +[+] Invalid_encoding cmd_msg: encoded_colon2_missing_1.1.1.1,echo blah > /some/file, SPA packet: 9jfxR36XxXwUAsU0DPvzW1skgbmqhxcHAT9a14dUCWIzNy22HrESJgQmDIKAOIGCLFJQzxa46ggtcy3UyBcA1jgqmojIcRb9Ph0b7F/ImBv79DP5BzIxyvmkTX+HZTRwiDOaIxmFrdHfs9GX/M8vV3uuWuzFmSM2568Wmg/5cTj47eosm5kuhB +[+] Invalid_encoding cmd_msg: encoded_colon2_missing_1.1.1.1,AAAAAAAAAA, SPA packet: 813uTpMdsyvcflCzCVSYR2ERqcbtUYObQbGk/kVpDHyCVG0ZXz8azK+btpL0jnBFJV/ljFb/ay93fkH8sGuMex6kEgDoAbDsjhdUSx0IZst2Nmsy1TGh6WIK+EclnVYKFnd+VEO2FMFs+a/KywB0MuW+qo739qMOQ +[+] Invalid_encoding cmd_msg: encoded_colon2_missing_1.1.1.1,AAAAAAAAAA:, SPA packet: +qAMLzBmYsxtt6rkUU3xQWX/vrCg1SsO94WEewvuhoNVIF0OMZq7OwoDRVHipYpoWs825bhQ+Y5XdrRNQeU9bUGlNcHdg7NmpcJNiZTDR+skzh6D/dw6WYd5eZVY8P7WRTv56Tz8bMYExzV12WjCIB7zmzNyYBt5Y +[+] Invalid_encoding msg_type: encoded_colon2_missing_1, SPA packet: +VFfxTvhTUnIIGlMu7onkEOLGIeeBmVLEv+MFjrzfTlKUiLBmppR059SdhaQxhYos+5KzUGrTTywyrRE3P1FyZc0JKowXg49Uf5QmpivBr3agPfN3H7qyKCemXPg8LJx19ToWOuqxlwlZZ5lRrRe+v7AAPYW+ilKg +[+] Invalid_encoding msg_type: encoded_colon2_missing_0, SPA packet: +lgGCEcd0KfW7iFhNBpkOBmpf5dRSODA+a/RISb6dZYkkQcWEoL/AXnN44TgIz7Cu0Y3qsiMYe+BD1INbES1n1piGHcpJxQkXYA4Cb5DPWt0SxltCAbYD3EGN5UTL36nY6eaQtpYfHjvPuFovrmPGTJvQ957S47uU +[+] Invalid_encoding msg_type: encoded_colon2_missing_5, SPA packet: 9juQ/Rs327govmPScibVvT8x+yK1q0TGUW6Y3NdGRDVrC/+AVy4cuWE1tWiNv1CENYua1CPjGKxWrLdOX8ZeKXVkQiMgMDcSidlUd/YDPer8sysj3iy2LgGM4TsrARg8vXEfkv37GpVUfIrL4ew87ZR2yPitt3uOw +[+] Invalid_encoding msg_type: encoded_colon2_missing_2, SPA packet: (NULL) +[+] Invalid_encoding msg_type: encoded_colon2_missing_3, SPA packet: 8gl30V/XaAW+QgVPWIqM4vIIqpJtjgXf3BJTINRlPzUYfCYiudvoqbjIxOUuwUvwdIPD5rkjo6g0ALE2zgAeKLdQgvK5Rb2XgVE/LrC6yh786pH9cxN667iJ7KFUaqM1XKXf/i0qwvFoSjgQ26090lqU9XvDu5RCk +[+] Invalid_encoding msg_type: encoded_colon2_missing_4, SPA packet: 98eSAEbwQLUqQXsB+5jL3p86Qwz2HQh193bJatX6FrBblJ6F1eLaV1txfprtvZGI5lSl62ivxk5401Z8KmNf90Hd4zGMRlzepFzX7bNlM5iCnOmJxNROFCXze0TI3at9AsU6t8ixfwb1XiFcAIit/W/yMkHf70cyI +[+] Invalid_encoding msg_type: encoded_colon2_missing_6, SPA packet: /PE+uUH03zspkWadraMSNtH7WfL5rxsM1NtQXF7TeQF7SEQhuoFiSdLMFFa01TQDbFhA0aND+gMGDN4h9yiNXmU2YmY396mysZujFCZMWJwxCHEg9aJIY092Grg4ToCu88KKmmtxMeTQJCLCevcz8+f37wgT2+7eM +[+] Invalid_encoding user: encoded_colon3_missing_test, SPA packet: 85727wvHQG50RtVD5dO98S/g6GIjdcNJs8qCfslsEKcQgKPG/W5LfO5r3ZVSQvIZGZlgk2fVr2FyiG9a0vKeK/vYQGanaOp1BVl9aQsd8vFN6VM/QC5e0tm8PIIJBccE5P7u+EPA2oCakf3ppa8D2yzS8s5ozQlaA +[+] Invalid_encoding user: encoded_colon3_missing_root, SPA packet: 91TsjsjdFevPQc/u0uuv4u5c0lzLrmIk9U0qRj4iZ4agUE0IfrzWEuVuQOJEZsoAfjl9Nm23vM70P74D44WEUiChMQAkqxIbwZfBfRGCOCBeEgHFGtryaC600OgNrlrcTBxNbJQJPjFRWvn4YxvCjITApm/8Iayc0 +[+] Invalid_encoding user: encoded_colon3_missing_mbr, SPA packet: 8qKBqlXbu7rgGU+wAMm4UdVcOVx2aCrt2ikGY4YFQNS26xwh5Dltnuel7cQHZ77hlpYZ/8DCzejLudIy4VVGQRKLSvjzYnwH5EqsyyiXEU6U72MppXUNyVZIE36zluirjbBYqAbkpfZx1TfnoMLJhp90NenvwtfCM +[+] Invalid_encoding user: encoded_colon3_missing_test-test, SPA packet: 8h7VRhHEoyBKv5qFELLCKSj/5y5IJ4/55ParU8s+1Q1rQwnVT9Xx7Ro4xywvVln5fmAMSIooiOoTgOBh9QZScaExISpk28qLqOky2vInzKHKj31yQmE0Nsd13KsYI5IGS6vnwnPWy+9W6dNXik9QuHCRYnXqJD6Ec +[+] Invalid_encoding user: encoded_colon3_missing_someuser, SPA packet: //xxhvcn3FuVbXZmq6yzBO4+gYPGJ6Zkv31Y7bh3F0Olrv/vf+tkurDFZo1XENXi7cH1T4+gISjzVqRm3yqZ+3chkMHHOGAkIpCDbK3awbhv+h7FNkuc2698vNx+hnvIxdwf0WNBrKs+1UuNWmREzg97ZNjSHWf4Q +[+] Invalid_encoding user: encoded_colon3_missing_someUser, SPA packet: 8i9wJU3eQF7dq3TXf8Uu9pgO0NuIiLGTGuA/Oxzz7EOnqmr9BHCTujJXhsKStucIE+pgKpz91iSRzsuy4qOnvIBOLscO/Ju6TMSdwyTJ11Whm7a9OeDJShibsY4XqcXRA7mADAIo8RvBf0+hW8eU9UMDOc7E59dYk +[+] Invalid_encoding user: encoded_colon3_missing_USER, SPA packet: /4/CNnlOBYoCOrk6kFCW0fgYGrcFytYnkY2G1cs5NGqnM6Q9zHP7k3su7mEvgaVY9FxErXSugm/0muyvZR1arDQpXO5BJ+j7ifz1MiDOIUGVwO9pnbOzMLeUpbnnv4S8QVMercmEiJPAFWaG3dKEHoe+9Fu9hDhaE +[+] Invalid_encoding user: encoded_colon3_missing_USER001, SPA packet: +hc1UQdzFswLHUjeaVAnGEYkzhnPQlh6HBD9Z+9wxTWO3jW594ySO4uuYhRcwSNG8XHoMJ6uVkLg54fmkHzhfxzuqB2pxbu+R6I6Lh2G7gIZBnIAGzT8mLI/LO9V+kRm4bakwrWj+oq8Ero50sGFGhLj+6xGP3qFs +[+] Invalid_encoding user: encoded_colon3_missing_00001, SPA packet: /M6VDc7WQq7YhciIbJY4CzSjfivqTGRxOXAaRnPlDFvRpE44p93uJ2ubuu07cA3nlGnt93H0cEuz6LL0Na1HwZwPMLvtuPc0S2IpjPqvDLUkLUNudUwncMeD+yJiv5BKp1as9Rwc/h1GfIgbF3mWEMCQ8E0nfzAyI +[+] Invalid_encoding access_msg: encoded_colon3_missing_1.2.3.4,tcp/22, SPA packet: +hqOHwt8WUkzoWBGBKQR/PzDB3/HAVoRlxhJgwlAbBNRr2Jtdmgf/FY4ZbZOpJNpJU7biLkTBmNU2F9XC2YIllM6yYdlSmSV73Px8CU89YCKPhON9JcIRytFR+TXYJsnPOOR8YQhDG8XNjCI5Nvmximg8VD779OoQ +[+] Invalid_encoding access_msg: encoded_colon3_missing_123.123.123.123,tcp/12345, SPA packet: /m0TZoLuaCN1pA17/weza6QRayn1jYDC0wZ1Zu9lE90m4GNQO7JiDCEZo2wSF5d/ZJmzqQOj7UFJTJx1X+gDcZJTYEshLVxrFMy5dz0/dnJMkxQGkPvQ4jAF9lJSYQ+tx3JmrZ+kAYcoSwEfll2/bJq+Hy9IylsmU6gFU5QBtvqxLxOv7wo1+/ +[+] Invalid_encoding access_msg: encoded_colon3_missing_1.2.3.4,udp/53, SPA packet: /bJox140NjUwG5hVRCGhIotufTM7RdI59gvQ3MO1AAZxVrrOeDmB2w24bypObdtVhhmoGxxcOJYQOVKk97wc3CkpXueszcV+HE+0wy+VBiVEtNsIPrOF7K/G2U4jYTHUAoeMxRozaBdiYtmzriSX4QMgOnS+WgE1M +[+] Invalid_encoding access_msg: encoded_colon3_missing_123.123.123.123,udp/12345, SPA packet: +ufVeoODc9RNZIVttOqT6cFL0e0qfWN2pK5PQ7JDxgwm/Ul9SxgMLAGQjN15MJn6T8wkMye3JDFy7UtjVj5eMpJXIUfK8G9EUkFNk0nF8t43bapEmWViKgB+UOwfdPOjLT5zU8qOiQCQqTXigkUc7pZI+WmhrgroouhiRTCV4lsklL5gqZgwve +[+] Invalid_encoding access_msg: encoded_colon3_missing_123.123.123.123,udp/12345,tcp/12345, SPA packet: 8yGAgwURgwLm6r2cZbsILRubLhor0gkUrl1Co4Ux3iSUxdSSUdeHoQvGjGM0YwYbzbWDgsN6bsAk282c3rpHqtyt/tnK8KN+oY2IKMUZ8eTNQUeX35hyrvWMnfTqadlAUX8MhQMLCHtc2my87JZyGCnFqH4TVl/yY2+y4Amkg9vR85/QFmUGYVapUAPUlGrrj9csNTBNTZNg +[+] Invalid_encoding access_msg: encoded_colon3_missing_1.1.1.1,udp/1,tcp/1,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: 95ydts0LfPjNz+TyPiJdycf2wJjuhLpUc4r5Firw8RPBBa0zVllSPunS3xOlYicqk+budbPzpfzbGLXFBr6eVdi3lNCwe0oa+VBG5DzzbYEW15u0Bn6qwjYTcEdhGj/3S9JDasozUcT/RBgjliq5ilvPXrPfdktk/giBVNewkjdvui7F09Qj39eTXOv51tlpC/H8S2mYSLxFzVx+hPlLUPYfCb8RCGv8I +[+] Invalid_encoding NAT_access_msg: encoded_colon3_missing_1.2.3.4,22, SPA packet: +E8D6p4F5ZBbjD4iLBL6ySAMlM+BRDUtttiiObyyX/7rEKGRlsuQSS3kFtn2ssKcrzOZjoHsTXQOlSdyp5Zl+Z90wUcZXpGqndQyGM/AcmVyfiLowKl35jQU6tiWLBraS7DisvGc056PKijklQCRGZOSl7NENQI3TyF+L/pVeZnw96rcd0i3WO +[+] Invalid_encoding NAT_access_msg: encoded_colon3_missing_123.123.123.123,12345, SPA packet: 8+dUV9EO4AQ/axN2ubNcXINLh8ZcUZ6VrUuOKR4Zw9FUzY2nlHyOG8PeRb/VrPwkMDRjf6aU7YlSmLLShO0LL0nPizkTYR6fzYAgJjX+aZ2p9o3VZc2UeMgMVNUjjdTSafIQ2qbJqJzxgaHLSnZd3RUBJbZ1ICC657EkZCKCV1NWXNezh6pN9k4LQCHOd8LTX++q9oLFMAUA +[+] Invalid_encoding cmd_msg: encoded_colon3_missing_1.2.3.4,cat /etc/hosts, SPA packet: 9Vba2jr9wrdsNuHpqqi+ILRyonSbtpRDHVubDECRw/0/QdchN00VkUr8ajYLpIT+YECoGLoiS2Z41E9p+W94UPX4YG4X66wH78iGa7RKutb+jYYklJxBB/OB9ImVsmbpcj0C5MgCbQltzenBoSf/MROmQaldzLDoXon97FWO4dbARmjmC9FYy7 +[+] Invalid_encoding cmd_msg: encoded_colon3_missing_123.123.123.123,cat /etc/hosts, SPA packet: 8eNwAB79z4p8+rgySOa834EhDCrJi0yI53s1q4iMDKk2k1zyq2tZXsMgN/FICEbrFUwRdh1Lm6PKQeCm18BIX/Cm9aH4Bj4Ww7kRHVn2dc5M/O4rHwhO/k7/6RfudFeZb2RG3xJAI5o32r3gDmTX/1j3WtnOOfKWgom2IAAZfh2nOoOgCqv0ZM +[+] Invalid_encoding cmd_msg: encoded_colon3_missing_123.123.123.123,echo blah > /some/file, SPA packet: 9+py7CFCIA2qAiTEHtfPnprhsZKwAOKWtxnzHBI5RPemUMwrth367YHutYDgWBjX1U1QAyRp/0ORhfHhmH6bUWOocuXcEruYjXkLSfh8WFP9masJ1QKrw7POZlpl4Vm8ZQPRIhbLk+qJa2hQ1PCw+iSrhCqsWCJUX9zfDgFjnCKwx+1BEszJh1Qyy0y0gQsQ6ry3dKycfp0g +[+] Invalid_encoding cmd_msg: encoded_colon3_missing_1.1.1.1,echo blah > /some/file, SPA packet: +yCItY9ag4oA0jCLtOo9idT9UZzTSqit9vZ8j6vIOug8w+2/SGHG3VaH07AquNK/h0yx+yPUQXfElmJAaCvjIrncR9VVoKDTXc7uDvEfQb5LRmF+1SoKjHhYam386SILFW1kOOrNDCCdttNV5huV6c+pUW4asrq7AHxMcewsgEGVTtcy2ESRkl +[+] Invalid_encoding cmd_msg: encoded_colon3_missing_1.1.1.1,AAAAAAAAAA, SPA packet: 9UZ8IaG62meCxYhfDsU6+jmPkxKCrIKaYI9BmEcWdiewizGbC+i/uHN5gQClgWOQd41reVyMkQXoGGdxX3Wcb78S+EipfM3GpnbCAhyVndjIMLVpTOggvDOtiJ6Eir37o0wJb4VkvGzOozkfdRjIdfLDTugmfAF5c +[+] Invalid_encoding cmd_msg: encoded_colon3_missing_1.1.1.1,AAAAAAAAAA:, SPA packet: 8bhbon1uUMlaiyOCzVJTqmoL/CnFcdFOJeekajKuYGsMjG4kD0ysQUmyREBDexzwgwBwOQ7IeAQER0D4IgNm5tcwNZ3hue1QNPupZT/6kC8q2FjGDmD8V7Uji54sgntgPevd947qtjIO691C6ZgithgbNm9xYo0BM +[+] Invalid_encoding msg_type: encoded_colon3_missing_1, SPA packet: /ZAr4LVYDJekEvahTPESwhI7OIj0YNZWXXSgOp0qk/ctI//w6e4vpdheUi90rDiRCCwL6Sj/AWf3oXU6aCf4uCcYNPdn8h7tmjGj8bz1Kz+b2VGe8a0ecU4IQUbwzrebnGrVd0YAJ9i0reISYbohfD7ZvtyEtGXMo +[+] Invalid_encoding msg_type: encoded_colon3_missing_0, SPA packet: 8WH6AgwZnSVQUnkGvD43Ot/dZmB5961lJ5qFKfOjL0EXlgXBtuIcuMgyXSVOYtCQ3ZnB+/T8ruJVo6tyY/9L03ByBfk0shju2US9O9YXM77TZ4MQ6afR6jidfAGHou8S8s8AkhLCJgo63+UP7WSc+dRB0Ud0VT1SE +[+] Invalid_encoding msg_type: encoded_colon3_missing_5, SPA packet: 8a0qE07LuYSa9zmBAo/yH/7X25QADPpdtJB/o/KE7fx022h6Wgs0AFNruVYNpeAzKSZk14cBuI5FA5G0YAQPijFwcd23mFSiTZZHYsVxbDqpuOTfuJmrU3GeOVnXYzWbX5XFwX8+l43Vn4hjCaFY5rlEuUx64feRw +[+] Invalid_encoding msg_type: encoded_colon3_missing_2, SPA packet: (NULL) +[+] Invalid_encoding msg_type: encoded_colon3_missing_3, SPA packet: /fUgQRGKlciaMXj1EOA/JI0Mk/LW7rSu1ZRKxZkmN/7jiCWAmsu7tri6UfRZmMfwLyCN1ToirMjLVfuVlUM/W5m01SZnk1ap2xUtKHzTF/ptKq2vF6Vqjj0gLFA5jREkeLxMfHPkrHJQURgIIww8Pq2oYmsLP29Lo +[+] Invalid_encoding msg_type: encoded_colon3_missing_4, SPA packet: 93Ow8bPkFNbjLlavmK3/jr1YedFf8UPfd7rtGxFMKHxlbkJP7pB/Byyba7Zp3iUs3niMTY365VnTlhVjZisyOl2X2bd6GYi9sli6PsCD+rRLadhTq+drfLEYWVfyd6W8Md0Sp9gEQLgiNprEij29LQ00wI7UxNguk +[+] Invalid_encoding msg_type: encoded_colon3_missing_6, SPA packet: /qeeMVMHWS9Cd258XaGXTV46mLoPucYlLfnM9a7wBZOGyUMTP0qL7hV6touglctvch4UXGvMrfOV1XII455JGQeP5b3kxaDfvEpHMADuIldxOED41Ia2ggSR+G0xvd5y/5y0b8dtsHPu+Yeh+d1X6d1dzuClOXYX4 +[+] Invalid_encoding user: encoded_colon4_missing_test, SPA packet: +0tw2NN3gwzqi4UhURnIqUZACibHc/qNHeR4B1SCwzvNwup5bjcZoiBmVVfKuBg42M/Wg3NHzEX6TtFdVOjv0LH7epw4c3a1fwylDeIrtMBDw/I8Y6fAdmNgCaNhKxZZ7wmze6Lvd8jwkWRA83JAiSC6LNd8lGJHo +[+] Invalid_encoding user: encoded_colon4_missing_root, SPA packet: +EmDzw7opP1h/+El4JNzxAI3Cyc7oiEMmVLKQacwbKQa/2M2kfkbyjeSZxTiPyHXEa5EI9LajI/53ffdjyr4D7r0Tx9N/rd/7S6G2YIKAcujUK0oII5mtDxcxfQ44qAecmMedvhW14nzl/YsnXdnx63pKwPTN0tHU +[+] Invalid_encoding user: encoded_colon4_missing_mbr, SPA packet: /cMLSgm02qkD7eXclWPKvLy437WMUp6KMJWSmTjB7WkCIhbTRWm82qxoU/Pnj8S4zGniwqCqGV8cvR1VLejxwJjw7O9woTlCbSwgOPsp1z4HYepH7DKm5Ji3oCJ0yQkaxu6CtPxE6adNDGuOwLu5iOB+R0t0/9hN4 +[+] Invalid_encoding user: encoded_colon4_missing_test-test, SPA packet: +vzzZCmGb1IQFrHF0DBvN2mUo7pFYiTUW8ytCeLWWG9l/MzgUqAgETD+MxdzTJp9MySC8ETLWVaSBzb7mrCb6A2w6y2k/YMphkNIHwtRaG6vqbZxz470bSN/md+V1lHAfGcEoujHEeKHWcK5AmpFn8o73uLnaxalY +[+] Invalid_encoding user: encoded_colon4_missing_someuser, SPA packet: +k9EsGPsmNXDbqGbcAfRlSz2ysrgV2Tm2V/JI6M5JaUAh7mj6Dl4cDNIBv0Ij7lDjgQ7c0BG4QgXV7ygyfP64fMnL7FULBe9XkLuFR7UYER9GRAwKt07ameIA2hzcXLTdl8kVeJlwK9gvdUfXUVKCOfvmnnuhLRq8 +[+] Invalid_encoding user: encoded_colon4_missing_someUser, SPA packet: +EbEkOp2NTs35tSMevhWubM27hJ6nUGA5/Zy6OXLk73xQlmoumYY8xgmG6zgYNv7JJNPQ9vklySwmVuskbJmL/hX9SIz0rtD8YmE9H8X6MqZA0ScblHOVvXvfOk2hn6OcReYdx9aX6HfET2sFW6HJzCxsXYXDogR4 +[+] Invalid_encoding user: encoded_colon4_missing_USER, SPA packet: /pXSnLA/wnxkDXd9xOPzCdX7eS0Aqj/Lo+Uw8B/K1NaMAf1aOgOCggeCyHNNa7aS9P6LwSu6Beaeyv+QKSmiDLNVWQtWQPq9KU9D8MP6d4UUpU/I1XuKvrUuUSPFhxo/lCP3WCSj1H2E8+Yylk0mma5M4hvqB/DN4 +[+] Invalid_encoding user: encoded_colon4_missing_USER001, SPA packet: 8JCkphkRxnvCN3/xdZCnTDMYZoR0gCKW8Bphzs/dx9JBTgFeahGioc1/UUD0QS5upbt2otZeqvMXlpLL8m2hT0ZqhbTjOPg7cUFDN8jUtp4U1BYXO68KPbf1iVA2W/v1TVXyKxqycgsmKiZCi1Bxacl7B1uByLztM +[+] Invalid_encoding user: encoded_colon4_missing_00001, SPA packet: +WRBHPNSCuVw8wMeIR9BupiH0Y6CRgiw6N5BlTdR1nSHueNemP1fleXtMaWJaUl9t6ZkdeM3H+YTL8D/alPL1m2980gPNVaJSFAO0yd8pxfQnwHUk7vmTrbcTi63yHZykC6BOr2LAuP6XdLfcOPCwKgqneg+2Sg1I +[+] Invalid_encoding access_msg: encoded_colon4_missing_1.2.3.4,tcp/22, SPA packet: 9/MyiMzP5fitvFJdcESLPINXm6ICpflJrVeYvyV3OPWK068Fs/OUtAdGaLuJujbIR0ZBLa4oq/hoV1tOIaocYBPU32Tigq31xn+TK2SqyiWY/uilzmyQUde6MuD/6bODKHpLYxigXyFfMLNpMRHvrWIKDCd6Wdb9U +[+] Invalid_encoding access_msg: encoded_colon4_missing_123.123.123.123,tcp/12345, SPA packet: +ZA9DbDBAJMych7jKillqq6+sSGIV7NSD7mOyAXaeZyBozl86ObDVTVyn5ISf5zqW7iCUYc6vS3ep0ZU4jSAZk5daKGdbjylIirovuVzKUAAmiM7Wu8Qnf0F7I3qLM1qvNxagtD9eo001YPE4HXJn3Dar8XOOk6Ai1tQqEu3RUD37j8xXzs5Zt +[+] Invalid_encoding access_msg: encoded_colon4_missing_1.2.3.4,udp/53, SPA packet: +Iq62fR8bzA5nZ/E+u6/YRgCxwCa6HZauWTtPUI68D22dZVlPm6A6E7FfHDVJneJFJWTUv2uX/HfBD701qbpD3s7NOfHp0nbwiQGZ+iJ3bGXuB51PEQ686WAS7KPRAYgdJV9ftM51asqwAhZ2rc94psXX2q6YxEFM +[+] Invalid_encoding access_msg: encoded_colon4_missing_123.123.123.123,udp/12345, SPA packet: +o0qATL38pDCBfsWrYJtnNqLCql6i7OMe2h0RgicEBwkWWfVJvQautSKMRFBXQrB/TTuVAIUSwwBB8Z0WknK+bRhfxXhi7T2ldl2IzGT/6jRxEvcBjtyQSNPd2e5OdmbCg3d+FRwjWtQ0XI3xZsEZaJ5DADn7CyRkogwBgdSJ9ufpSWREFnZh2 +[+] Invalid_encoding access_msg: encoded_colon4_missing_123.123.123.123,udp/12345,tcp/12345, SPA packet: 8Z/KnGl0cSDeHrCGTprM0bQV5Y+k/CFw0PCUbsKTeMpxnbMy71TXVJ2bVrmjbUyXclkaHJRE93E+kd8jU/XBzxVt6quFY80m1k159/E9OLNwNVM+JOa1lPU/PlfW74CQKDNUXrqzy3Pk+h+Dukcn8eAsDEhgRGsQoYIOx4qhJcy9TVPbrmvixDjIsJBvkxowJmX+hTsnZPEA +[+] Invalid_encoding access_msg: encoded_colon4_missing_1.1.1.1,udp/1,tcp/1,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: +iteLuzcVq4psxMTZxQnFwu3lvZ1AAeu80MThHVYE4ctDrXO0kEzxNlu02KKsooZ7BlDG8aG/AZz12q6jwx/rqW79jFSb+tSQAd+iXKfng3/SFYnZYf6AqoyUYzCohqB5TZyUmWuIS4cTXqqiCyR8Is5kXgN7y0qytO4rLxv8a5/sFqa+hVps+RSIaC8LYMTEb7fUMJpleIJnd+rmFcFqyzdMEgpPPKCA +[+] Invalid_encoding NAT_access_msg: encoded_colon4_missing_1.2.3.4,22, SPA packet: /saLmCCroz4uiVFx/FiknfxgwWi8TMqr+gaP0WUzT2z6OYb6h62zhJytdU5Bey5nOPKWpblzwSXTlbovU7MppHyDcz0hVhLDdUbgjwCTOzpy5AlguXx1G8chHEWZ2Xpzkn2RL+yfBNk2KKPGh6BV/r7cpwJAP/tgaeCD0GiOHFgRfII1bmFH5A +[+] Invalid_encoding NAT_access_msg: encoded_colon4_missing_123.123.123.123,12345, SPA packet: 9IayAbDbh8OIPhRMnIQg0NHeSUIcopKMGVQdG0S+uCnsqcjLTq36jMj/BwthzCezWwxdAfeIiZJDVvICqkkXsiawlOi/hIFcTK//EutDcxjCxGbjzb/nKPDdIUr5uKtZqDpLA1RGgTPSCTqB01IykKPB+Ic5ThvXJGzGtINS/K5LLeG2tm+mUc/Zrr7WdBLSJsa1PhCtNr8g +[+] Invalid_encoding cmd_msg: encoded_colon4_missing_1.2.3.4,cat /etc/hosts, SPA packet: 8zlTSJKOADgWTd6FtCOKAfNk3ydtn72TxUuFodRnXs3Lo7K9040su3kw9BeAwh9RQgo5WC961z+sGm6ALi1aWc8wkUhBF3+d5FsShC8yNnPhbwWCStXKygJiQ1TuA6ITdNiiXdg4itUHAyNKjMwSL5wd0OgHZjM8mrqPRFjTsBqKLHNne2EKvs +[+] Invalid_encoding cmd_msg: encoded_colon4_missing_123.123.123.123,cat /etc/hosts, SPA packet: +/Wf6fLpaQojm1JJL7La1YE0SsLodoBi/UsSKaltMcMzkqf+p9xFfmczJJYNfWddmXhCTRUq2TJrnUcD5wxLp5R9x/I88TA97j5JHPO14lrJTN6X2kLWDCMycOcsjbZT4O8kwgY+tAHavrh8ozEj4M1vJPnGjeA+C8UdbprrAa+7WHxBkfXsn8 +[+] Invalid_encoding cmd_msg: encoded_colon4_missing_123.123.123.123,echo blah > /some/file, SPA packet: /B18O6v7JcnWYTZb++DEGUSaBGkIxACxFOFIzYFpy53LsZp8/V9WGjdi2PhX6WvSYbrUyyRNuxwfZ/b5A4l3jzp87KnHdP2biivLXUctGV5uuFTU9zT8Hp1FsED1aDuzihemWIYN9RZv5vZykCssCaaA7Mk4SVMJPz8mwaSq/A8dIRK+M5oud6BbhzaDDNVrRSmbZePOBrzA +[+] Invalid_encoding cmd_msg: encoded_colon4_missing_1.1.1.1,echo blah > /some/file, SPA packet: /40CAfdgmUmzz9eEKuoAQW6ApcnQvJT0nABwKqwUHRXeFTcKj33KUq+Rgl5CoiOnaJa02qCl/IndulhAZMkweoTV4yQC7Qzgg+5FnTPFKDsE6zK1tO0jdypCzSUjiYRyV+xbpgF+u9bno0d6WC5WZbS94MuH/JTeSFilyMMh6duobhHLXeIM2p +[+] Invalid_encoding cmd_msg: encoded_colon4_missing_1.1.1.1,AAAAAAAAAA, SPA packet: +S4fXOVbX8yrEz8/HoU/czmo8AUTLGshUFZqy6OqPW83vs3ThlKwchIbhhYsLcDq4UMa5qrzQrM6urikRsqGmwS1RAFH8V888IF50N3f/AJGkVtrlB61gELRrLvRnTNBhTSb4Xn9TOxxqNeTM/hLPR4Dmd9BEFVgg +[+] Invalid_encoding cmd_msg: encoded_colon4_missing_1.1.1.1,AAAAAAAAAA:, SPA packet: 8i1G5KqAZ/cVlfju6F+4qgua103jp6YtxT3uTfBdfcAEk1NsEpwOg9KSr/CPDwkvWWFBriMYFNzozyUHLJpD+4c80Wcrqhef6WYoP3tBykZq3Gp2yhT+YMk7/LDdmYHsiD18gVD6JSpDwW4c7KDlG9mYTN1AQSlqQ +[+] Invalid_encoding msg_type: encoded_colon4_missing_1, SPA packet: +5fxgDnyJTyIQDViaHppeO7NyNncKxtGaXJFlQ7mJGVBLPCRculjPjCnv3UNxt//P7KVHnhf3jFa5SUauKPcARU+uKaerb8eGgC1aIaMbaMlqn9NLHTpvB3vtybh3wqelCNdk9HclWZrv88mDv1v5YdNw/7tcd2X0 +[+] Invalid_encoding msg_type: encoded_colon4_missing_0, SPA packet: /xuRUl9LZ5ie1LwHi52iObw2Su3SFdWbS200ydzYEQDJ/jVnNuABHrUBYqhM3W3+BSPi7AuChWkyogGfvYNoFCL5zIY0Nwb7B5RMAhDNgf/HyKh7BRTSLick5tc6ku93JDqOU79SbPufyNb/6ilOVvvYpsylRn/iw +[+] Invalid_encoding msg_type: encoded_colon4_missing_5, SPA packet: 9Ax2coyV/zC8ax7QEFSJtoqP/8vlEebvIGOocM+czmgBJH1lol5ztcJV6G1hUQjXztw+6Xp/BVPe5jRCcWrNFPh9Au1Zp3D/w9vJgrCw38UIISvnVZ9fu5SYz9ybyW4funpCJEK8SdMULk8WKAFlt7v7dUkWRzy08 +[+] Invalid_encoding msg_type: encoded_colon4_missing_2, SPA packet: (NULL) +[+] Invalid_encoding msg_type: encoded_colon4_missing_3, SPA packet: /cXAsTDhScMZpq6v0fefJWk70Fkj4nd5zL7P//AOLm4s+DnpkainGg85cHOzptHASIAB12426sWV2hf2hcESnj4WbfEce4c/OSz5ogarc3Prn6UyJ7t0EoP+mexn19ajy+38Ri9/laqRSeao1ahHhoHMOB9nhXVcY +[+] Invalid_encoding msg_type: encoded_colon4_missing_4, SPA packet: 8eheOyFdx5Ee5xL1J5oMHMrFpdR/5bAdIQDewBaDfaNqxvAQeU+OKf2Y3DAvy92P0cB4OO0RQP7U4Ziq//5luK4LjXnmPOlzvsViHmtTrRD93EY+clisYh+1lkIRqxsFxPGtvci7PVbbxl2ZC8Vk58fuRYxH/Y5xw +[+] Invalid_encoding msg_type: encoded_colon4_missing_6, SPA packet: /oS2vOsB+5XEbvPP5riPSvA3L9LivjYKXNbR+PQn1ZIlBKbCL+m0zUW9HI7GbGggP9a2uvVJT8Wg94Gn0RLwXpF+SXw3jv6YphG4vhbX/si9tyH5X7O7WQNzfZ1g7BlDQXSg24U35ROmI6h07LiiOLSow55Ij3Qec +[+] Invalid_encoding user: encoded_colon5_missing_test, SPA packet: 8zROAwK5LvqtLKjYzOVBiPL1e5oXVVOe+2Jquh/29XnTG4N8C2PKTlObHEJAQjunuVnuDTFTCHvm5uFko6+SBPJMC07AAQzW0Ic2Nf6mjJ0o5ciutL8DjT9SYGD79UAFFtbEIeMHZJpjm6HBHogwVJCUDDNF7DD2M +[+] Invalid_encoding user: encoded_colon5_missing_root, SPA packet: /pV0A34a5YcYdMBMyNiEUoVo72wr7s49jFtnd1rdacSWho/Jgy+yHg6KueBjPe2RPNzsYbKcP1PzsouTeEkPr0//zyYSggZKY+WjBv5wT5HrH53ajZM+/UCBvLDVldJaYJBIaYUqR4BcOuPMrFypnolUzjedb8gB4 +[+] Invalid_encoding user: encoded_colon5_missing_mbr, SPA packet: +6qnKeJ9hRrZlXYBKz4+RfQ3lLGAv4oTrNuALB4FLWhM7s8VrhLcUcRk/fjh4k7Pun5eXLhyjInVdlMSxGr6R6RqBx/Gh6d4BdRMW6OXg2Qf8/Vaz08Jf8Dnj64Ib9b6NkN3n5SHGLNCm5nvUMTcC40MwxHupLVoU +[+] Invalid_encoding user: encoded_colon5_missing_test-test, SPA packet: 8w7y439560zCNlF2KUtJjC7YItNJm8n/QYHKBqUphFYz0Ch9e9cN6Q2nOZIZdO5BfcncG/It4n8Hcr3y++umc/c9ySxpAm2COb4mR7WoUCn8gQIvnV3ZW9Vs6d2hUH3QFSXLYTmmqudn0CR9rWIeRZteyfeSrqLZE +[+] Invalid_encoding user: encoded_colon5_missing_someuser, SPA packet: /2Wgc018pwuUCWwA0iVOopv3ZqnpclSUq7CgoriAC1c/y+StSS5aKNZ0zDASqrLXltchy0Dlo+4TKGMq9IcnfVSTzV6Rjvi+nsJdFXujqeUP1e2YyfK9KnFcyNVGb8Lw/VMp4EUpgnNHiOY1d8Ut0zZs8cdPRPs0E +[+] Invalid_encoding user: encoded_colon5_missing_someUser, SPA packet: 9a3QF+iLYIn16wtfjdDebGQpkekm172RdDF3FODdPsFwjFK8bNV5Rg89p817JCrpQprWJpkAHDj6GsMWlVxQV9cU00PWOM2YkJ4p/nPHCLvLJBaZaIxtquWIzsUcwxkxcBQxV11uEHKZEJNXlklfnV4RVgLSIw+sI +[+] Invalid_encoding user: encoded_colon5_missing_USER, SPA packet: 9Eqvi2GXlYiOBRAEpqG2ha1ln0UASIdlxH4UVpng6d2ufHIxa4/qdrhDnQw2/XDk+dIV0DBRQnkY63Y1i0ptYEDlKXr30InrjdyklcZV/6Y80hkVINCjWfVuo93wtmZ6GiJZK6YNqSvcvX5+ODHXOJaL4vrNtgN5k +[+] Invalid_encoding user: encoded_colon5_missing_USER001, SPA packet: +CCP8aZ2wgrBKyLkkPaHaw81xkNhyjLyh43a3JY5SYyyqjdvEm2JDxlvl/nhbZd3wqdM3DRBJwRWxfM2IHAHvKK74/VT4MP3AEoiMp/KEwVGTmHkUngIQ+2YdqnEdTrDsLQGSz8dj/D5v7KaujX6yZiQStKvu+014 +[+] Invalid_encoding user: encoded_colon5_missing_00001, SPA packet: 8NpOHXkKfFF6qVvRhp94PpcYMwhNG27JYHqSrhfAlssizFp893ovSDJqh4bZkv+UypGBBstjRef5SZiZ7KmsZ9FmRMMYtpPYYfRzOt4N3ac0SFxbifl/nE2hdaffWf9In/j2+vsTzIEecCxpJ7oN3y4YZdEm5PXI8 +[+] Invalid_encoding access_msg: encoded_colon5_missing_1.2.3.4,tcp/22, SPA packet: +T6PUkywkX/ZUdTSiZGDs5++rx9CqsDA2yHNdCiCVugxQDq2ZB6VcdxexxzFiHlBGLHudpsoDkqjS9mCmhiKGJ2SkQgFmVFysA2ZCS42eaNFVMH3WvmJ827oe7ztvnK+pwP0IogmBYsju1R3JkVCeVG/9K7QjRB7M +[+] Invalid_encoding access_msg: encoded_colon5_missing_123.123.123.123,tcp/12345, SPA packet: /yzTm5urG5vl98FljtE0A8A8jVZcJAq6xK+DADoZTeBR+Csh2STxgSm7dqnmR5KRlVaG8QH4QNaYtmrBp0qVxJiuCKixEOV8F1RoE8oWqgRpjMdEYhbKTwBaciapTW/v/3LctKINFQ9H/sVIgY3dKzcdyfB3nx3ScmbcaVm73a64LZOXFsRP/p +[+] Invalid_encoding access_msg: encoded_colon5_missing_1.2.3.4,udp/53, SPA packet: +yZVZlJGukWLdslWKELHPpi4nGi3eQita7Ci5ffsYkHwGEuglqwHk8SdGTRnwTV7W4RdlXdnOjZFirqB3ACF34D+SzOusgTcancAT4s7Fm9ZgXHt+zxybOroESVBhQCNVwLpHu+UOObKSOFAdUVGo4ZYmBKXOcC+0 +[+] Invalid_encoding access_msg: encoded_colon5_missing_123.123.123.123,udp/12345, SPA packet: /XslDb430Frf9tNOn74iWSNgBKoJBdaze2Jo878CYkKlyQHGzNBWdWUeWT1lDgHwmqJQA9wF73xFfwIaegGPqgv1HANB/eFq6WjtycHR/TwtbzjcNeOyDFTpVDDzv5RutnGiV+t7HHLB3tW9qDC5QQ8v9anX3RJpfJn/eBCnhfii9ndRfnYadz +[+] Invalid_encoding access_msg: encoded_colon5_missing_123.123.123.123,udp/12345,tcp/12345, SPA packet: 87RUFVmTx3oyUGrAyKt/avbKHi/R4deuSy0F6e+7r4JymDsd7kFcSoMYdeWZu/fwy+WAZZzEEuumnDZMUpBgU/eib/fineRGXJ8ygxgsg4MX9lUcVXsZv9qgLchm+n6KZAc00nu4VQ8pFAFZXSWpJyscnrWDwRuNgqVPZkHbj798pkVQnIYEaL3CyAewNmRMo1bTUGpsSaqw +[+] Invalid_encoding access_msg: encoded_colon5_missing_1.1.1.1,udp/1,tcp/1,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: 9qq7duvF8kwjxgGyuvd7NxRVoZy3tUrnN2wq9RkGj7oqXpHvv0TKA75GTGYRpPPAf8+WgKryn/tp+ZT+ydNIWNoG8NW/oU+KHAWt1hdXAv05fpcrkyJr7+C5zne/qh3SO6zt+60r76Gk6mMhlSzXHdoR+dtPlwdsU4tittoxO4Syp+rNW6m6AXWOMQqQLYppJVL+hBg6QhKZWYl3xQANPDPCoCPPneod4 +[+] Invalid_encoding NAT_access_msg: encoded_colon5_missing_1.2.3.4,22, SPA packet: +1EyVv39tCu1nmX7zVMQyQwaEifBockIYdN/DzsIAQ6Njw2I9SplfOPtqmn0cjVvF6CA9waHj4OoVnR6jVCSQQYYTPytqzxR4LDnMQLDL7QHu2/+FJnbB0rn2w56s9XFovR1e3r3RBFEPai9NPpQM/lnmVBJlnQa50k2vIMRJ6ikZLA5UYlphI +[+] Invalid_encoding NAT_access_msg: encoded_colon5_missing_123.123.123.123,12345, SPA packet: 8AOO3ew8fupkjy+PaW37Iox9CISsqTBA0Q6BojOQ2SXrk23nMIuyTIdrqmZ3obTUOmPjEIjZ59RclCl8LZyBt20XUwgkEWfT3/SPZBZRkmRtgONjsiv2ZScT536AslL+NZgCuGcTB15MtGfD96L8HXGKestYEfdErS0iP9E5Xv9w58+1ctdLT02XdR9AtFeGlqt128jzaEbQ +[+] Invalid_encoding cmd_msg: encoded_colon5_missing_1.2.3.4,cat /etc/hosts, SPA packet: 9x4qfbGvmJD6KihaLQI/0CZ0g78NJIe2BxtH6xlilauZNMYI9Ez1ltY6dOijDJdMGKiBF+XcbA2noD/j4UZ//UAUyQd10frFY3E1iarz2b8q1PfNYbHPdIzfcfdQdsBLCTIsmNWtc+ArfD8dxq8wb8ln3ikhCaePX1OfFCaA+oQkJtJbkOfpGq +[+] Invalid_encoding cmd_msg: encoded_colon5_missing_123.123.123.123,cat /etc/hosts, SPA packet: 9FxXw/pCA03e+KmnKnBg7hf9VlWUJJOvwK1+lJ/UKWUOyAwmB0HRSbOpvPLB3pR4idtVlfAXnxpUhE3B8oED1BUENTNWrOqeqY+FIMB+aF2lRzHdXSwPf4af74CdGBGzT4DhAA8NwhMeQGibuIKM/h2vYIjsZYiXfmGySW0V0D0qE+Ol6KfLU9 +[+] Invalid_encoding cmd_msg: encoded_colon5_missing_123.123.123.123,echo blah > /some/file, SPA packet: +jf1orSjwvUs3FVPPaDcw9jBFJvr79s6xtU++zDWlj3rQ+TQPU+aIfPQXHyWyr2cbefQrSJ3PqdnBFrt1UFNefOVFSHmSHB8xWNnKi68Z844AKrU3UG2elWC9RLtT+kzpyrHB2dWZkscys/U/rlUeIHCx0se+/u6m8WIcoRTjOoFuIYa8KbB6jvOG5nnGbS5rkIl73FA9lUQ +[+] Invalid_encoding cmd_msg: encoded_colon5_missing_1.1.1.1,echo blah > /some/file, SPA packet: 9labAZ3UxZeuwcSh1AAHIdUvouNFfoFcnAN0fjzFc1sndDhw43SuA/0SSSck2hlHZFR5AL5VjIdKgbksyPQrMWzkLN/hCbmuA9r+av/kjO4t7Iy1N8NAZ3DJLVP9mq5IZf2V4tcauZAxG9d1cZJhB+EA7Qt548XGO25ikwg0ynSPQ8yqeK2qxY +[+] Invalid_encoding cmd_msg: encoded_colon5_missing_1.1.1.1,AAAAAAAAAA, SPA packet: 8QbO+ksQiULndh0TewW5RKgZ4brilU/OIKlcgCiRhZPYAbERnsN2qzayHlUput3xGuVomXuRPz9gmiACc2UzVM8MLeIShlpsVK4XQpnavmkH5iHMLXup+qRc6uT8T7shDS0abZntvMb062PL3OdzdnAi0IW5mHI2A +[+] Invalid_encoding cmd_msg: encoded_colon5_missing_1.1.1.1,AAAAAAAAAA:, SPA packet: /A6zNuR6KWBIV95QJ+yDesfY3xh01Ti0xOCLWsNMlPG3KK67BdbCoCsFlENRWcyf6BOXaS62L+zsmgIP0+1AAGvfLZGiOAC7kSBbMNu+EsuB9Qp+9HjdXX6hkAOQtjkBnlgxlsaRmyq0KYsFBJMw8BjXyWjjyj5Yg +[+] Invalid_encoding msg_type: encoded_colon5_missing_1, SPA packet: 81WNOIXfKhAEcVaiLjZdaIe5hh+6S9Lt4BTWQYJGTFTGBCVtygsT3OSlqlSr9976WvOOA+945tuMzeD0+DmFHzuF3+xFyjq1mh9MCm6w6ipRTheyraDOounljW5vMPEvgtUfs1wkaPDeiWD5a7rQ99kKfvQBRunbo +[+] Invalid_encoding msg_type: encoded_colon5_missing_0, SPA packet: 9SUDt4pSNm1aeP4X/7R5LWYFah4kOuDc6hShJVT1yMPhF+cQjIjHrZEFQchPKXYDfpe8V1ivWSoMc5cZbKqlw6DDL8I1191zRUBxe+shHEkWU66hCjXTYl9st0gyQcUgAHdepARrH4I2zbctSkgxakWbVl1LGsosw +[+] Invalid_encoding msg_type: encoded_colon5_missing_5, SPA packet: +5p8Mj2F7D9jv39vIZXrAAas2Cf0JUEjBLhxs3DtEWopgi7MJFDRNge5AF7HbUegswmcWB33Ld8GYAeAl7E1mYbvPvrmWxbxipb0+mpK68uI+NKsjrJ/1YlW6L81l/Mfk8oz7YmWjNiq3kQpsVXjgHneabi4NGKe0 +[+] Invalid_encoding msg_type: encoded_colon5_missing_2, SPA packet: (NULL) +[+] Invalid_encoding msg_type: encoded_colon5_missing_3, SPA packet: +MY3r2rzm2dNV9IIFDlMAOmf9t6JWi4f0FYbocFfhXK8p6PMsNB//zGjM2PzAnwCfxQYeajV8G9cbr5+GqF3zEBqNMUuln3+6W8FTbSLDWF7y9VYQV9fpOzLVXRa1ZrwvusJyN/xCjlm1lQzL6vMnX1cqg1eyeCYE +[+] Invalid_encoding msg_type: encoded_colon5_missing_4, SPA packet: 88gyff/u7+EZ8OMu/moOudN2TR4F5m6ODb8q5L6uHsxET0rKkXCwpPI07eyszucG63IkLCrC8bxWJQN7IKQ6DfTWiNisB6tS7JWvR57GKxR+8Hj6394/wnREmbC1mrSq8iD9vhatKrglSVjvvybHklaJHyOnDcUZw +[+] Invalid_encoding msg_type: encoded_colon5_missing_6, SPA packet: 9FkYt3LTqxZso7B4MwjbhvQqLJRG8K6/8S/z/fVBXfCfhgG5L+Wk9Z1unoQXbD4iXmbgp4bxUSFUQ3UEhj08em9MTZIRI9nAtGttmwp0pmabQEjiV7AKCTyHa52GQ/b2VJW5TnJhvtHgCmdkOnZ8SgtX7pAXjPb9g +[+] Invalid_encoding user: encoded_non_b64_user_field_test, SPA packet: 86L/E7HtZCeFUzxNhuPNR9PoajxXZdElpsVRaz/0ncmGg/Qgin3HUbdhF0OeucRl1cMSe6JBhrw1GH/Iymp8Sly1u3jy0xjLxOwwnOx2oI5HwQTU4FWgMEl+fVaHbjF33spHTknOafzLMEWr9DDhZ5+4osm/q5rrg +[+] Invalid_encoding user: encoded_non_b64_user_field_root, SPA packet: /jgcAwFls0APhY/y/q/yPqc8mgm0kyB0qyg2zJFoVkI3MEDYmIT6bRhsmJLt13n/M9ZfCM+EQrVfLfkkafLiRAa0YtH0o+vR47w8vvmL06QCyuhVMMhaA+BTtdbo1dE07La0tYhFTnKahRmMqf9GACVnGFrPNkaHc +[+] Invalid_encoding user: encoded_non_b64_user_field_mbr, SPA packet: /v1D1Yh3LU4BycIYfl/RakZEFBVRlgpRH5WP6A2aBNlvhWXvtPP5GE22WmZkUIUl7ljnsn5bjaE2rIyhY5iHxnzGY1XAYJwNgcJchTKXp4CA6DXwg06ugyQue1Xft5TQC4yl4GZEyvK965+q93q0KnL1qjEReBGPs +[+] Invalid_encoding user: encoded_non_b64_user_field_test-test, SPA packet: 9tCYO6xWQy7M2h9P+uzS16U/e+LQpl3+vjBPaYVxyLEyJfjOIGlAusTRRNV040a2AY3iC2PMU1fqo8uQzgNz+gknQpXBf1qmemi0czKxpYQ3/pdgIjThgrrZa4n3Ll7B/chnIuMofwSP/4UTxvMVRndXtOcIyXbHE +[+] Invalid_encoding user: encoded_non_b64_user_field_someuser, SPA packet: 8Qm6n9DJeM9tWwdvQfdt2C/ak5HkYIZP6ZQyQ7zeUqLzc1BCnTR8GI0x8KTQbGIiwZxLfnABiv1mLXY2zWVps2Px1M9L0p2hgjV4FLDF6Pqt4FsgdV8eX97tGDGv4Dw4eauDNGUFRvRmBk1wjNWjjwEsCsSmP9Kqc +[+] Invalid_encoding user: encoded_non_b64_user_field_someUser, SPA packet: +60hu+sxOcK201AFn9EyTXYOmkMO0XpQRWqHJICI3O/EWLAE9c1rracdobt79DVZU1IOM/PRLWvjPgGP9OTqcuFU+QjCRN2p4UEZXKFdCZl0ikL/As2Jh86lc2eIJe3EoMG1+MRXWxJhCZs+p1aL2rHyte+W9fnbs +[+] Invalid_encoding user: encoded_non_b64_user_field_USER, SPA packet: 8/COX60Y9A+hoQLY+td3HF60l5dFTfOgpLOoC/GVoR7QfUJJSVxg0j+PDrxs0SIGa95ahpPWFCgE2Xyyb94fvV5RLCu6S1mn1dTXW53s5Xfw9Fb7y06jp+0ZRXi+OpSx3bUxFQcCp+Gx6zIxy87aUIbCv1I1FIUlc +[+] Invalid_encoding user: encoded_non_b64_user_field_USER001, SPA packet: 8zfm0EolhGKe433VzOkQjgRMlbQLWcsU45ocae6OhyeOVQuZFFYhZ3o8ymAzBx96CPFI1pHUJfJQD3D3irSgcOmN+0X4Jl6h5k4LDNjAcKfqJvFzXiErff7aBxas+OsPLilCWF3g7PkS+3oGHAF5PH9zVz1xSVs0w +[+] Invalid_encoding user: encoded_non_b64_user_field_00001, SPA packet: 8eZ99vhBf2h1ZxI1mZqR7FSz6lu4HOqkazYuq7yRLv6EDBMVnF8jKRTA3rS+hpSMAAEM0Clbs8nGJSaSGcUwRcvnjlh2cYKJCed1da1tE/itD4ZSSdloBFELVQrSQldbZMbNQKKTLJdEe9OIWDzHiycJIwHw2/cpA +[+] Invalid_encoding access_msg: encoded_non_b64_user_field_1.2.3.4,tcp/22, SPA packet: 8nU8u9mYSfIr1QvP3fnpnv+Esblo8a4H8UyFqyOATK9vbXCCmsmhAIFSbSOvJxxqYxYXX/3zNNJwa5VKaoVrGjGMCYS/MFNqjvVm1Umu8xOfoTj5c7vbo9ZSxiAhi1A/qRVZ5vl8tyNl1v/zWyk496W9SZlXoekhk +[+] Invalid_encoding access_msg: encoded_non_b64_user_field_123.123.123.123,tcp/12345, SPA packet: /RZhm4B9rH8vRybo12mqskkg4m3Y7Qu9GoKTDabYtp3xMWAZ2fN6Tvejtkc02bYNlqBIstByxOfdcWmGq5nVpcy5AwpGmRwBzvo0o+oM3xsInNooZVpT1a3sg4oDIeMr4gxtYA1bF1C/jT0E9sAa/UrzGu1EwfJN4I0FL4YLOPpjCvP9D2KLHh +[+] Invalid_encoding access_msg: encoded_non_b64_user_field_1.2.3.4,udp/53, SPA packet: 96ccSx/cg5v4Dv3PP9OS1y8fekAFJi91DlceH/6iR11q8peI7FTI/BpRZSA4OXpxtoZvE6sJgYs1PtCbTQ7RGuWyb2N7NB62OX3zmAmKqRdk5o99YpsbykClJ1afgyPDyaZgqNk2KoJb54lkGCnP0oEs0v0d5lqz0 +[+] Invalid_encoding access_msg: encoded_non_b64_user_field_123.123.123.123,udp/12345, SPA packet: //6wmjjRuzJyoeHnxA3skCW1wwr/qADZTYMnQQNZgP0gHPmiiTPaGxFwlxU6pC7ShyILIrlLP7HpDj4tXZaMxQBd1K+ulNWUWldQTaHfBntpCkUStImeUo1Xq1mmQmshdDTXAvdzJQw3TFT2dARA1fhB9cEb5WXHjhavkBa30XhZil4VquSYlh +[+] Invalid_encoding access_msg: encoded_non_b64_user_field_123.123.123.123,udp/12345,tcp/12345, SPA packet: /Hi9k8h6LlZs/EvSLDjz81D1sgFVlNs0K3oMMd0b5L/TFkyUNQEhkjiUWgFMuNP4xuOl+oQC2YxSadT3wbqZLwThqeTMs6d5TnX/BBXOOrz34BOJvtFyaze+w2KdPYkhEd/WjKuiCjW+neEnW84vCb8aDgl52ZcYby75wxNoFElmerJ7cZla9dtVgZvbNTgA2WLa2Oi0aUuw +[+] Invalid_encoding access_msg: encoded_non_b64_user_field_1.1.1.1,udp/1,tcp/1,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: 8NG6txLsWlzi6OzidFHrhy0ygvRvLo4YLU52u93DiHBkNtZWsrbFopW9Rql8uoUSszD9oMJ5bye+dmBhgmEppFG49DYC4qVtYCjOo3P6Z+Two1BKYegb/RtIJ+u0mh6wuJt025iYHgMIA0/TQtM7dXlv4X5sbsJyOGsaBCY7osyze5VQVR8n9Eei0FkgFEU+AoO9poY3AXt0Mdl7Mdw8ieByiLQZqums8 +[+] Invalid_encoding NAT_access_msg: encoded_non_b64_user_field_1.2.3.4,22, SPA packet: +UsGswM+xTcvc/SrlZEtjvGusLRDWGyzl8fEZGMw6zOr32X5y1wCsB4cwmFVbLVh13DKmOyfLv/SnIBgsxdXYSpEGnUnWyc6xbkG+s97fXLLwMRede0uxyApBT7deUAuDG4SAIL2Qo21nqAzhEzY2t+QfgT3AWDqJub4L0wNJZhK8NbXUpNft4 +[+] Invalid_encoding NAT_access_msg: encoded_non_b64_user_field_123.123.123.123,12345, SPA packet: 8YO0i13Bh+nN6CbhsJDNzspAa6uSxFrHGh5ZL/vrjkhZVk6jBIGfnMU0prx6+ksjttY9vfybS9b0nzqfWcCvvBauHUdMdP4ZB60ZzgDupUaEBd35a/mUa4MW+mVh0KQHWeo7AavuKiRdg7FMYoNzKx+yNifC6qFhjwWtr2Myoty1iuxG396ID9lH7MIEisC+0VQH6pfUGl4A +[+] Invalid_encoding cmd_msg: encoded_non_b64_user_field_1.2.3.4,cat /etc/hosts, SPA packet: /ISsmplasa3Mu26DsLbziIZLzvnFZQkgJ88zGWAkLrZ3OcEAGEdaH5+57ZrnaEHx+xWtqNSaavgpTdJ+Uop2Kdtx5A0HxvN6QotVeD3JRh1PEq0oKcbJ+eYuh94yapL6uvujTPaip4bPAym4LqzGVH/x61COzfK299Qb6Iau8Bl6MUwQ2/582S +[+] Invalid_encoding cmd_msg: encoded_non_b64_user_field_123.123.123.123,cat /etc/hosts, SPA packet: /jubfqQyhaATpiTJ8f4fUJKfJZv4HBV6apkT2QGn93L/Kj1oyfaADkXbIv+0Y1g8M8iOlWM2xe3bmWODVKFjkmFX4w9OemJ+bO4EGvNRM69Q4kH0/JG80cRcrCz+K3oaG/cCaahFMG+R6kwtwj/0q04M+yVZf47+WfviB3OOWFMslk3Qd+lvRP +[+] Invalid_encoding cmd_msg: encoded_non_b64_user_field_123.123.123.123,echo blah > /some/file, SPA packet: +JtmKMjwIPMYk7wX6IFrqTb5nDd9OKyO02yZjQyA2DpdVAD4droZgxsGNvFmnKn8FUzC93cBnuiq3878DcqemTyZLPiho4a/L7YlnLT4OCiRVDZpJxk7kd1FoGIXQr52/vCMA40SkAeOyE7U3YgtXrSEXPKR97wPIaTIOu5NfnAWhGtMouLq8tllcP7j10mrSuOt1xetkXTA +[+] Invalid_encoding cmd_msg: encoded_non_b64_user_field_1.1.1.1,echo blah > /some/file, SPA packet: 9Wz9vPrSqjRae2s7X6dy8V5r0QIvXj9PlLatTum3Nw+Dlrgl+eciwRtqYXPzjV27iPlVjtOkFxtg3ochNqbPJ3jkdD1i3m6U16g7+WDKWUBQp6fNZyg90MZvaSJUa0wcJAkdvAN0ZECVOISJkZ8POxIYeirVcE3ffwnCdqcRKaTeXmqBEre9Dh +[+] Invalid_encoding cmd_msg: encoded_non_b64_user_field_1.1.1.1,AAAAAAAAAA, SPA packet: 9DgyPW6XqEX1deilE8L1TxNOedxz6Nu/lrd74N7eF+LL/i9R/KgJrv5KtKNI805VtVmZJC2S+r37MUd4rruQ+7FJjGQrAGT6BSKiuVKtOHiFxc7VhVt+JOaZZJmD1HajeJaG64Srh6olBYunT9z8I9bWwoKtaUcDc +[+] Invalid_encoding cmd_msg: encoded_non_b64_user_field_1.1.1.1,AAAAAAAAAA:, SPA packet: 8VxFzx2Wtvg5odJtPap0b5nwa0rnXDxvA9T8SZ7VOddtxVi+RGM/wFhwm3d79XQHEKzKi4CJWM/KyfcsBQtDLPWRqqUxbjGJMYMHjgGBJWBTX+7VHOKTnWa9/Z0E8fZ+HBTh0MiHSfO3NxLhcC8IF5eK8EyOGKv0JjzOyr596OycaFTKle0JKs +[+] Invalid_encoding msg_type: encoded_non_b64_user_field_1, SPA packet: 8Md9OGHq8Vikf9rNIaxuHErnWylg5xA6+vm48J7Mw8MyPh2K8opVjKKP+IzTOF9Z09Y7CGstWLv5uaANbUIq9mkyTVKZVwoSVyspj9XLG7JOngm1T8Lmxes921MFcNEUwFH6On/F4bjhfwsyfriIl7ce/aVTKlVaM +[+] Invalid_encoding msg_type: encoded_non_b64_user_field_0, SPA packet: 9OA9YCcYtVW6xbY6+By7c35WJh3VvO57GPOxhzMByLFRUnWFfnh0KCr22zokC15jYcbPrMDcd/OSqOqNu59mZUNsDl8HsUi0+yuSYkVdeLvIEgKTsL9TybyR9tpL4sYZW7/8TV8PjnygboeI0CFLB9w6GphIV02QE +[+] Invalid_encoding msg_type: encoded_non_b64_user_field_5, SPA packet: 874c8cqNTrD+7eETdTS6h3jI9ah62ZTPA/kKZUZ1Fa/TuBbzFviMviULbP7YIWtMYEsvbZS6dPDvqudBjY7g7Tu0ONw2d9ZRAcLD9rFPKT9a7HX1Wj0tPOQENnE9XvAZhgFS0OoC3O21mxcJj7vgxF4EA9X8s58wI +[+] Invalid_encoding msg_type: encoded_non_b64_user_field_2, SPA packet: (NULL) +[+] Invalid_encoding msg_type: encoded_non_b64_user_field_3, SPA packet: /Bisd9/rMnQ1C7KqYiN5sChavLH0wN9f3JmPhA/UwFkNcqhZCGoJErOWEM6OjFhdtr4FnxmNDy5GWlH2rHR522Joc9jWwvQNE6T377u3jUcRMuppl4mxPU9CJZH5lsYVWfDx390kMdkXa2eaccwQvJbtnydrvGD0E +[+] Invalid_encoding msg_type: encoded_non_b64_user_field_4, SPA packet: /xpdOz8MhIo+MBn9wIkllSYQvOj0lUcbLXcs3RDYm5NIgzVEFckjBw5OnFVLhG09X2VLDMz0/R8VarWG8N6EY13Qz8RR37jrYEfuRudp2NHczPM17+4L2/ebxwRFNcnJEQc0r4eOU3TCxoaHIG04T/BS9z5YSlcn8 +[+] Invalid_encoding msg_type: encoded_non_b64_user_field_6, SPA packet: +1EnuVxcxmnE3U9zYSsxysZD6/po9wLQpRgpCLs1wQxZcfONI+32IdJVr8MVZ9ODKX1arPEII9pePaIV1EGQOJlhE2ctRmEWfVqg++yNUpWy9jXZAptxipCC7AH7Kv+g9pmfOClJ753Smr9JBhqJFGGalb0nAl4kk +[+] Invalid_encoding user: encoded_extra_colon1_test, SPA packet: 8ufZNAJ6XkYpFRiI1HBJWofxfCRB5TrXRMuYP6y3VXJDH/EkMQYQ085JUPEGziizpNUijjYjv/xOFO8m5nJZ0tXLBa+6d0ZV5IvfWWUuvKudl3TOojFMQFuEmv0u0BsLnOeA0YB2/cXg7JbJlULgP9CtE7gYRhDvs +[+] Invalid_encoding user: encoded_extra_colon1_root, SPA packet: 9PSHPHtARSu6eHIuOm2Yai7HdJIfOsaBBmPBwRe/YIqP27CAaDvza2AuoUGhIENVjsMVs1VZmN6VjaOI4wJcekfezfn1vL7p8BRND7ZJ6ZAW5XyEOXwboG46uytKEFtQbOoQei9nBrsFCCbQD4Nz8aF8CawSwOVlE +[+] Invalid_encoding user: encoded_extra_colon1_mbr, SPA packet: +4TKYQS8nRPEHnV4TKHwfodhsN49NqUlUp3nCmm/XjRHTb7OyCDNiXPF/EI5fvfwzq6G5uDsX9zDik0jXkOwZ7XMWHmfpjJ8r3tqREP1eNRJzQ9fKpdJmIbIGM39bAWwR8yxlp/UjSpRb/txLs/vM3Dz9R4s7PjOo +[+] Invalid_encoding user: encoded_extra_colon1_test-test, SPA packet: 9tdJhs2JWh2LK7AS2suTAot5H3ft6NuAbfqSCAEg4eB4kfRZL+sWgAXoiUVeE/p1wDl/gWTVXFnF9HMc58eJlHWPt2KbWvX1jynmWLUQwF1ARCoG0q9udFORBwqllK47nPgSYHqjX0Zt2P2vpa2TmJ7KeZMISW5s47VgLUQbWkBjbH+DkAttP1 +[+] Invalid_encoding user: encoded_extra_colon1_someuser, SPA packet: 9PrgyLnBPeiXkb8vb2dfs05t1hbLNQVmtKzrMwxuWoQnKnFL+0XSB2/O/wmnLqdWePavS/YHUffHxZ4CmNT6or7lFUFoQuMadKZYZ1lt77z6vTJmTFB0oyzxNEHQUP5jtfyi7W1s7cD+btL5yiKUTRJ1jSpLkim7o +[+] Invalid_encoding user: encoded_extra_colon1_someUser, SPA packet: 9yzEt2l/jzLdsV5TzY0RCBr/fV89B/p+PJ6FA99kbtHNRC0EEQkf2yJHYcMldW1eJ9qJ/mlPdW5rDdnj19IPfmVMKS/W8eyEQci7g1/srBcVxm80TqfHWHKOQj0F8kqq7cC74nVnfnWhMbGR6nafkEFhSYfFGXVJk +[+] Invalid_encoding user: encoded_extra_colon1_USER, SPA packet: +ZdIvvn4Gz+DU9WPbIdAZD1+NIblXU9+51hWpK2CIQYhrZzgsevCSMrDauo1zJJAjIfhcrE61PcOnmNiDT15HOM40Gc05I6bFVZv495CvBMt0KFKoELn1aBr1LLqSgohOC/dHgnKf1xUUK1NqWUL2CXhx2x12t5kA +[+] Invalid_encoding user: encoded_extra_colon1_USER001, SPA packet: 8kXMKbVc+xlp24lb1+yUu5ePDi2MHD1p9lO+MndOuBgQzU69ba2+4GABclSU4v/tr15wCYXImKpWL3sePKyZmPA5Pgx1k+p+trySNEP4Q98CmIarbix/XaBqdXIDZ2BmMSavXd7Td61nCJY3eSVZaMik0l/wFBqZc +[+] Invalid_encoding user: encoded_extra_colon1_00001, SPA packet: +RYLepQt2JCqm7B9KVLgKsFCWuxe9UstVWyzUzrQ3ko7uZXbURJp0/OykTweKwigYR3iyzv1Id6C3uFImiZ59Of+Vmgf1YwWo6CgTBgpelP+MNkQRgA7FX4+JK492KWBqqgRoeN0rtiI2PG39SWpIvISjxCAuh0zI +[+] Invalid_encoding access_msg: encoded_extra_colon1_1.2.3.4,tcp/22, SPA packet: +oNDdtYBsd8T+l35F/MdBqcp2wJLCglDkkAfBYOJDmG1g7AYErCIpsuacF58ainCRxVKwhMWxYw+iAbiYGmXd8Yjc4MFwCkhYDcz2Ku0Y0hIM0lO+bEgFD9B55V/JNdqMrupGttli6E7qUtlt28A6AmKOZzWoIIwI +[+] Invalid_encoding access_msg: encoded_extra_colon1_123.123.123.123,tcp/12345, SPA packet: +kN3uD94n170Ds2fgq5DPatHi5GRnbx6E+aSQdrQeQq+sFOl84GFHlBiJAkb/Y/a0OqLqKRNt6O64sWmROYa/xKTHpBPm6IJu2lpZshaG1zV+HnvvUA5YR5APM67AkkAP+w+G2O0NrqLyp4pMzU3yDgX6WYt6I49LdsbJd2WO5D2mOofM5eLD0 +[+] Invalid_encoding access_msg: encoded_extra_colon1_1.2.3.4,udp/53, SPA packet: /K3Mp8PdDRVbtJ119zHADoYYe4Dm/TPq2UMt0pMgcHAoEEjinuwP5FVmhmMrBZQkiOKTcZ1En+eHlsMniffGPoGAQYSo/pmGO+dxcxZKWsuu7xe+xMt9kLWHLHUrLMv0tU+skChjY3IJhJA+uJ0cGpA6DduTV9UGk +[+] Invalid_encoding access_msg: encoded_extra_colon1_123.123.123.123,udp/12345, SPA packet: 8AcPFFFq1yusMpHGtlA3UBPztMZ3lI6zCCgTwfU4RSK1pPaj4Q9L28fsC0Ym41HELLg9FAlaXg2gpUPl/MVXQVNGzOi/5gZhVS083ve64Q7NUCM8hrBL3ppofTs5rc8vq/7bYiDauRrQk71QuhK5JpdIWPIvTS7TP52f9dJp/RJgqTg3VF4Oax +[+] Invalid_encoding access_msg: encoded_extra_colon1_123.123.123.123,udp/12345,tcp/12345, SPA packet: +ehbNJAMcztKz2hl1jaq3LkrtwJcA11uzvbDbM5C0T3XCPyPQm1yEdq6u+kDCwmy1ZsqLMu/hTRoI7IBNC9T/r9IzT/bNow4y68h5CxoWnu/kst6B3rl025UGbeE5RVn25hAHPOtwKuioGil2nRkA1T4k5C2D1dADwWlnqnJ1RQejzdDuAsoGgDUz6C/DBB35qNtNz+hDVfw +[+] Invalid_encoding access_msg: encoded_extra_colon1_1.1.1.1,udp/1,tcp/1,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: +EJSOlTuaoGx0Xe45iLHv0nhfige7jwVSOTHl9Xu0R7TSsxJL3tSQKR8a2wtF1zLRZZI6uH7Ph6lZDNhpr9T9kSsQfEDu4jAtpYfdwk7Q1Sv2ejSBJAF5GvA462BrcvM/mNzNdGA7ZxRNw97SLtkguYS2a9Th48qJDU3X7/MqgYZFd0jiPtGHEPmcd8WVpjDl4cUZlYXOmejkbcSx8e7AXcb9k7Nnl8ww +[+] Invalid_encoding NAT_access_msg: encoded_extra_colon1_1.2.3.4,22, SPA packet: 9FBMmDYMmXDvkknP0bY0bj2rPzw2EobuI/yvASmBmzOmwNDGP6igiOQ7RH3GFCbXbHamXAh1VekL9DAggEM7rKOI+KddaSWr1Pflgbc4dCDx7iQWvqjW6/6ssAw2QfeVOlg26KjCOs7x2VJSl0mkKDEq59UgPoArVBTfYKHhBSTIQLCt391uzC +[+] Invalid_encoding NAT_access_msg: encoded_extra_colon1_123.123.123.123,12345, SPA packet: +dINt8byBlJP6OZhSlr6x7T4xS5yWH4b75UVV4QmdHLGzWqohWJHCqBgQu7U5nyyv9bjxahTvIeVZ34oYymN0Sj6yXhSY0afyXoleGfcyeCyxmQIsnO9Yvqr4NO5q3z/Ck85gTKGSXFYSVa/um95oQSJr9ZFW7NGn9B6GB/T6mJUAwbITZ//4M14wvvA7xHz9hW02Svk7byg +[+] Invalid_encoding cmd_msg: encoded_extra_colon1_1.2.3.4,cat /etc/hosts, SPA packet: 9YwahlA5BpsDdeTzMGEt75wiVDwzSg2aYEfaq06k9vbnsI2TwhwfWc5qcID8KD5uXJ4DZA39Ru0JuaaoO2ZD/cSY/INEUoX5il6yMOpu/An2P68GqgOraqKdIV0rsn+52cHah2Y/CuqAx4Isya30giqO6oF4ciPRCexq5zwvs9WmfMIMjZdBqv +[+] Invalid_encoding cmd_msg: encoded_extra_colon1_123.123.123.123,cat /etc/hosts, SPA packet: +vWlxs8rRL+StBjCByhcZxeJJq6gV+AJRj4pZz3w3hLwOwK1s+dHcmUjVs4BuUoq+4zIGAQpvzVs6cD9Uk6pot3YscFakT8LDXzlXnRtX76MRY6C3Yf0XrZFtVbdTc2C4AJ4ZplloyR2OcKVtWsGO00KDRNOc/rJj5KsdELK9wcWOm5Ku4Y3qN +[+] Invalid_encoding cmd_msg: encoded_extra_colon1_123.123.123.123,echo blah > /some/file, SPA packet: 9/3hGy0OVttSZpEbWtOQp/9R3NpqOAMg/F9o1EL5I5bZTM17Iv9WXzEyFyKaW/ksyuZqXND20kw1kfT5dsn9MjC53O9EGZmguiUHnnFinEjcMP6XA0+I5oLf/Lf9RIJzxfkwB/NEPE80PXWtLbcdkX6b5zqtRaUbB/d6CdtLZXwaSnOYf77j3c6MKn+DpKPQfTUWrZiPzqFg +[+] Invalid_encoding cmd_msg: encoded_extra_colon1_1.1.1.1,echo blah > /some/file, SPA packet: /Yc+qBuTOnA8HewvGvGMAKUxcSEg31a8QuabLcWWf5/XDWYXHGY7oQiHRZjLkRSgpB7ObSo4+rOlAE2p3/lbnUnEWa6aGCUBWNrHOmBdb/u5O4ygUFaIDVrDx5JM+R5GdWxDMayKvrn9CgxLQoUIdj7dxqOJp1e5bHN4iee/+mPmqwoxV/56Ls +[+] Invalid_encoding cmd_msg: encoded_extra_colon1_1.1.1.1,AAAAAAAAAA, SPA packet: 8rpAQN/YY5t/yhspp1BQJ+0iTGpTOaU4QrMtYR8ltQ97PYLRFZHASJHzlE8Kk+32SZsZDiZEPQSd2aqvF8nYUYzn2vv31/6De83aCqxknmw/VfrJHjsXy1adqnqjovR+3FVDjTxMOLt2IuRh6Ccj8SYhWQg1eSv4U +[+] Invalid_encoding cmd_msg: encoded_extra_colon1_1.1.1.1,AAAAAAAAAA:, SPA packet: /9R63l24m3QPrHsbWyCXReQYW0DwBoqi69ykc62PGhb2YmT/2dG06v1GPvBusxHIYMks9AyZ+AZsqAtyHCaYGwwOkj5PQ7FPlVNSzVEeGF4Kw7Oqyyf1f8SSprBHCCaGIfLd4dsWGi02609XZ30WFQ0Uxcknl86LyXr5VSjRGrzzfdooZNWma/ +[+] Invalid_encoding msg_type: encoded_extra_colon1_1, SPA packet: 8ID8cZOn7g6f+zZfJdxyO3PduUByMil7f9JPBVLGj4HkztCFy64XUYdh9fnH0pJDr/0jQADEYhMKOUEzpeCYyEX3tjBzuZ14wNxDpQDw3MAXYElmv+5/8o3Q4Ctnw2WkjYDyrTF0xP3oxJtJd3aA/eFtndKHNevyk +[+] Invalid_encoding msg_type: encoded_extra_colon1_0, SPA packet: 9no1f8Rj0EbZ/bkgFIhFSQTSq1b4A9l3NX9PrJZNmHPr6mInGpmCXqVfHC2yiBkWnmk7olvDl/FMCmpDwafHIUk3iacdWmT6TyKLhYnHvrsg3rZ2WvlOtYE0YUcrUsn9HhzkmKS3ThJ85mRBX80YAr5fDWSgkMmBs +[+] Invalid_encoding msg_type: encoded_extra_colon1_5, SPA packet: +NWRBhLIt/oWGJtw/8FRpumbLqdydtfEOEaxw7PZa9rXE9p9dBTwEFj8SlYPxFPzXgq1WloGHpzoFQgxCRMV3DVv0OFWuaXwmZZwfL4TDuQbwomEW3Il+6fY2TZM7bEOThlHe7NIMhsGZ5BmdeEStgoXXW4eWoBRA +[+] Invalid_encoding msg_type: encoded_extra_colon1_2, SPA packet: (NULL) +[+] Invalid_encoding msg_type: encoded_extra_colon1_3, SPA packet: 9dncfOufp5bLMMw8xu3wXAYPU2p3Q+cze2UUiz/20v7Djw8U6j6x9FO2ECo9gITS1dkan5yzC5GNHW01HP82/z+YnWDT7bXafhb5yeT6K8EsCwcN7Gf+yrw2Xf+qsg2+cnUOwDnp8TQyqgwX8xJtSsnPWbTTRaZP8 +[+] Invalid_encoding msg_type: encoded_extra_colon1_4, SPA packet: 98C5aaw2Fz63xNcXdhi9GmJbWaZPO4BayvtfILyW4DiRmm3qOAQZjyfuIEJrxEvLL1F4/JZLeUysBGHuvab/fq1cidpuk9FBJqMfs61se55OgBc8lGa6kSLX7JbvA2z9XHvL5JLaCvzM2CpTkTUl0wRlwxPZDUUfI +[+] Invalid_encoding msg_type: encoded_extra_colon1_6, SPA packet: 9CxlGjInV5Fu4SM0vzanOVp3mcy/fkfhFSi/Rrm5CXRPaISFTcKqRgp0h9Lzd6SOVxvdZzWeXOjFW+SY8m1PXSXkKOa5UKkntjJ7Oiu4RRVAErcwf3cQ75Ww06u8E5vPbQ7ki4hVIUi9RoVKN9CY9k0KagELVacCM +[+] Invalid_encoding user: encoded_extra_colon2_test, SPA packet: /DL3Q+TSnVYepeIJlZNojOYW2iaO5S5X5BCCINHvnFo4g6EbpkjEL/t3tN/LDAF/ENMcUNhNPSq+MHGYHe0G/RIzO1Xhx9vOdOtigwbi1TiMhyd1OomPCe9v4Jga1mGtVJobf1nBTedkn/KwesNWaaZV9oJjotbBo +[+] Invalid_encoding user: encoded_extra_colon2_root, SPA packet: 9xNmtnXOMFxD/aFjfXENWhetslgt/Tr92Fe3PM/XjClAciEW3WEGfBOMfhXGkXNfe4CfvLoKmeyF3Nf/RbVXUyaDNsHS64lulRk9fSqeenq3db9PauSpoQJ54k897yO0k/k/CNG+7xLmy+vkhjOq2Dnter9zSBKCM +[+] Invalid_encoding user: encoded_extra_colon2_mbr, SPA packet: 9ZSrAWF3spbEtsvuJooYkmTRaCQPDACwfzrGTycYVMVas1tqFQK7+mlH0a6NjR/B4DWjQKN7aZop1xC53fTAEbRStOEXi/4T1sNTGE6N9xD1AKHzdQULhiDLJC+a4+VQBr1dBwGZvmAHfREryPN5f/0woHDEnBCq8 +[+] Invalid_encoding user: encoded_extra_colon2_test-test, SPA packet: +WYaPgegKl/Tc0Kge9+5X35mWXSPTpz+FErauuEdMBMuzvdySG71JNJgcbiWWVuBP0xI4coyokfhGUiUOJXC0L/qU72diisDw0ORSElmaOc5ioX755cvNYZCLzWtqNhgCMgVYFKLldTYrGAXKreybAgwJZIrD/8d0txEUbMY2RAL60JWlYS416 +[+] Invalid_encoding user: encoded_extra_colon2_someuser, SPA packet: 8EzDmC8/gXCX/16lqbnFQUzgaiWniW5v9Bh5nCWGhlLBg5bbFEcaySxsXxyXwgqpaIyvTG42vMO7tSbxERZauPgtNLmNlzB5DjzDDTuJSGFPKzYK2hWGMAPbzxNh67kg3REly7/rV3pvS+RhhzPbJlszbh44blJOU +[+] Invalid_encoding user: encoded_extra_colon2_someUser, SPA packet: +3A/w5ex6bS9+7HK0n/aSKcuhq+UtbnA5Ysyl1ExctVmWpqGNvV1rxH0xWvdZJHQLfbto1LDT4co/Yh0aeBBfAsImVPxYb+kM6YGXGDyavbgEjGANu/YaECjyRKtDGhS3mc90sJLhA1JGSkocDQBaiLtv9rGaDxNU +[+] Invalid_encoding user: encoded_extra_colon2_USER, SPA packet: 9aBNphmjjm3EUYfLYN+CvZU+obfzQhRvXuYk/TYsTwPzuFd0W9AwqVYepCnXK/amw8vod5pr6Y/HmdYBpTvNSnJA3e/wfBNA8U5i9Br51a6UChPw7z0claGQ8l1QDjg0xI+WEJie3mzk6gDMDe7fYlbu2A5menBZg +[+] Invalid_encoding user: encoded_extra_colon2_USER001, SPA packet: +eURPfNLOH/XSLOLHV9jG9Z1EXAC1pFe44y44oBlFEfMkpyk+pQiRKcRddI4EQAzEgf0By8kClLhcJTJSAGodrM0ZCbyhYfDabRDWg1reaHOm86WcpzvXitQlEC6Ug2r5ugl6bBjvW5m3PIQIDzXfDfFtnKcCoLWc +[+] Invalid_encoding user: encoded_extra_colon2_00001, SPA packet: 8vllmEnxv5uyX8D4j+pnJxnmdOTy2/KPGtsDLrKZl9X66dFRBNhmWStYVLlphnQeL23DYRfuONtHWni//tk0GteEkwtQRDrMUJesOpeUQjmIuiKHNmUInwk1kV9E/Goh+gXw2v84UCQ2OuBMEQCmCiOO0rInL4SlY +[+] Invalid_encoding access_msg: encoded_extra_colon2_1.2.3.4,tcp/22, SPA packet: 8PtAfHMwlWwkUEREPk5jh6ntEUj6tN2X7uL1C7CfYn58ibE96Gy648DYS+CqOJuARH+nPzIigl0irctdy9gpW/TVQx5WM++3cXobXNZmUxrRgfEoU0h6TBij/Yaq6r0drfP7Ij9IvS4+XXcv5vpOmon43HCxurpgM +[+] Invalid_encoding access_msg: encoded_extra_colon2_123.123.123.123,tcp/12345, SPA packet: 9YfmyuA0xePYL7JMmr+2Wghzuv16BaqvKDLXLwY6ihsvOBTDXIbWCD1TYef/xk2UhM0NXijbhll+xPkcV26BVkgj5C11ir2ZC0uny+VqJ2ynDg36inqjvGljYfJ13vOYGkU4VQWWturC6yofg20J2iWMjwQZo1tIVHFvRVVnZIH/OJ7MAoSLZz +[+] Invalid_encoding access_msg: encoded_extra_colon2_1.2.3.4,udp/53, SPA packet: 8jXre8fltrxnaAkSCdlO88vSUq6iDOla5BKRsLX1f3Fznn7m2luGEEgGp/EpMSnqiG29KuZHp7mEmfPTLcT4hs0u4Fb/arz/vUL/YkBa8KK+pM9SHku2u/K0cGBkN6466MQRdb1XuBhSQxIi7qcPthBrnHdvY2h0I +[+] Invalid_encoding access_msg: encoded_extra_colon2_123.123.123.123,udp/12345, SPA packet: 8qQFNwiaAeMGowliu8CAG4zDg0uzYuXC6xhG8Wt4bcKoUQlZlJhHbGImqigbjRopLE4XchGkY5fTli/WvB7kmXHDCWo1TIKdnRlf9PJCUeI+K1mClBqiTDOpjH30AF16Rh2fD5Xn0mmRmwPw7Nd9m4TIb07HCVLxBnXr0H8gos95+gx0p9VbwZ +[+] Invalid_encoding access_msg: encoded_extra_colon2_123.123.123.123,udp/12345,tcp/12345, SPA packet: 9hYC4CtiK5OqrSQGHb3xpb2lhnbmqstW8mIPYOaXtdz58ZqzyPy0v3HJE4MgwYWtl6t3530YxDlbTES+IQAId0k1iQC4ntizSuCqXdhK8WRfNbQbQ8ExVpkakYKmD088nKKGE8tBc8zdMzQoSvdUw1a6jcfyYgVxC4TziGQub2RhFKrTIdCidE/X56vlGzRWpHgvZKpM1loQ +[+] Invalid_encoding access_msg: encoded_extra_colon2_1.1.1.1,udp/1,tcp/1,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: /wz+6r9lQ2G9R5HX/ZFgqNEnZ244ATnqaL3oTra+qS/+47H4t41qy6bGdwJy7LcDB6+fnGTAANybhr61xIpcpKfv5nv4RCYNAkmFHgEPOqtPNeZLpwn0ifstpW/jKy8w9rSVug/Hewqyxa2KoLbWr3bUidb3BIoXEX3c2DpICgxXKptxSoAOOTmPJdRTjlZhTCREQNfc61Rfg0kAAy+yB4O8R+vhvnDpw +[+] Invalid_encoding NAT_access_msg: encoded_extra_colon2_1.2.3.4,22, SPA packet: /+tNFEoDDeoxvHgY04Tkuqr+xCT2i5WgILxLrfFvzbUUMDn5otl4DbA5nQAJQ2XPuuvVDY/X3IRWTNC7p70FyQ2a0QLZl1Wx872LBGRau3puqOoIy/Uzmd20ubgsN2PkLAjXE4jSxJoifg+puBhYx3egIOc+DWg/bKifjeYtyPRzWglKoOMZEa +[+] Invalid_encoding NAT_access_msg: encoded_extra_colon2_123.123.123.123,12345, SPA packet: 9M3ZfZP1NXIdq3sO/5x/6p/S5IeZ8r09/fri5+1UFe0kbXbcUjJDg9N2EYppUkHRI/BdDKr/DWJj7ldY44HNvSI8BYJsNxpWnUTsOPaCRNfpW9UDFgggL/DBs2Ww3y5TYwGauBrLXlsn+rYIc2ivdJVKh5zS3LrZyV4SmjfdMlzr5uQyp48/doROZX7BSGxTKMUCPGHPV/QQ +[+] Invalid_encoding cmd_msg: encoded_extra_colon2_1.2.3.4,cat /etc/hosts, SPA packet: 9ccNtEZAZF23TPqBB6wrT5iau+35NOgmEZ7R+RoOJxrlLw+kNyfWkeqZidhmVuHOIIDg4/W/P9QK6jur8O4NPu5WB/nZphNr50ntLrwiquVkMSmnd5Apkw0Yps5iW3QiquZ0RDb5xwbOTzgfPvJlyStCiWgNiL7ock3mjZYA5gYF3BsEGEbldJ +[+] Invalid_encoding cmd_msg: encoded_extra_colon2_123.123.123.123,cat /etc/hosts, SPA packet: 8EV1uKQ40qxZmVJNDLyB+VupkCcYztR/3uWw/tf17pSVzZXXWqnYiBMBBsp1eWJY+8C/Jv0vV4XtQvSHz+ni/t9JVBE/AcbpqJjHdWz+ifNUvib858w+0Oicn6Vj4z/9tk3hcDIAiFUaFX5GUfBB4skRodIGMfQmucGM+ZOq+4WtC4xC1IhCht +[+] Invalid_encoding cmd_msg: encoded_extra_colon2_123.123.123.123,echo blah > /some/file, SPA packet: 9KdSA665GzKyKv9sxNNhR5bBQEYZU4d0G45IKyQjfxVs3bftXDnTufxFB9zxpYTTwMb6d536l09x9aMxmOfBT9NfktVytRvQQ5790JHcDqJX4X/W0TW+bgrbCWWQmmf9tDUq8bejDFkUZKsm//ZNldTVbg25R3DTlQJch8FzNcCgzSN6Xr5fMajZDu/zhK8O3cmoGSJcphZQ +[+] Invalid_encoding cmd_msg: encoded_extra_colon2_1.1.1.1,echo blah > /some/file, SPA packet: 9TRrHnPVGj8FuCvyzrSVALOwc6Z33ABRmHnerc/z69e0IL2dQdcDhdamC7vW1a4JIaEor1LyvL6NK4dSIWBZg4Q0dsna9oX9FSGBnRBFWLIY4wmtATrBs6Fq1lv8B6xrdIOPmwgSt1besgY6qa+oTKMLTTvA4PdMzzHGbtW7KBJ9hO6ZToWDaZ +[+] Invalid_encoding cmd_msg: encoded_extra_colon2_1.1.1.1,AAAAAAAAAA, SPA packet: 95C/QLE/Q9I/n0lVcWIWOp7++tlif5KFHJnd0198/AtQzTwYL0K/oP49RGyLkmUgNihjbURa42752mophboAPoGtzwFusjVCHlvdNk7NL38n9g92T6tqwp6rvdE+fUIaQTflclL/17uwLjg9qtCz23/hLgItaSO4Q +[+] Invalid_encoding cmd_msg: encoded_extra_colon2_1.1.1.1,AAAAAAAAAA:, SPA packet: 9FjXjRjrpj+q8YCUP+WtnME5+0tsCSMo1okeRtYbCqif5I4q/gi4W06lpHKRAqVhVN2bbIKgQWF92HA8nzH6Fqi8AU9N+Gm3Q0wUyB6+55XXmSBKz5Pt7fISr27vEk/IxVrRGHfBSD+lvUvJXhQAzfPiiEcCmmm5s/PQ5I686qpzAkUF68Uqzs +[+] Invalid_encoding msg_type: encoded_extra_colon2_1, SPA packet: +il30RXtmVGERuzqr2Xi1LQAWqGeD0UtXsxLUjyl75LgOgU2X9vBrmla9bYnApJNmUrTOtUfS24iw04xPrwIT28XU1gDL4a6cZOsfPokMz1SBngiU2TMDoZGrMgLZ0TuOHWhECM8fVHeoa+Mm6Hdxn6rq69vlbRj0 +[+] Invalid_encoding msg_type: encoded_extra_colon2_0, SPA packet: 9rU/tmq1tcrAnSYDbRp2fl8m/dlo8EYDH0IZahc8RL32+dnK2qJ0nlibsOlhCJP3Q0GQ9gb1YA3wf9Fu7RK2gmPEramvEComXICjw9dwOpL92pAw6gUEBPnQXlcJ37aqSOnhx3VuzKa7/z22aAXcQjASxPKCyd/qU +[+] Invalid_encoding msg_type: encoded_extra_colon2_5, SPA packet: 97koTSVYY7kmk41T+nisq9FWcw6X2sOgFtg+lBlnhL1xJRbrtptFzMCp0vGbHVG4YxYcBOxN1f17t2pX1v8a4L+s/PXpWqBE7r+zZA9/NhpQ5yDAh/AbeCY+upcFXE/FZOdts0DPwvj3ShWvKpqgjKTqmEcI7GZtc +[+] Invalid_encoding msg_type: encoded_extra_colon2_2, SPA packet: (NULL) +[+] Invalid_encoding msg_type: encoded_extra_colon2_3, SPA packet: /wN7LQWA8ORK61wzVSrxuqVSVhq1AZNluLdF/PMqHx5Voz+0hdLx9ETBT5OxnkpXWlBFrmMBL/8WPh1z6zAZ45oI/G0Y83v10ar5wagNkEipqffAmCpkeOV2EwgVEwV+UQJbmOFcCTOZYE+Duq8lX4WqND+vBLfoU +[+] Invalid_encoding msg_type: encoded_extra_colon2_4, SPA packet: /95c9RnzycDH8rxKB+SEKC2GYPhBLULCJrrH4Rvbl9j2D7FhMHPmGzQoeQsWh9+jlntA72owuwMUgLogzz/GhEINdECjb673DNeTNaQWC7/03AuxQLbS4Vy8PxVamblIfytFvPgwzpUQcd20HPllB2wspnwj1axd4 +[+] Invalid_encoding msg_type: encoded_extra_colon2_6, SPA packet: 9k7RVq5YYBCdJdS8s4Pg78aK+4YFQxWD5G5X6mdHn8SomV738LDM3jiFucnXNnKH1rLrrr3x8QZKf5BDW4OhD6oHHGQo0/X1giTpKKqdpCMbU9v/aCGTuwJQoA8L8BiCdEjuWzW+apbfGx3VgmeUxHm/iI5zBimlc +[+] Invalid_encoding user: encoded_extra_colon3_test, SPA packet: 8GkO1N0l+CEJdObpDslfaSeAgvlxZmXhyq2vLxgcNeos5wohcejdLhvmJDbIvj+5TmUnR31iVXdSmlzCJ7lUKcFU+TdT5AacUe79EmzcOhi6vy0bY9lAiLFCO74TGqHa1+e/Y2FU/2klekPgE/CD4FsrGg4cSDTxA +[+] Invalid_encoding user: encoded_extra_colon3_root, SPA packet: /ATNirE0NnrC25nyW62+hEoLv8aF7yKfwjYysPepG3QwcuPqmNry9MpwuR+tEQYYEQobHJ8xdS6+NYQ84XCGzFc6tAcQc1G3Pb+0QefVd6fGmDB2BW+/tyUR2IfC6ClUEs/sULyPF/M+l69PfMfoTDvZ2F9luyTbU +[+] Invalid_encoding user: encoded_extra_colon3_mbr, SPA packet: 98ttAGJ0S/cP8O4oRhyi4UBhggpEMNzMiMvKSSD+9SPy8EEQAThnBw3ywOO7gTWOJO+8sOkBdpNTRFUon9rL4aMQPrqGc3noT+0+HZRP+UrTWHf/uBBDbIZvoJ03hkydAm5jKgrkAdhATUQfqGRgp5Ed+v5ePE6QE +[+] Invalid_encoding user: encoded_extra_colon3_test-test, SPA packet: 9evGft98cH3kpEf0s6ivLMrwmGI6BcNgcRBQiXeArBr5xdAhCbPMkxKuzjriBAAuw7qvAwm+lfYp5OIvIAPO6SU4IffBdrtvRpfsyMZRGrErZVIHsmSKK0p3oIlB4vUMi39grVz80Z0pi0vAaV7ZW4wjiFhs9BOcpWljSuKqhqUZrSws2K5UaT +[+] Invalid_encoding user: encoded_extra_colon3_someuser, SPA packet: +SBl6oJzZZm550PdJjP7O5qyRaY7yVu10Qp3KOk5R1cnKfd9Ja/rFUeraUust3cdH1F0YWjwF9lV7QQwGpoRu9bWFy77WBpeEURXJ4jcTkLrZGvE+JROD+eQ4RxbWXFj0rlntSfuMpdwP4gXR+kQtVTzR9AscPn+4 +[+] Invalid_encoding user: encoded_extra_colon3_someUser, SPA packet: +dnAfp7BXUiExQGN4tcJ+/quzaqFcdeBLRck780itQjtMTsyuqlTZFz8aurDguDte4qrxrb14JIAmPmhHYgey5b7wPsW5IwDNT3aap6BwcO4yfPllNtbrvqqlF0K92MC1I7QYbhyrhzorjf3EwyKaJR+ocNduxM3o +[+] Invalid_encoding user: encoded_extra_colon3_USER, SPA packet: /KpbtMf1qwcLROHGeaMqIcKGPQ184qV8D+oAZh/6/q00wrP/vWH6zLOCZjHOqMfrw8bSvnoJV5tvIZJG5dQC+IscRnxMcJ0zjBibeMTRR4/SYHbspVDaKQPEXpmikhWBcYZ5YI6Rj1ReDBbqjsYy+SJNUd7nHF5ag +[+] Invalid_encoding user: encoded_extra_colon3_USER001, SPA packet: 8dXTDaoYaDrJkYOiS+k9HMRtwLCqPy2NZ2pgNnBnaEvxv1cl837n6zVeDyBUby9J66QSwkZlLNOKociqi2RRl5O7xEofdrTVkn+LcYZ7DZZbgJAnoleySh7vQ4z8cfZ9QZNdQ4w3fga2BnMvk0IMTN9eBYCdEoX0Y +[+] Invalid_encoding user: encoded_extra_colon3_00001, SPA packet: +wrTZoPxB42Vi8K4BLzHyd4czd5D35z8SGkiQHBKATB//+XPBW6iE+4ky1B3YoRBhCWkbtDEQk7qxdYjqX4HAFhkyuW80TQUFqbO902Wyo9cSc22wZgyjvPPbhqiz3PFC5GK99nVm4S/pSaaPyKR4CbrQeW4jXrdw +[+] Invalid_encoding access_msg: encoded_extra_colon3_1.2.3.4,tcp/22, SPA packet: 8RKToHYDa57yjyZR6oOLwqp3f1y3OdtZgy0zvOW9p0pVAnZ8vWywa7s7RSsH+6jt5OHzOhrEVzCTwRBlDl8c7s9BvxSOcPA5iBkrPJz+YsX8421mwfw8iycdzckcfU9oW7UjIWvQCmM9hBST2BmZyVz0/bUyTpXNA +[+] Invalid_encoding access_msg: encoded_extra_colon3_123.123.123.123,tcp/12345, SPA packet: 85w+KYKjcXk3MSXzCv5NCFb918Ojq9nChw4Vs7LQYt0/xf1dzEtJZDd3kndVLP3vPl2PAEx7+efZQXBfFH+rRJBdHrUbwqXsWhs5tZG07gtoOJgESTTqT3t1j9MtjR9XCaCzFh7v+WrRWbol0mpdSAbtgRfJwSHZrgM9MdWxaH2nCEMxwEh4hk +[+] Invalid_encoding access_msg: encoded_extra_colon3_1.2.3.4,udp/53, SPA packet: +xjSMvtdSUdtc6aZ88U5ql7sae+HlabZ1f6BZCZMC9S+rOWDJKmEvHg4kWpsSSc4Y0Ls9vmVN4KEplGWQHP36dS/BygD0vP1AfKlD0S0OyHVIVvpkfDfTLud64sUqeRIdTY7sj2UF3iFS+IkmF5K+5vS+XcOekvnQ +[+] Invalid_encoding access_msg: encoded_extra_colon3_123.123.123.123,udp/12345, SPA packet: +c4Pu1bE+vPqv3I73HvVAsdXxbczxduZAK4dbckGwb3UcS6n/bDQA7e9SpBxLL22muG+irqtv72ZtnqJxUUd+mXPZ8+8KpT9XsQ1Nb7OYswtl2obixSpfGIjj2toSXXZ9ON8EOFnoBVkM1mJWiJKNNwEhp77uCc9CE6Y+3D2+T1p+fRSmzMAG3 +[+] Invalid_encoding access_msg: encoded_extra_colon3_123.123.123.123,udp/12345,tcp/12345, SPA packet: /VyQEa90A/ss4VDCcw0lTC7tH6iADLv3ElctxvA/REcr/ENF12lw9MKuM2oC9cAcxulJdScOkEatTOk1dj3/cxBxU3rdPvqFluKb+6B7Nd1lZ3VHnPfYm5vpHu0WcQBC/9+MIqhWrOc/AK+w8I7RCF0USI+Ch6HgAra58sZbynVuV950ZnM5mghyHs0wzYnPza43Q7BvkZVg +[+] Invalid_encoding access_msg: encoded_extra_colon3_1.1.1.1,udp/1,tcp/1,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: /7IR+HoCOLPAZrBVMuryiadU4JYKmzVIUGaPa5R2n+wcoKNlZiIc2OQWCVqpkWHQrqTy9P0fcG38hvd8NmosmgsyI1/00xHCgtoXF4VhGGzmvoOk6tkp4z/dJpLUKvL2HiEqDplpNj2g1QTwZOyGS6Dgv59oXrq9G1d3F8IjcurYflFDOtHJIXPtBS00hpL5EkCWda9Mvps1Aaj137iYzzuEssssrFp/M +[+] Invalid_encoding NAT_access_msg: encoded_extra_colon3_1.2.3.4,22, SPA packet: /Mn8lV/q8GrggE2+ENPXnK9udX0TnjUwfHxIMDSjYaYHHSYt77ees9jt9SgZz1RnfgKLycMP5RuDxctiLa9pyBXXdE1zuOU6GtVlFgNA9vR4fx5TVqt4TNj5kc+8WgltWIO4tBuviye73dsZLU79rIcsHYNVlEMPvNgrXakya71NUtwDjoa+ZO +[+] Invalid_encoding NAT_access_msg: encoded_extra_colon3_123.123.123.123,12345, SPA packet: +qbONwEC8YC7ONGS6z/LVCQnzYX+EVwkn3qTxZqoAPoVegsw5xH8QitSn/2DDVw+IYTnnD2nn+Qg00DvIIoncAG90AZwkMUVFTuhC/DuBCyYigmRkcnj4NnbQe7vN+5cJVulCTCb+DiToZxzHmCLBVrCREgEN86m0P1RljJRtw8TZ958gjq79yrbVSq6/jIBY64kyI0du06A +[+] Invalid_encoding cmd_msg: encoded_extra_colon3_1.2.3.4,cat /etc/hosts, SPA packet: /cVXf4jE+vSWtvpqLIGIcRr0TjT2zXdCTDiF2AQu0IRo+7+aXdzPv1k4IvwwPXreG981eRqaRc2gWCJWiEFB2S+PUyiicvtxvsVvh+HhLaYBb+OFI5u18sK8XtcZD3zXXmoEiCq0bQ09St/tMdcNEArrejQ8vPI3TRDR59fe2IpQACYHqtgmoW +[+] Invalid_encoding cmd_msg: encoded_extra_colon3_123.123.123.123,cat /etc/hosts, SPA packet: /DX7T8BogdN3DMAWLaXGEDCjvsxqJPHEcR0x97HUrteA21RXYEjAfzvABeBpKk7aXdsrji30Ig2jO05MPWPH6kHsEW1vjRw5REwV2aWdkssq8DwcwG3gwk+iq1rCeLxYGKkQ78BHF0sP2IbJhfpCvugcacvD2dE69a4yEm04PIGlDTuf3PL4I0 +[+] Invalid_encoding cmd_msg: encoded_extra_colon3_123.123.123.123,echo blah > /some/file, SPA packet: 8SQoah+yE8l5X3HnwIMkg2Jvdipivp+/QhHh3BaOOp1a2NHxykTU9DO/1q2Ov0R5E3A3TIMr43NoNAYUeitZPObyK5fO/6fwRU/8BCeXUTldx+7mLFzT/rM/1UiHtQOdzJ/CNSV6RSbsEYUrHc4ZJd5dRaQ48ten2PXzDJnrir+qfE+sKal0VUs9Akvgk58uBHa0HZQ0werQ +[+] Invalid_encoding cmd_msg: encoded_extra_colon3_1.1.1.1,echo blah > /some/file, SPA packet: 9k/dCaISou8Wncky8TFRuTIv83zavPkGfG3Z5DOnL5HRT+7l51nHmF6YnNDthfa3mj9wXdBuQkkaryajBiEI56+CxJDuhqlceX7Tn1dBrYdDPZ4q9IHHcow5xXhsN4KxNRiFlazK6zNTz27mxPmA50lqai1KEkEe0RiE6rJ7yfYflWWp6cw0kK +[+] Invalid_encoding cmd_msg: encoded_extra_colon3_1.1.1.1,AAAAAAAAAA, SPA packet: 8RAea3fm9Q1amD7BUYMGDqJTtnWLAnQkPKN3RBZUsGdGs+eJN2Ws3peIBwBO4GlJ+SeXX2amuquqWFcL1WcSRoGUyrP4mwNrEZmLrqWgzLrei8xhfd0bQT5KKwkehrg2qKrybKs62w6i1EnvxMLowwIuxvJrfjkfg +[+] Invalid_encoding cmd_msg: encoded_extra_colon3_1.1.1.1,AAAAAAAAAA:, SPA packet: 9YUt68Rvf+tVeCYmNiL6Ayp/1tot3mKLBz5TrunYloQR8Dpt3xg9Tp1Hz66G1MkuxH75iw68C51yvH9cLbqZIpbqPZV8lw9I9R4gLO4OCs3QrWAeixWk0BIKSBRvVIm0zcam2UjCXsNkKG+3Gor3eWYCZYXOtFKK4fMvd97hpO6NicsagGf8G6 +[+] Invalid_encoding msg_type: encoded_extra_colon3_1, SPA packet: +2rwumPLG7rDl+3n8afsVc0klumcFmiQiO1cQnfreMBguFa9pwzfRlE2hx186+Xbg4GB4Gv6bp9GlGMTXlG15iqWy5Sl9dOPh4gq7wp7TIvWMnTy15A+bwPR1Bcd7Ik7Otu0hwMCYdBbHIZkP0SUrUGAHERu/B7SI +[+] Invalid_encoding msg_type: encoded_extra_colon3_0, SPA packet: /WsgxSeF4PH3c+cwRzrZivIA5o1L/tLGNPboWSA/hjLyfDlqVYTHGR4xyHtFj8vrcUfmVFznqOy6kRT/oHyUZ5p1gadWg1GSGeS3uVVN9mwoTLg82POJV3mlYfKHyFSw9dEaC+kMTcq6+WoNb8EszRpH1vPBO4+fE +[+] Invalid_encoding msg_type: encoded_extra_colon3_5, SPA packet: +L5D2+0qQKrsBjFh/1Z3C9PLOM73R6mA1dmGYgIPJhdtj4kcx/MLfV54J+AYWf0ZAwcirIdOPB9rJivLNcO+R6GuGXbu0LB3/dDp1zXRTlVxaN6BzDuTnFiQCdQREVygaBBBBDoTXt5hu7kSQ/FauMr4AjcPi8Ezo +[+] Invalid_encoding msg_type: encoded_extra_colon3_2, SPA packet: (NULL) +[+] Invalid_encoding msg_type: encoded_extra_colon3_3, SPA packet: 9uFXQ3yVuq7m/3qKNkRvCEDFMlVTT+u38LXVeRjLdPYSnZWSIYNVZg7Bz+KTd9EGdLwd2BovYNjaiVWbt7ECLF0mRHV2+jd2QCWJ2Niq7PoGWaCJU26LDeJz+N9LVk+WuP3XtItViozpzYfn6B++jn/iNWV+3MSyw +[+] Invalid_encoding msg_type: encoded_extra_colon3_4, SPA packet: 8Lc8e8b73vWEtqagsU5ImdQlIP+nON9KXJ2qtT9ggQrBY5+WoX0GqauO+WBhqQta66waEv/xBLFuJQpt3y7zXJfaWGiHvaTLnJ9CSrf12aNlQmgJKOrFqjn8sSpwXO7W5FpXjwYC36r4VmbeD/UxYV9HCkFZadEro +[+] Invalid_encoding msg_type: encoded_extra_colon3_6, SPA packet: /Ue++PBzVQTnxq7wBbZEtCWWAA1FTG1WmEHw5mcqXAozO1WnN3ck5uOEMas2RdA20p10DjPvdrgQXiXEc9dCuHnRLZ043vKZLq20PfJCZsiIbfQe4j0raSRVNB8XKVB32Oi5y0iJ4klQJW9xuadWTrDf4O2avN1yA +[+] Invalid_encoding user: encoded_non_b64_access_msg_test, SPA packet: //hXyC2YTKFS6rsioGp+N71za0OCk+Plbbl1flSRaMZdv4DHSvqBVIrrp0lvM/BW7EAPJFCguBnIY0CbsU3o6fY7CQLhGhVxEuJf5tQTnzEcNB+AwvTQAv/uGdPYmNMyCmtfefB4IEYSDIKhnN6hA3+c2Vxr15lEE +[+] Invalid_encoding user: encoded_non_b64_access_msg_root, SPA packet: +nfSBZ6IWIMkbZQzfyA2tLceRczhawv8NLiotDHwjoOoWjwsSc2gUIF0yjEp6iN9e3rQiTPbFSdQ14DpCcs2Fpp8YB1s4G5mrEOC89RMmN4zLmeGgQnU0DAQLh0b9Pv23n11rbrYXevU4FuwgxGNIkQtv4V4phR+U +[+] Invalid_encoding user: encoded_non_b64_access_msg_mbr, SPA packet: +BWdoxRGZNrYxlngcTgHi/XZ4yRcxUZoo1LzjPoPJ2d1JDNFA0Hu5TXbQBiUJ68B3rQi/9ZnxsfBDF8gzjkZafmpSwgU9rUlH2c+TcP5J3o9Vb2fVNcxFCN+HFAsFzY2ScJLdmrs4Z8qsF6pmHCua1p10AnVfcSsc +[+] Invalid_encoding user: encoded_non_b64_access_msg_test-test, SPA packet: +tyTEYzINlxUYk3yP53quogACF32zbg8fqkOk9hT5Jjod9RzCa7UN9/rJnzX9jCLfcODuGQoR4hlQeQ/yuclfFRc4n1T1kLihI1Em1o0pCY2T0en/ihTFmdH3UplnaL9jIyc06drY8SWWpP+OmkpD5wuV/uiHA5Lo +[+] Invalid_encoding user: encoded_non_b64_access_msg_someuser, SPA packet: 9dZF3PxeCqv4Wzf5E/vsBvKoYCAluLp71VfokDN/qI3AVGf8sWklNonwFwiI9DZ+6B/c2FjHLnDLRsXSweLF7tAfhGe2xDU8uOIVXWnXrsm1gj+tM2RVpW2vVO5eXzfZCcVghYlnScS9kCNG/Pbtws5K0eMcz/U8s +[+] Invalid_encoding user: encoded_non_b64_access_msg_someUser, SPA packet: +fO38QdnVcsh2ZnDj/oB1x/iCqzQAbCT7bAe5bnVPPbUouSgY0Z0VXfqnSW7e2VCmgQPgKn3G+5RCbxmb785ZCWAz2sD3q3vxm/8Ghi8Ou0ec/lTRSEdj4b9KAyfWAPaI5DkbDoAfuVzM+B0FMX/c70FjFoQa8kaw +[+] Invalid_encoding user: encoded_non_b64_access_msg_USER, SPA packet: +LCshtFEKMC8cyFy6mvISqLVI45ZjcFetDDpn1FkLpyuufZD9aBgm2UAM0/HX+7pYdwz3Kl/AmEWiDvbGRP/xyM0Cz7JoxGfOxvxBbB4JPDeaZPYkLaB2AlUQjXejX+QDG8RQ4yYb1aXGOp10KZNl1+wm4czBr7bo +[+] Invalid_encoding user: encoded_non_b64_access_msg_USER001, SPA packet: 9FsS1P9ff+ORPHC84JbZLl3oqQ9P/YBu6YaRNpUsceDJnok9tkClV8226j1x2bq2gfhmYh8ZSg7zDAPJInf4+kkns8IqnjVuCHAIDsz+Rj74UmZBBafr+h4wuWXRDQEyp4kvErem+pJQgNT7I+qzn2c86uW82O4hI +[+] Invalid_encoding user: encoded_non_b64_access_msg_00001, SPA packet: +B18A+MsxP2EBcrQQTt6KrTRb1rQhWy8UIZ3tT1YxFoCd8nUhYBr1yoaDA3n+Fop/simstKd7deyvxZM8rO9PPOaNswIXC901f6IgwgKFdGJTNv7kqdQ1NZOalQQmFFgncJtIzObN944PdvdrgdgCN7PXLb+l5qbA +[+] Invalid_encoding access_msg: encoded_non_b64_access_msg_1.2.3.4,tcp/22, SPA packet: /hwpYI5g73QJ2v2L1Vwu7HbxGphGp52+WFw8HBRiwlRCaC6e4VHx2UcXHpj4IET0AqNeepZRi8ZdDRDEm3KnIzMwegB6GZ8KFilHo82BThNKvIbO8N18h8gsLFCLiKGf2Eocor2HVEUkNdbbFfSoaUOYYDZlplGjk +[+] Invalid_encoding access_msg: encoded_non_b64_access_msg_123.123.123.123,tcp/12345, SPA packet: 8UIbUwkbVQ0ZPYpbZ53gKl7toJn/l8kq5swJFqIPhtAgleFk9UncodJPpabwW0+jsE5JSP0Xy02R2N7UuYYH6CtGJJ7hKaZFpvORX0oVaP7Yk2EbTvZkS4ykDGjVfN34UqeW/SzTSYs+ova5Ed/P7B2s3cS4ni5LuMulBDFK/4HTa72A1wosO+ +[+] Invalid_encoding access_msg: encoded_non_b64_access_msg_1.2.3.4,udp/53, SPA packet: +RusdCUnvNL2/I2FMCJy14zC6UAly5sLdp7bYZL2l69rI45XG7Td4CR4Lw3KCk64TWmId3K4mrz65U4cb7yxXiR1H+loA+sc/eS27GTlAH4xWRH7la9/5M3V/LGm9GCStWImc+109spTBlmgiMN25jf+vfmQq2XeI +[+] Invalid_encoding access_msg: encoded_non_b64_access_msg_123.123.123.123,udp/12345, SPA packet: 8ms7KT1pc7w4oyyIJJhdZcka92CiJBSAzmPaYFMBcBT1DFuxh9IahrZQ4gAWkxocdY2X142TkDfL7b9Ps9Ivv3HUbz3JnZCcTyljkqEzYa2eufnSPbMuoxnr8y68uz7lBFGiU+Elt+t2+GBBU575WdrMDvT+N/sHdTKi0h/tCqZYa4cJe7FxAY +[+] Invalid_encoding access_msg: encoded_non_b64_access_msg_123.123.123.123,udp/12345,tcp/12345, SPA packet: 9zH4yOkp64yX4lEMJmGmikJqVCvHqTtueovof3XqR/DzDyllukNW4Vf2Ls2JDztPiDY6D0JIrTp62xVZZq9We1hlch7xpCcw6nOo0OYuTpKaFJafUH9rk029u/+b95sKT1jFTpk3C2J6dSqHc1+VxNYMS4vaahrMTRzCrwI5LaXnd+dkuIyqFvtKzhPs8yJtC2XEtdyiEjbQ +[+] Invalid_encoding access_msg: encoded_non_b64_access_msg_1.1.1.1,udp/1,tcp/1,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: +e+cVimDy0NGZm08WRxifD5oIkrwytuZn95cvoLzLpI7HWBd/hzjWEuU7FrZyD67cHq4LkRfCB8qwiRogB/4Tl6qozQ9V0fh725DgU5otcBacgxRmyx6KjRDS4b4CtEDV/yVTESe0YugO0RDrGw5WZCVYbyyJCQXUjHHUxxnS3zQQfVQrR7xW89TlYosQf5LM2xQmGtTrnkEBU30iOWWFPkv6CezfeSHM +[+] Invalid_encoding NAT_access_msg: encoded_non_b64_access_msg_1.2.3.4,22, SPA packet: /WVfZcsQOlq7g/nwIB1XnWnHc9SNgf6Tql4RlcuvYDzSIkpXoXaZKT52WMkRIONOgZDl/KRB0/Bz0TaHABEvoe1JJS5FtMWe0InUMqD2CMUCE7QcfDKQOFSWJy1tm2jTdTS76Qy6Lhnjcs5XJuYPXeJcmPszXK1e153gPLRnRrTRov6J9ElyQv +[+] Invalid_encoding NAT_access_msg: encoded_non_b64_access_msg_123.123.123.123,12345, SPA packet: 8HlXiuWlIE+erGkrGhNbpGPIELbsewsoy5gSUTvy4wr7cifEE67Pohg1hBd3M6E9hLC2OI+YjHXpOtkGPtE14dBj9q4mOMX2mJ19P0H4U7GWhVa5uTbyjXTV9rGLMqo6q35EykMWErzf+xGF3f3kyyO2MgyJMGGTrSQFSJUXuz5DXE3WBqZoNNTYDqQrEpVnwrqPlFrE6ApA +[+] Invalid_encoding cmd_msg: encoded_non_b64_access_msg_1.2.3.4,cat /etc/hosts, SPA packet: /Pw5PQJst2YKyV++8AqgTWOTEk90wOu3Lf8DEAVzoTRte4IijxJC9/A6nb/tVOykNKjk4bMTWj+cCl6Jhd3CCPTkKokZBFggwO6zBKKPbukJWF6YvcD6EILdX0uMmf0yxOHx9P2au/1npWnNovBImrLIr16BG1E4LHhXCnNfFv5UYX7Khgx3D7 +[+] Invalid_encoding cmd_msg: encoded_non_b64_access_msg_123.123.123.123,cat /etc/hosts, SPA packet: 9ZXASpyymzT/CjGE9o4p2N7kdnlyBp8+IEUVF7/NqV1xO7vnTkKksCSypafGd97jzEgCmondBb4eoyy0xtnDRtJmKrD1b7TrVWIbK1W9Bsl4Kmn72cqxAAzlSeav1+gAMaAE//74Bd+dkZzI9ePsJqs2A2fmJQ010REv+dp9rnAI451vMQS88u +[+] Invalid_encoding cmd_msg: encoded_non_b64_access_msg_123.123.123.123,echo blah > /some/file, SPA packet: 85dmlhhlP4fm2fibt/qdKXc/EkGSYoA+uM/+AvQe4OGC30cylWfBhJFYCaMTNvMrQUk/OppbOD9w1257IokXO1509GHTrH/riluHTZxiTUgeusGk3zZS2mAUbE9cAceNi5bd8UXoF6PCjlRkz9k/aV5G2zft3q7Y5q5GJG1GUB4YUNOlENnQhGK/zv2n61cLqE8pC1YxL4bw +[+] Invalid_encoding cmd_msg: encoded_non_b64_access_msg_1.1.1.1,echo blah > /some/file, SPA packet: 8YNZFcX0AMxLSyqXq4qaBCe+RZujrArBhOd6CupJFLBOLif5cHw1OXItrhUvb8g8d/6VG/7RNQBMO4hLTcVh5KFrsPemHjWX/Tmz1Ukl5LveagSPXjvkuoPecHdImNyF2lifP5EV7tY+5IKFoDbd74ahqunxZe/cGuaIdLpC0xz8Cz1539UZKC +[+] Invalid_encoding cmd_msg: encoded_non_b64_access_msg_1.1.1.1,AAAAAAAAAA, SPA packet: /Zx9sBz1O9NHwwI9vgAcHqZZkfA7TP6pT1R4/0Zu5VLli8G8ZPC+jUYS/vV9EsWwOmCDWCpklGSpG9UkyiO04W4guDbZfbyd9oABHbxznK0bCKd5E5tEs5Orj/IZivv9Ry951i5rBZm7GnQ83eRSxb+xVVBeZQ7q8 +[+] Invalid_encoding cmd_msg: encoded_non_b64_access_msg_1.1.1.1,AAAAAAAAAA:, SPA packet: 8UrSfx96Gb2AxuyAkrAv4Qg0aiKcsqSyfPckn7ihGuGBcurfKdOgdjKrAvqjXp2gG4/n/v/NiHAFg1pxYqLSAV+PrxyJ3ur1AbzWtTuSLOIvkL+sz9Ut/N5kqRIf9RznBf9JAmWAS0JTMmYaSEzZ6uD9s2b8mPXdIBHPRnro5rIxWM1W14/QiO +[+] Invalid_encoding msg_type: encoded_non_b64_access_msg_1, SPA packet: +ieOT7yaxMlb8mTUFZ9vLJMuWplD8lY65KLA4UbEb4DqTX54mZWnSL89BOTdiRbULOMo32BRwOU2BnQkuySkkAecCeD8fOC6y3Cz1ueUTIN8wh+Wgr2qPu2H+FY5bWolO3H/mliWOHRaT4rUtOb7BFOz1YrQdLbdQ +[+] Invalid_encoding msg_type: encoded_non_b64_access_msg_0, SPA packet: /yC53mvt74JPosYs5m1EAYVPGzXAcusDMu8L2oVKtywdPDFPz/6SWQjxTZee3uIH1Bf7VNvb/uhM72r3AFsqRdBVI4lSc5+5u4Jqmm4z5IabKszE80Khk+WTsjzpYZAzbNshC9agcXXRJTF1e2w+K2142zLlcOQMM +[+] Invalid_encoding msg_type: encoded_non_b64_access_msg_5, SPA packet: +EmuQ45208yYXCf8CZ+jM2Gwq347jhWYmM/uH8i+yxsOeOvzOPXmZ171mlGVh2hBSOwX61cVAXTs5QF2qUIiA88fVcgXzLPYEpN9jy7SDwCgm7KgMBenQp/kYz2OfozQjEqTkrbVGg8U5G2Pfq6M6fWaruw+6jups +[+] Invalid_encoding msg_type: encoded_non_b64_access_msg_2, SPA packet: (NULL) +[+] Invalid_encoding msg_type: encoded_non_b64_access_msg_3, SPA packet: 8BTYd4sVLwMj2+G8T2oMLFKVL2I5qeqw/VkELYxskEUhiT35b1LYKgWZ5N31rlU0ZssdAC/1WafRBTyKCfduzkDJEet0pgMsP7zGcDA4oaPFXAEKNVFQO1Tu3GvhBmLiNEgnTmA2uxdiXX0iHK6B7oZzA5ID+ZFIQ +[+] Invalid_encoding msg_type: encoded_non_b64_access_msg_4, SPA packet: 9sGk6Fpbo16CjcxwtHZzeGLhtLFmo6do3pboGjx3BL9yqD8MS8twu0aThG0VBDubt+mFhD6z3qzgi9u2fYRBoR7toICvknDARsI4NOOPuaOjJfSfnVFnEWHvaGK28atJSYYNgQlSACWQ8+a/HgrpydZV7hgk7Q67U +[+] Invalid_encoding msg_type: encoded_non_b64_access_msg_6, SPA packet: 8YcYYrCQc0fhENB0uIYtqXtgzWlMcqUhQC3ywstCaXi3Jqg09kEUQCZxlWKIJbdOW99UmZCPYtb19Mb/s12TwJM0BYNeXtB3d4ySKP+I6Mh3Driri8UT4THZU+CoI1y8+1nXJrBJyqmp/dO5tpc1eSIcI0SyooXFw +[+] Invalid_encoding user: encoded_append_b64_modified_byte_test, SPA packet: 9ZOo3dtYBruqhbI0XWZhTRFsD9ezgMo6rLF27ORimez1TV3UoboKIYRTS+cc5ZkXxrxUOGkpV/2s1Uc5nlM9/gppQNyWGbNW/7fvZ8DvGU8mTa9Pr0kOd0tX5Xz8FOywUtHG0wLqtnb9h2AS/RMaOIuNO+tT52NJY +[+] Invalid_encoding user: encoded_append_b64_modified_byte_root, SPA packet: /A/YejkFC+XOX7CYuRCWi/RdV0BT5ttDOntr/pGITKvtG9N/pCGmKMfO8r84NxT1q4rN4ururk7xDg9Kau/bIWklpVafz0S6D7EMaHDuXuOIyS2yp8At1CeUO/qTOM9QEiB3Yi8WMjTlm9Da7EmaIy4C6uL5BJRBE +[+] Invalid_encoding user: encoded_append_b64_modified_byte_mbr, SPA packet: /qGXo3KEr47RafB+cmYbtDwrxHEPKUD7Mpqbqb2S8rl+AfwBVQdNf+jsZTH8Z4BtjL+0iUJTZMxJsfRShCAXORt6WuQ61Gvx8qoWIL1njMS4KfB5AmJ5wFzk1X2Bv4gWCPPFsKEwQ+RgEhcGjecaSQ0EKAXMoaTFQ +[+] Invalid_encoding user: encoded_append_b64_modified_byte_test-test, SPA packet: 9oJevoERh29fQnOSGd5FjvHmw5GurSdv57zy4ngjiNrvm/SEbHHi6M3/cNoyCRD/4ZF3SuMJfaUqbn0HAgelz/Yb6rBwT/NpmOd2fshvDQQ2fkVwH02IthZJqRt2tNwNQuSncnY7ZQecLQZHtlB3tsS88zMicj8V0 +[+] Invalid_encoding user: encoded_append_b64_modified_byte_someuser, SPA packet: 9Ye8BfngYYMNVbqjvd95AeUW1NYVt//8eKcdX3KF/CRo95IV+FOBofXrj6+aajqL5fJDfC8fQJl7lvtBaZLwEX/YoLGfwleu6msz3DBtQj2VVd88D2hWM3Dk0E7E5EsI0k+MEesjTyUjdHRpTkaHznowj8PiRjyHs +[+] Invalid_encoding user: encoded_append_b64_modified_byte_someUser, SPA packet: +OjqgxLmUd6QmjcT3UQCCOG8Z5UBJonuIcq++hXsa5ckAkHTSyWdKQT6ePuOjbCIR619AO7GmGM4LuWE20d62/T/zCOKh2QbJ5fH2GeoOrFEho88zFbJgMvRkzSX9CgdJUP+kCgJd9qhnPUOW/IdFuAsSBbCXYmao +[+] Invalid_encoding user: encoded_append_b64_modified_byte_USER, SPA packet: 9YD6OD4arI+g4OW3F2qQCcPkHpykBC4XjJAqJCOClJsbNNfAeMGLQyXJdwHUIzhjgm2WAclYKG0mYCpel3rtbFIc5HijFVHt9U7tno4HCEUzIHqrP/+J6K9BijK5yYYIlIJ8iIGB4+gHSfeJxZy7O/MkP77jcIJGI +[+] Invalid_encoding user: encoded_append_b64_modified_byte_USER001, SPA packet: 8UpZ/+Ts8jOxKI8+4unxKoZfgFhWOTlOM5K1D8aR8frh3zsnuea6E/lmgXbRsT7O5PMsvtkzMxEHSi/nm4KL6L7RsMu5fxPdhyPXqK9iCEx6yBfXkYWmeBUGabBY3NNWcbSKfUHks2wPC+OZ05+BCS7KXcnqB5lag +[+] Invalid_encoding user: encoded_append_b64_modified_byte_00001, SPA packet: 8uPDvVxnJIwAw9Ia29tWQz6tNpFOJ4qXPS3zftm/NA7RSTwO+04uRRDZoaHMXRTKzTbCM/gxxRYButMeyRMJbRjlSBvswvsii8gI+CW1PzqMl27/dIR2U15GTxK1izJXesMQC4i+4vGA9PxqZPSyVzUgP9rZ7+M7Q +[+] Invalid_encoding access_msg: encoded_append_b64_modified_byte_1.2.3.4,tcp/22, SPA packet: 8kbOJ4SJEJdFuhEarvhoxk1yqHuji+yc3A2Cy7GWsNb6SsoqNM1bIT9KvXc1/kzAulRj8pK6bv0wG8Lkk/mfoxKHVjq4mkfEsrEL3ROTBnqTQZDCB3zLRjRp3opS4BK5PxkZGfQtsV5V3iRnt4ypnw2xeNgZmdPBQ +[+] Invalid_encoding access_msg: encoded_append_b64_modified_byte_123.123.123.123,tcp/12345, SPA packet: 8gWjSuGrcwj2u/ttzx70GHhEeTDd8Zjv4Bv7UEgnsEgUbhigDc4xOfiJU5BTXAQRP950HKIJ1kZVydFcblW/AYwg2V8BdRIBUySl69mlIBYnX23+iUru9xNNDVfbm/X6XT9wcs4uX4GftZmuZfzFMWcpUTmXv0fL2PfbMPzDPYPW3X7JCn+SJg +[+] Invalid_encoding access_msg: encoded_append_b64_modified_byte_1.2.3.4,udp/53, SPA packet: 9sAU4LLt7YPosjPWQI71BVy7XXI43LJ6s/6o2Xxwipa14lzY0xhSKbfxkNq6CiM1YXoMDJM0uFdlxeB7wrqkEThswtD/FZgJeCGbk0H43VMmMVELhB52/gSeWlq8YuZSaN/SEHF/d9wVtbi+EfW1uA6BKCuOYHfcM +[+] Invalid_encoding access_msg: encoded_append_b64_modified_byte_123.123.123.123,udp/12345, SPA packet: +6Ng6lRqiaIth4yTUKqxod92Kp6a23fcJD2vpv8sVyxFvSfPYvh1nHXe6waW0v8Tg7/pYrGRL2vdcFg0o7r/A69R/KrZ1icaqgoKtN9GHyFOBaoOHZkDKLhOn7mKxS/Z384V2Aay9cawV5g+9JZUi9VU2bfU6cUx8DiLA+39Z18jiL4x97HUVv +[+] Invalid_encoding access_msg: encoded_append_b64_modified_byte_123.123.123.123,udp/12345,tcp/12345, SPA packet: 9LBr82zq4u18bgw/qIq+dHdC7a3PjC7E+zA+s69scXAPHvxX6KtRSZLAxawctXuDDHXxYXx2mMRahjsoGrPBGUVzptRYyvX7glTQbH+1ekGpfEJTPd4nsNDwmm5ZzXR6sn363Tp0ZtVhTEQmcJQsIpWvyfX4uuwNevQAVHeKiacez8Vq4trVhtd8roJgQWfUFHfpyEBvfYrQ +[+] Invalid_encoding access_msg: encoded_append_b64_modified_byte_1.1.1.1,udp/1,tcp/1,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: 9KJ9PJeISJxnYIgBLITz85OSBW3VyqqnbsaGJUDibcHMHBklIIsDGgQq5LNQoOMx0FBtCdOrMtjZo5iCU7nmTQL5LBlggKSu+RdGUAcE1G+gEItvacWRqFaNnid8Ya9RshA/6sFk088C145kIjFZeIumjQdyXrHEfburmJ/H9zNyqCjj1AuFQmBt0qpU0ziJ5mE8cSNQhKGIyEVvGkbVFP7DEvbHSY1fE +[+] Invalid_encoding NAT_access_msg: encoded_append_b64_modified_byte_1.2.3.4,22, SPA packet: +WLTckK/hTMdhXlwLwPl04msd/jeJwtcV5ct++9e2QPO1l/JKI+HEy0LdpEVT86qTD7Ijm1hZsx36iQHWk2UPyRUfBaPlaJ98FqA2+ZCh5XNyxu6L3k5EZErje8e0D9OiaPf7MjwPbZiVddkvdfON8DWKfEbFqr8n3bJZPPG5x/7fTkUexM2vQ +[+] Invalid_encoding NAT_access_msg: encoded_append_b64_modified_byte_123.123.123.123,12345, SPA packet: 9mPwBbHmC9jrYYXwc/79lj+2Xmt533rzFYl01kOxmw34tURY8hQW2QYhj3Tf6BfuutYhvFBuly/dsaOH5fvz4jEW1CUZAMoW43P9t2p/9WAuAmXR60x2VJ6VklImqv9l/q7NDW2ejiy+qRe71NXHo0JmEeQ43hyHpciNyotTTfSLyOGLtH8p+HUyLKTYfeq/pfTIcI0mBEFA +[+] Invalid_encoding cmd_msg: encoded_append_b64_modified_byte_1.2.3.4,cat /etc/hosts, SPA packet: +Ah89EXI6eyeRK3+LXZW0TV7mIRiA7caNDAwIrSnKh21OfOT+yCX0k8KocZQuhj+9YRtpVewLOmM6ES3qGBkkffeya3nrHBESihn0Q9v/5+cTbQIoCn9aofgTFPBDmKbZy7cM8e4cH0Quy5CgB74w+zoe6u463RWkWvj8ud9W/vs2JOzYJc98j +[+] Invalid_encoding cmd_msg: encoded_append_b64_modified_byte_123.123.123.123,cat /etc/hosts, SPA packet: /lMnCtg+HB9J3HwEUashiy0WG3tQjzRkqP7PAkvlFNxs9mItzukCIkF+pPD0erV6AVLOFAZYAqLefP+qkPbLahnILhzThfKU0Rn0FWnTdvEhN7M0WPOLpgfEG9q6wBcS46BNFNz8rtDwhSjBfhhCU/mfmrQ+r+vzS5Ow8yGqlNgMEvwCjBvFa5 +[+] Invalid_encoding cmd_msg: encoded_append_b64_modified_byte_123.123.123.123,echo blah > /some/file, SPA packet: 8vgFr3bEMIm5MPzMnVqENtluusIL08aS/pRvlag3EeeYJt+0On9PTqchHeViO5WmHKBjAzzX+/COcvn6XaTy8P3eC+vpv+syxujsAZu/mTiGAEmgX0n0gIWqg9kuVTu/I5mP+lSKWuer6YSFSzROF2MK8ZVlM1D06Zcj0b3DRKw7WdaWwlv7jHgX7fTNuVsomLOPFyXhJ1zg +[+] Invalid_encoding cmd_msg: encoded_append_b64_modified_byte_1.1.1.1,echo blah > /some/file, SPA packet: +4k4pqCSVR1hOBYswG8MpjwFQk9T0B88goInShL6fDlDIdRbfXGoUYtSulvLfnW055XhHlA6D6LV8Hrgu3F2ngvJHneOqgD9q8tMLUd4SEbVLehSgJkYEYbdwHb6z7kt4Kwdf+NsTLIt3wr2s6YjuPKmkIcDfqPjRYR1BHhWeYEp3+NTaDG8qO +[+] Invalid_encoding cmd_msg: encoded_append_b64_modified_byte_1.1.1.1,AAAAAAAAAA, SPA packet: 9NaX+FzTk5+Zp1O97Thw4x25MaKgQXWWrJ8RGKeFO7j6YKRMXZVJ3Bx9WGJeN7gTCbQO/9AW/1OxvCzuFQUqxYg3gIUWoKDEI+7vtRWGjQQ0j+elt5eLi/9/anm8Qd/Pksh2o1kQVEmYxtXM7bWjBxDw5mcz8xUB8 +[+] Invalid_encoding cmd_msg: encoded_append_b64_modified_byte_1.1.1.1,AAAAAAAAAA:, SPA packet: /myArDan3hwII8iksXzN8kWowQpnHqYbZJEeJsHUhwzatC4IooRXPCf/fc3LXxYcxr1K7UMfbKhK5MS9yHpZX0uF+pH6ks70XaYWuJtDgBrNX9Mw52zY2TPFFr+ffa4cyJhYpalY2vm+KIBk/WT78Pg6lTB5A3z3LHhNRDvFES915Xp7tg9A1e +[+] Invalid_encoding msg_type: encoded_append_b64_modified_byte_1, SPA packet: +Zet95Bimk7l1p4NQNxDWnLuYnswz8dWkFP3Lx1/rdxcqV7DU6qM4995KkabWAlDsVtagZcrqgO5TXzmVjUth5tqc5uG2mFXbcarfAqNo48hBwH/TN3bVT2LlqJiI4k1nJOFxunEKHodrQQFFi9JXe3S/MyEOod6w +[+] Invalid_encoding msg_type: encoded_append_b64_modified_byte_0, SPA packet: 88wpgctkXmhqcMjVyx92WwEjlgx2nqpss+7vZJ23LjtViNDRUxQ1rqwJbBGZO2LH/sBEryBX+qndESjXUHMjGRfqccoTb/lEhHCEXX58GZbB2dEUAsh9F1Rnei9pEqfASQM3M8kOh7ZPlxXtAVWWHposPi6TJgq/Y +[+] Invalid_encoding msg_type: encoded_append_b64_modified_byte_5, SPA packet: /tjd0xOeGhDIhOepequqbWlItg1aaxMGvBSaeT9a5k3gB/QG/iCWifOrBhbDPuMz6Wjq+nMxggVRhT0nQNQUAXV62wFJnh+02Y0BF32YW74DXijMfkEuZVHPph3JbcafsYKl7HP+TVjqUgjE6WGvT+IJChr7JwoOs +[+] Invalid_encoding msg_type: encoded_append_b64_modified_byte_2, SPA packet: (NULL) +[+] Invalid_encoding msg_type: encoded_append_b64_modified_byte_3, SPA packet: 9BO6B3nxSr7tWx+/REIEK826HadPekLqcgYg2d1L59ONFtZEas4DGEhToplV5kF7hw9rV45yPNRu16jr3FyT8Ar30LlN/GpeZzIggM9g3Uid3/pd3WPrNa40TYH4APJwQt4cVaC/DR/U/xBXs/n9R18DNEnKC2+ws +[+] Invalid_encoding msg_type: encoded_append_b64_modified_byte_4, SPA packet: /q7YxjLl4DuMnM7bVZ751t5wRb2FMKepxrG3UwGWAW4taYiUDhOWi8ZsH+mPUTNkKNKj3FVIkAT7bf2z7RyKRfCJv7oG/owJWzwpBREUsTRaTjevVH7k6nZs2P7XHqdgXTfpQUltPSAUVHtmx8dTc6yDboj8K5caw +[+] Invalid_encoding msg_type: encoded_append_b64_modified_byte_6, SPA packet: 8I9AKT1MQxSsPiZrm5+TN43A1OZ0llVLtxuHJGPIS/+gK3W/9nEWkJ6M1FTd8bi7pF4jfulSUWSRbEkjgTZUkLdPldaEZ+W/AcplnlE9eEPWmPkG8ojzuPAwrQU0LhC7LdEN4DneUOTNLB3ywPGX8xghpEJ0ACPBQ +[+] Invalid_encoding user: encoded_append_b64_modified_byte_eq_test, SPA packet: 9TSWToLo0j9HiwvIEi8wq8liLSGGEcqcAVS3wS5eF9+dVaNsI4Z7o0RUzbmuhi6UdgeE/n2Q7J/xMQ3pf2HwtDUZalcTKNwepC446ZFna4NwXwgvvQq1/zIv5zV86KFlV9M2o9dVJsGuXO/8JC7pqMM6BfNyiDSFU +[+] Invalid_encoding user: encoded_append_b64_modified_byte_eq_root, SPA packet: 8vxS0PctG95tzPqcb0a/UjgJN+yGuR4zeGsinXFW1sVD5PYdBCrpwzh+lssVQ0zD8XryqVG+emRCQNNNl0iKHP++rDAIgcgf4ZRXQoVwMUYdrJ67DyiBocY1AKIYq0t2gHQchDD8ig+i0PnlJe3g0nAlifBJSZeFQ +[+] Invalid_encoding user: encoded_append_b64_modified_byte_eq_mbr, SPA packet: /ZvPxkAfqXaKp8LaEU/PPXLK+U2D5s9jfRfULGbKZiYUbvbDoXIWaKbkhI72AUcxq05acrbJdc2LTZMe8pLv7wy/qMV9n0RKoDta/mIC6Dk737ZTeZSdaRQOCizYukURzlakZKd5BSAfbOEqYFk2iRQ8shXxd5jbY +[+] Invalid_encoding user: encoded_append_b64_modified_byte_eq_test-test, SPA packet: 9dImukt+wtK0ervxE4Y8nbtsfqAzZlDan9k+wm2BA6yqgdb+b386dxw9kYG7AenMrnMUfPu51KoK7+ozvljhUCffggVa77yJ1O3ca0i3dZmHBHeshKzqo/Wh6JCy0wl0lXDNjpwWgsz/3DL7LhWrKR/QAIY9+M2s0 +[+] Invalid_encoding user: encoded_append_b64_modified_byte_eq_someuser, SPA packet: 9isaupt1RqPgBI40MMcUOqm8WhhzQzmRai3cTaz5fuSZ8J0KEtIeaTP5uSwe75R7utELKeolh6A6NiREoq7Xuyx79Y1iOhgJeb+wSVr/47EJrbFKClcgAtJoyy0WpFvvd/VKdnulRm7A5UwTv2orPtzw4es9CEbmc +[+] Invalid_encoding user: encoded_append_b64_modified_byte_eq_someUser, SPA packet: /lund1y0KuVItwQVaWDY/OVZSfj6R0d0NxxY0wtiBwyA2FlibK9A2iaNtau99hCq0Rs82NlXBQ9qPxNqdCehi9aPBH1n2P6Hntsw8lF9E1m4mNT8gunCWVx78THOto+7fOTORL7r9o7hqi5lPjsKh8laRqpr8/FYo +[+] Invalid_encoding user: encoded_append_b64_modified_byte_eq_USER, SPA packet: +DbfyKStH0F1OL4qcjAo4MacF6TbSaf3ph/wbDDgr7XPuUHPlvqk+12sNlOdylKz2vFESBtliIbAlTKwTkGaaC71rkwAsbbxjHdsa2MN7R+lYpuUA7+yJkPJQt7e4pcvPQS7y/cADSXPW9ufffzCJHWqIlEPXZ+hc +[+] Invalid_encoding user: encoded_append_b64_modified_byte_eq_USER001, SPA packet: +sUA1pMQaiFsfl+6pm/W/WI19CFOjyw6FbGB1fxbtfsnTC+Gj7/zkNuALgwSwxR/3Ev1GzxRBdX8ijvw+BIfsxrce5DPKSV9LIR64W4aDjRpe/NMzhDQ+HEPhwUqWi0qHtcZNRsfQ4B4hqNigKysJvgedFVTtUZNc +[+] Invalid_encoding user: encoded_append_b64_modified_byte_eq_00001, SPA packet: /Ena8eLCq3GPpijwHVFXTz0cIjTafi84CaTxm8gdtNt2CC/tue3Buc9V9BolMcXSFqGXkTn3aOnXRiVdy/F/jg+PKs5yShI68QUf+4OlIW1lCBWImp3qy69uNlIYeaW4kX9KMMZTGl/rnEg4o4SCV86Ks4m7iwHmw +[+] Invalid_encoding access_msg: encoded_append_b64_modified_byte_eq_1.2.3.4,tcp/22, SPA packet: /eqZ1Tmf03XjGF1ycpHV47rKjkytrJ0+/O0C5crwAfO/MsSCTN0e/29UZcKGljAJkQXT7XyQp5iNYu3SAroMjayf2PUw+WttrkleUGQBir8slkfH0kop3XvEnqG3b8ecimlI77JzqF6mw5r82XNjGtONhqWiicwl0 +[+] Invalid_encoding access_msg: encoded_append_b64_modified_byte_eq_123.123.123.123,tcp/12345, SPA packet: /jNcB7kObna4bvFAB8vxPMfqCC5tADJ8oPCd0w3qDw9itO2LjGfGphQyeIpCL6bblMwzXDny1GPgJFaGQlbC6pueKy2tYJFmrm3iAiQm94wg2XB2K7CxOfC8TjYGZ8dwNcyaeSobTR7hqU9AZ1UbPQ+hJUG+NPRbq4sXkefadYLJPDylioUfY6 +[+] Invalid_encoding access_msg: encoded_append_b64_modified_byte_eq_1.2.3.4,udp/53, SPA packet: 8GJXkHzXSYJIu4iQTKGEQ8XhpQ64M+5t2n7lCK5KCLRZaX/Qlgoc16MVg78ykSj3ydCT36dpuD88MTbheuYwq6OV5e3/hS2fiYAVnJzLH4nnu12R/Aj0E8AA2Wcke2ZKcKorLS7NMI0sGmTy30Kw6chNc9aTaJf5c +[+] Invalid_encoding access_msg: encoded_append_b64_modified_byte_eq_123.123.123.123,udp/12345, SPA packet: 9OkyxPGCDalZIAJGkzzVj7BzI0T+phL55m+9NxX9LQXQMTBEOwuu1Y7So1HOiOASD5qvOi8VsxsmWdy5Yzp+koCrk9MBs2Ss1AHjoWTodJh57upa4M179Mi9Aq43dOLgrLSRHhPLz7w/rtdMrtg+JxqBwNcKkT/9/GDgv/eeIjmUIfBcXM3B5h +[+] Invalid_encoding access_msg: encoded_append_b64_modified_byte_eq_123.123.123.123,udp/12345,tcp/12345, SPA packet: /utymVmvw1Jz9+ViIsbl0dDNAczLv7mWdFXVznvSeDs+9KipqWSq9i7spA9bNoKBXFQ51vJzVXrq5gBdOwfem/Tan99J6cr2waEn00mcOlqVq2JYJt8Uj3Al3FhEImkfwenF2jWR83N+VIMIoJEyKjhbY4ybXq1BfCXZIAtaOt7xImhOSa73iJEq/ua1OoL/lj4VC4nn6HTg +[+] Invalid_encoding access_msg: encoded_append_b64_modified_byte_eq_1.1.1.1,udp/1,tcp/1,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: +JJidg2VRufBRF4J4lSDEllXVM43KRB5UqeFgnYIXMR3UTU7C2SKordJ5g9OuBAgsV8FwFiYPAcx4keZZzzs/fIHUTUQ+aAavqeEJavLft0yRjnd4PovQmk6HX+RnfO883PHkXModpzdvhRdq80YNYd2jWbxAbSp366X5V4OzgQ7RCKbGE4A0qEqps/Eu9w2+yejTCLp3IQ0inIA7Z9TGwUEXO0cuYNiw +[+] Invalid_encoding NAT_access_msg: encoded_append_b64_modified_byte_eq_1.2.3.4,22, SPA packet: /5pzS1by3fkij9yJ4ek35tukWYG01FACQyDy0ETeJe7AO8lgbjNkCGG7AsG6NU6Ev2IiCDj9xAKGglGp3X2i6gBs7eKzd0DC/WJd3JHSyKKWPH6d0bYBN25az22V6laJfHskRzNndJoHuxLpQU5+G1vZSPMj3nMrb6aS7LPKQletQUtCLHSw0J +[+] Invalid_encoding NAT_access_msg: encoded_append_b64_modified_byte_eq_123.123.123.123,12345, SPA packet: +lH3HijMXUWhVkD+5d0xw/og26+O5Lpu8tzQ1m4KRqIkPnCelxj1/FQwgDOuGx9p4ryGUEtyLOqNwJQXRF1pFextV2GpTujf3sqjZdkSHI8pEDZCJP2U6KqLk3XYtMjESccao+VivfjUJI4Z1p/2m2XFdItXmQSWdyf583MxwZ4orGEYHfyU5DHxXh0BIkukcBRaKzigeCQg +[+] Invalid_encoding cmd_msg: encoded_append_b64_modified_byte_eq_1.2.3.4,cat /etc/hosts, SPA packet: +QvFDItR9FnnWCOndGuBeUJS7vxP4IO7xdZsGQcXPJLiW7Pr51/mKRMKeOi7/j+tSi6QLvpWIbr3AWk49ttrytZ6jq6GTsPXhvia+Yy+OtZ5KlLx3mvhy23bm62/I69CallKmB6C0vFfnBuKPXvBtNHVT4vv62/zCQwDQdR56k1B51wLgzIWdg +[+] Invalid_encoding cmd_msg: encoded_append_b64_modified_byte_eq_123.123.123.123,cat /etc/hosts, SPA packet: 9bE0Kj3VOMcdbhUgdGCioQopQ0CWvhtX98lHevGzZ+p1CFdU/7CxunflPURIs8NB/ZtY3mlY13swOU3vYXY74BF//CjcmQHHqJnjL5KWKaJkJmfqjxrksGLl4w8M40DNA8gpy9c265CdofhT7Ua/wI5cCTCo6ssadmpr3QbVz6HsKH9SsXFl3O +[+] Invalid_encoding cmd_msg: encoded_append_b64_modified_byte_eq_123.123.123.123,echo blah > /some/file, SPA packet: 8qosxlsXPZeEEuk0VawgA+EqJdHiaX9F9f/gDFGxQYh0bPETG7A7kVbzlsPzOYzrwBg46iape8T6x7WO4qDQwUDGgMMCpC2nWejV3fINwuRDQjFKUEaD8Wi8Ko2khwf4OUB8G1ine71i2ylaGAMhVbm1fHYjdiZdjfq6XMO+2LhS+Y88JPc675Qil+CyZvisjS8EgrxKtEKA +[+] Invalid_encoding cmd_msg: encoded_append_b64_modified_byte_eq_1.1.1.1,echo blah > /some/file, SPA packet: +3oMxoEP1OOK2AecvbLttFlTa8yu/ocZxvMqWj2klthh/f5v867lPFnVOBacUbCVi5KIAODYiiB6+bA7dURG7VdoKcu7k9Xy/PLUQOSUAb8uhpS9OIZhKKOeVsqs9zgS0RDrmNMOxvlkxRmRjJIfx38slbKhwxBlSMdgNCsaqlahy5cvqeiUdb +[+] Invalid_encoding cmd_msg: encoded_append_b64_modified_byte_eq_1.1.1.1,AAAAAAAAAA, SPA packet: /N8s2jqzS8J0AKg2llXGeoE4x1lqkao0XQdEsddNupaLwX0aGO+QYlDXLXy6ZV5zva+TtMeUlQ71LMx/Gdt1VlvxZnIFZYayxY54NfX7c+Nx2560++TtpQAMD/wSa8DTCadbjuwMGzACdAeyS+Nu8fYgA917NzUfg +[+] Invalid_encoding cmd_msg: encoded_append_b64_modified_byte_eq_1.1.1.1,AAAAAAAAAA:, SPA packet: 8QFO36gqJc2SXpBjrcOmyY6Zq3G4OidtqcC6UrLG1Mdryvq6QaRTMHeWilPwWNPwuOYb6xRumThRAxkls070MdOdgJ4MUAI90YpQQK13/K6fnVVttkI4grSnrw6QnobP85RKQiCF4yDkvI/JbQhEFzxbZWfbcljJ25fHFF14QLXdESmz9of+Uc +[+] Invalid_encoding msg_type: encoded_append_b64_modified_byte_eq_1, SPA packet: 9GzNe/RZrf8x4SJ/GfvCVsm4aIoQKdnJTZXNIMUFFOTYGFWkCk/5Zi4Izc7bx+PzJCm1B2HMGvrrDUvX5AppMpbssnDWOgQXrcqf2Gs/PTynmsRn3uADY8F6zNzoeRjqR1pX2O1DB/+te9H+AzO3YhdCbJlycK2Kc +[+] Invalid_encoding msg_type: encoded_append_b64_modified_byte_eq_0, SPA packet: 9dBPNw1LBifnAwFW060ThWHEBs0iBIMlIYC3ID+7Hr+jD3XbeVmLJ4f0TdOHrjJTr1tLJiOyHt19ru7ru8osMw9vhsRG+RKd4XHf1BSbhUqskoEGPu3PKgNOzN8tZA8uzHodNDxP2MFHPKAlcL20No6bjC2nkSZpc +[+] Invalid_encoding msg_type: encoded_append_b64_modified_byte_eq_5, SPA packet: /F2k59LDBdpINrD4AUMZPB9cTTACiP0D2ox0NA/MNVio6v8lsTQoH0rIDMzO3LvONl52rZ03vdTLFZfTwbkZW8ccsCmaldnkw0dMt7cnbz945C1K/ock2AvnXMQqLWHVE6SkE7zFMSp4AzYXg8qmRWdRE/50QGpBo +[+] Invalid_encoding msg_type: encoded_append_b64_modified_byte_eq_2, SPA packet: (NULL) +[+] Invalid_encoding msg_type: encoded_append_b64_modified_byte_eq_3, SPA packet: /sEWHfk32h5tv2bPXHpjxOgZp7QBSPPTzbKLqN/ZFFrEk3PTG68EYqz7SzCAl2XCawsqgJmuJXhFAlx+8X4RP/KEeQvXKJKj3nwccm8dg5CLdQlXPQCCGsJgat8sq9VtYLk5D7AxsC28eOX0xkz1ig/tjI6q226QE +[+] Invalid_encoding msg_type: encoded_append_b64_modified_byte_eq_4, SPA packet: /VE3De3qD0afJvDYr790nSzLWhsJnmMX7pCt6Z0JODL9v8tWMIMdQcF/I5qx3TSVmZcgAsatlkDAXjBAxULm2CP1G0oMYMpRKztE0yhYJUMwajemGSmKWsI15qM6tt0gkQMQ7r9cn9Urup+wsjzFr13TJfv4oDFls +[+] Invalid_encoding msg_type: encoded_append_b64_modified_byte_eq_6, SPA packet: /6WU/5LWV4YF0ag0V/Ti3QQmUemtOJM1NQMgqbLi13LKmeeqoKEZkFfIo0jhRU3nVLAIFudIS3ks+IYDmepA0fISsBOaq+G7RASfAFyUw7KXD8TbCHhJaZSXQKz46h5fblj6XlzzLHzlz1d06DZ2eMkriBF/vk0HU +[+] Invalid_encoding user: encoded_append_b64_strip_eq_return_test, SPA packet: 9cgRkb71bcSoHtQwftPx2BHAsuFT3zpt7qv2hWSHnYoXxOUhn4ZxyGPWW2n79Ju9+vRDzjQXtQPIEdA3R8a5pEWlXGociD3K0mD0Tmt0YhVnwYoOHa+XVUCW4cLnL6WiKsT7zekzrTAyzc1xQld8ynPNlczDjoFfo= +[+] Invalid_encoding user: encoded_append_b64_strip_eq_return_root, SPA packet: 8Je5Gtd1qOvPgWf+xQgDZka+TeKh22xBZ8lVRnAcosm/4q0ya5VqDQq9QAPfTh0DZtzQ2F1lHCtMP1PxwrwvZH0KcCyAf4ReiRYm93azpBfuYffTpPshEZM3u1yZJOK+7TxghYSdpgBvUXzrM87f38PtSvj+pl3JM= +[+] Invalid_encoding user: encoded_append_b64_strip_eq_return_mbr, SPA packet: +nEDitusayQsZ4NRqoWcqLycwm/E54ccWsmnpS7ZSS7Ra2GV2iqXv+W1WRHN194CLfT0Ml6doCSFUIRydlM+D7oiJQMouA5SCufxdxYT6ONJz7BynMq/eK9x5gPVkVtETMIfvZXAvHSCGHMFEeTdx2jEBThcI984w= +[+] Invalid_encoding user: encoded_append_b64_strip_eq_return_test-test, SPA packet: 9e2nbKlyDX3FdZxP163fI0XM819YuWtRE3Y0pH92xMVE3eKnc/4KowzTMKPykoftj5DShZpQPAJ8opBUcNrs2XqGFgA6oBrUTSChoe4eG6VYjs3kUmbBWdjTPoFruQvt9f/jl9HO5D/3XMMsdCQiwCu1THtYVpHgPpZQn7oIScP5Ok/rE5kOoo +[+] Invalid_encoding user: encoded_append_b64_strip_eq_return_someuser, SPA packet: /lvzthipQvAD6/59vzxnE694eu75g7/Abe4qXFTHOs+3kEXx+QORKjDAGzf82U4vlJYtdOWlCshZP6UBSEEsYHqE8lya/PUB80Aze/x6h/bkFu5u0vxejvO/75wWAc+5UzZI6HoI89WpJD/a6Wtvbu1ObVlaMpODCmj5pQv2qQAKtqqjtxmul2 +[+] Invalid_encoding user: encoded_append_b64_strip_eq_return_someUser, SPA packet: 9Gd8TSBxuNWztHoO/yeET68xOYwaBjlRoA7iJBUG1OKF973L18qR4FZByugvk/6/gj7fyYIlKn4N08wp1m2JM/rjlPi5xzFsDAVjCiQWk/P+g//kC1+N/iZWcHAiLmxQ1LtPHuARq4CVLviZiQv2lbT5wHXCLFBTricMLrumbs1CPma7QpYA5U +[+] Invalid_encoding user: encoded_append_b64_strip_eq_return_USER, SPA packet: /pvLx0UQF14IB3fJjZS96TKnSTNlXfOUFbAeblAZa2ml12qia+qw/tSRHAs9GgWwuWDYnfDUgKivMqCw54PKFFBuFB2LbvNuexD0ARVU4S4eMzyYUlOlZxMe5rEzmCjQhx+bmAoZ7rDlSyF3P0stcGlt1AkxEs61M= +[+] Invalid_encoding user: encoded_append_b64_strip_eq_return_USER001, SPA packet: /+lwFSBAHlEllsKnp8buzh4LNzRxEatHSOdmeCuZHMuOcP8E1uGhDBthxx710/z3Oi7StFicRYzM76h/tkyLuxFvHmGioodbSSugk3ORC0rOO0zy1FGt4cdNSJgUlT8b+kIE/sq1V6334MiOlevcL3EI+xGfy/nt5DxDRFYqVWObnCx77PNIg3 +[+] Invalid_encoding user: encoded_append_b64_strip_eq_return_00001, SPA packet: 90lKXcCR/xTp9tbcAkG79xwnj/ZZ4P37bISL9VfA4in/W8Ej5HuxoE5O2JIsvcoKFZN/4BVnWE2OahhRM1866hL2+D2v07tDp8Nbh25qT7oU9oYQpBc3PJwY8RROeKijYaIiZq8IvIxdB6C5RooLIfdna9ukBVHHQ= +[+] Invalid_encoding access_msg: encoded_append_b64_strip_eq_return_1.2.3.4,tcp/22, SPA packet: /OhGkVxbU+UApfm8LjPQbxj/jg34vYNv7HE1/4RkMqSUs0t5/jhY2yU50z1uhhq9PF9SMng1aPKYp+fkJ8Qc9bQjbdZDkxy5yfeHuMydKjb+y37/OcUonNXx4RzeROUnwQH2h7nPQpb16m3GUvuXn0ORnLh0P51ws= +[+] Invalid_encoding access_msg: encoded_append_b64_strip_eq_return_123.123.123.123,tcp/12345, SPA packet: +wTtb7ZzijL+IJHDyyL6n/h7L1BSIJt8Gle8+LHG6IFKZbnnXnUO1V9l8PSVA8j5xi8969o8UxWg2FrZCSPK17BzGSKj5SqXqK2o7NjSL/lZNdcn8w2WJ5pkzRGF1SLVxcFF6NydOcDDtwFMRKIJiv6RzDFwIsk62AuRer6u7TI7DleAbeCIy+ +[+] Invalid_encoding access_msg: encoded_append_b64_strip_eq_return_1.2.3.4,udp/53, SPA packet: /ywRh52g6IEHOBED6S+e/BzB9RhirGCUZ3nnBS4FvztK7U2eXw5uqntSBDgzapBueQKN420kHJtojgXq8XsVef9HJlPJzvNB7m4ttGLRelImSIsfgRnyE0lVnxGFyAf8LYbYzm3RJGZfwHvVhYd2hNFYfdu6PtXIU= +[+] Invalid_encoding access_msg: encoded_append_b64_strip_eq_return_123.123.123.123,udp/12345, SPA packet: /JoY4KW6o1DNBMfa84ER9HiwPENIRHYCRwMTCB+tSqJDa1prg7FjPURMb+zTETF8NeiG/8MTT201EnVn6i0k0n9eylLbz9qXfTU1AxbH1ThxV8Im/JjSamvpj6SL66AtTf7/jAildnqei/p4mqo+RRlIx4T6gFiywFUTbJBsX+C24UwDlNHjTM +[+] Invalid_encoding access_msg: encoded_append_b64_strip_eq_return_123.123.123.123,udp/12345,tcp/12345, SPA packet: 9XYKmiOeOT3FsDp5s+rmm1SuJ7h5mwNI68Ib1bssvUQIHtJx9HkTeaW2z0FHNNeGMDVp1V95JuXxrmCuno1T6x0MUPsBjQ6Y251HNGarsLUJiu3x6+2zPXOZRuvKlOb/+xoUvEp2vNppy0bHqir/hIe48QLNyP0elUxu544WMIxTyuqnu23U60JPTD1EHuKtplKyURADmkow== +[+] Invalid_encoding access_msg: encoded_append_b64_strip_eq_return_1.1.1.1,udp/1,tcp/1,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: 9qbGhnVlw8d+bEKzaPrkkpBxdhtwSSS/nbTJajP5z5oS0ztDqyJFrr1H+XfOTjfE+g8lXFt4lgH/Urd9AsSr/Y95yAtU3KolFt0bRlPVIHIhOM+JjrqUkdkCMOzMqg0rzCHRO/5vN3RnNW0kZ9aB6vBqFWc50aC01MIWg9GpXqktPmbwrUx0p3JTpJ8yVjCupvei0t87AKq5zPSiiRnTu26HD7cxSi23s= +[+] Invalid_encoding NAT_access_msg: encoded_append_b64_strip_eq_return_1.2.3.4,22, SPA packet: 9UjKmfmHQl9WYZq2w7Yx5HxociWhRq8GLHIOI/OsS8ksFpicrHCBaHmhspju/xvJ6upvZk1UqPmBNS2EB9Lx/ebdtRdjkDtYLlQegc4Wl+WISCu7UDwss5vH7NorJMknUbm99N8agw8eo/ZtjXtooe75BUJsNw++KqC/mRqTUeq3F8msiH7pmA +[+] Invalid_encoding NAT_access_msg: encoded_append_b64_strip_eq_return_123.123.123.123,12345, SPA packet: 9t22jzF3vgbrRZz4EAeEAly15a+WOqh5OyFkVj5X61O0B012BFva1EQAbOTNWuGvQUEf7Noz/9FkDuOsjS3m+jY+9OkKMmrX1re/x8oaIIfP7uM4OHEhMoM1VX0ZL4o5vQRe0zqm/NBOOtBLmjBNYq0xe++EEGGUI9LW6U/ia6B93NvM7jt9QDGylHncy5HgpfSwhncN+LPg== +[+] Invalid_encoding cmd_msg: encoded_append_b64_strip_eq_return_1.2.3.4,cat /etc/hosts, SPA packet: /U0yZl1HUdz/+YPAoFOf/9OwGLf32C3u/13PtZGqS8l+72oZ61YDze7O88C43fePdREBZ2vbl/zi5wrbuHmD4RyBEbR7DBKZQ4+2pzx0KOmIM4AuGRdR5xI99Ds46oId+lzi5lAMvbbmRgOH4N6+4GagNtNbAbYHMLdJokw9oWnU/+eiMkAW36 +[+] Invalid_encoding cmd_msg: encoded_append_b64_strip_eq_return_123.123.123.123,cat /etc/hosts, SPA packet: 9DWr3hrLcvhO+6ncDKySwbt6EdoZCVePfBFLMl5RCtZ2mpCESMteUaHkLGginFJxE2Z8cbGcBZ9ilH6hcL/rSoSI0wfP7DJNM6IVdNaT20YTbq1hgWKk798V0VddnuEbwoaOMrI8s09u8nlksHQmDzkwOpmKgIwMdgkbQG9T1tOM4m3Nzh9D1RTX6LjoDSh8ahDFWvNWF4DA== +[+] Invalid_encoding cmd_msg: encoded_append_b64_strip_eq_return_123.123.123.123,echo blah > /some/file, SPA packet: +ef77yck+NZxaGgG7C0PPRu2QOKuVvVphRAJOQkN8tQyXVHSZeGT9/Aeict9bA6MJ9+rMKVzVfLQz/a1c+jd30ZfQzS3O9OCF+FQoYR4vPPJM0foBAacH3HlUDqAnYDl+uAxER2+QXFd0okbtf+4JnYm4DOO3lPEWgNVoAae7hYi9PcW0FuQQA5OOMO/hVePdBzhAhl5qICQ== +[+] Invalid_encoding cmd_msg: encoded_append_b64_strip_eq_return_1.1.1.1,echo blah > /some/file, SPA packet: 9W4f+pBzcA2KwSepWwsSu6J+0b4HCxqhaR3SnPeG7HEePb+FRg4qmcxZne17C2scjc+dXExUAVRRSqN3aUHqXQsANcYBrqmF3alcMMuEEeF86HZ1eBOEEtnEJsMWLHAhjMkLo4BfQmD4dSqOL5CfI3XE+/icvPTTv08Y3drNICCg31ilauTsjkLU+pfKuCXj5VdaQd943EFw== +[+] Invalid_encoding cmd_msg: encoded_append_b64_strip_eq_return_1.1.1.1,AAAAAAAAAA, SPA packet: /F17ZI8sIsATtaqe55YH0fzj+r51QTSVTKGvVnqe6oeWREnocIYNuOzmp8qj7bPniICHIRwT5w4yFfXXxE5Rj59sHpcaFHz2MpIxcj3snRyqKl/0HavXIHnOya7m37HG1ElykPiDFZEzcL/BZXo4EAq5pFgeUD9nL4kvVv/39VZRzcCW8cH9xS +[+] Invalid_encoding cmd_msg: encoded_append_b64_strip_eq_return_1.1.1.1,AAAAAAAAAA:, SPA packet: +BaJQzglHhIygQcH2E5HH9uA/WWdSn5LLM610SXB3yimEVJQt1dNnwngTo9PdG1pvWcVfsRJ4acC2GIgL+AmUcJrAg+DMgNQz6EeSLkJx1bvd5iUQibOLRte2IoMJqaDo7Jn8d1ZBMMCXda6GuSSU4VMZJysya8iIIbsY1JbLxDvBMnGylD24v +[+] Invalid_encoding msg_type: encoded_append_b64_strip_eq_return_1, SPA packet: 8Ebe6zt0BRfnBsMfQFEWXIdr5RLtozRhgktQKrX7neBO03gdi78Mh3tbSuy0EAYl2pBYelbMi1GYmz4cZIBxncg4JMPe4byFFH+lzsslR5cgi1Enj/9sdVdLJfPm6j/jPQUudL19crvjOEnQCG50+HfIDfzWakJvA= +[+] Invalid_encoding msg_type: encoded_append_b64_strip_eq_return_0, SPA packet: +kZTxUW1NPStcYWK536dRwMjFKgat9htJvjPS3wwokIIk/5ulUDoAz67o2s3mAyz2JM1VgFwSzJz3yktyrvXJ3T+7ygfpTqBCqwjl4NOCkGzO8dGOpQmNOSP4+gKQl3CtkZNI8H3qZzalDFLWk1JXtwYmCmr3jwGA= +[+] Invalid_encoding msg_type: encoded_append_b64_strip_eq_return_5, SPA packet: 8ZbfsFHV6ZIfSaBYarZKJ2nNU0NYZcynVR6EUUIOs9I2hcCUMfKBXX2ULoqLZM4qEMsAJN6UF/uCpz7MCpfPTTxpLdKgtQAqNOPwFgI7H1eBHe7NInDfi3qTp3+434hGI9Et0OhabG0FBmze8EVw+wjQW4jq7XHtM= +[+] Invalid_encoding msg_type: encoded_append_b64_strip_eq_return_2, SPA packet: (NULL) +[+] Invalid_encoding msg_type: encoded_append_b64_strip_eq_return_3, SPA packet: +Q5Th5Xm9QpLcOFL1Wy8YgdoJJASmR0BlQy23nsZ6xDNAsEHaWhd22MHrz2slv1dHmOYU6lMPaYkkbY950nYAcUS6Ho+mVYY6FyB6opHL2EgOw0HKu2KvERlUCHVCt47JruCjdFdH+ITA/0LuQqpPyhJcEIOeG770= +[+] Invalid_encoding msg_type: encoded_append_b64_strip_eq_return_4, SPA packet: /Qu3EXTwAfxFdNsBFTnOWT35slJy1oBfS9NKaFhLrUiBT+nEX8hLFvR4KGPC6Wg1E4U4q2cjfLFLhO3SanE0UJwScb6nidnHu3eyUnELan7v0a0BL5A1NldlB+Mwz+yqq0KW7hPC+3nrZDENL/uPGBSgvb0XfevQE= +[+] Invalid_encoding msg_type: encoded_append_b64_strip_eq_return_6, SPA packet: 8qrlzmOu4qfwztgJ1OZHDO5FN1rg9V7EiV5b1oOowrP3GjziiTXJq2FjTs3TUBnyXrSLaNFJf8MF741Q6sIGPyA8efEQUOi5Rpt4azvFg3/UItdPHDQ6lFDPvFVdZhEElSDAmGMadvklUbJ1VTV8lJGezISk4WpS0= +[+] Invalid_encoding user: encoded_non_digit_rand_val_test, SPA packet: 9MhsqQHbsRXB+/gvCTP4ijkvKss+8aAe8+7q2OZ5spFUiuqHQRRYdhPQtxLhdn+en44V9GfUpVfPH97BdoHqT+8GQhaZ0ScRhaODbgEUeGdLKDWsxze7gc1c3/Fq6JLyfQnz0gVjEH4t1N407Kf2BwNIPp1jfYOjE +[+] Invalid_encoding user: encoded_non_digit_rand_val_root, SPA packet: +GmGWueJF2fZuie6vKtcOKYKnFuB8w+CU6SIIffIGautsK4SONwmuNVNQi7fIGbXqXhNxfKSwI3VeNNoWwJYCM8lReQK17LnjJ0OqCRP+Czs0gbwcTnTL/CsxbtmHNH7obmuGP88yIRvfkDp2WONwvPwE1j6NXMnE +[+] Invalid_encoding user: encoded_non_digit_rand_val_mbr, SPA packet: 8h0bIo7RFAyV3kzdelY9GABNaDhhcAbF+XNlJhOs//4CmW5Fj+T/H8xQY/X1DoarhpKGfAfMuQD1PQKFX0PD7R9daw5to+saia4Rxlk3eO63wBv0gHM97JGlTt/QtRPbui6Rck0EIa3zdV7jPT7O/Wg5Kzf/AyaJo +[+] Invalid_encoding user: encoded_non_digit_rand_val_test-test, SPA packet: /+BcgBRbCl77GDDhTk3/h3+QjJNAoyNyTMePo3iCEUgYM2gppOs68LDLwe3+46SDhKFp7mKWDgFpnXvx3m0FmOGrg/VDnXL5yhRpnmFsCzx1OGVyfm/vmC7HkcMe4CKO1mzpaX27H3GozNmNuzS4ae+qUMezjLFQ8 +[+] Invalid_encoding user: encoded_non_digit_rand_val_someuser, SPA packet: 9rxgcnXb4hfrbirHpDDjJBTLkTY9WaepGAgR7trnKMs72NgDK0MlyMhEc2TxP02FICsHcO6EZYVclF0VsYpHa1cEUP1uZqwE/lnGyk59sq1h9EomPlBu9wbdTQbfKD32ytbUh+bOCSqsbqFIpXbbL8FNfetCYwcRI +[+] Invalid_encoding user: encoded_non_digit_rand_val_someUser, SPA packet: 9muyEcETud8ZRb4Pj9bVVobjBHor8zYHdU0kryZFvXHvGH+7rJZ1Oe6046TDe3s8x8Yz03LWs9CUXn5Pz8vkYqST5ghF2X2/7aFUdL5RDV6CgEL3t35t5tEJ6cQ5CadzCuMha/UAEx+HoXYgal0Fu0WJo1rYLbNnM +[+] Invalid_encoding user: encoded_non_digit_rand_val_USER, SPA packet: 9qplY+oJvESdxWfAGXMflKZuAfrcs92wtUVZmcQxHbfWXa+RevRjezrsH6vqr3AZ3G/utkrujUTaZs/XhEYlxnAINPMuq4E6/8urUI8RmZoUbddi6MZ/4P2+imS+AmfAS/2BMkVEbMJ+Mf8hIKJNE5OTsY+trSXoI +[+] Invalid_encoding user: encoded_non_digit_rand_val_USER001, SPA packet: +cWY4eOQnpCNxBcUeM1HHNU0Sy68bJqF1k4mRJxl8al1AqLpa6lOOiRmavsuPPLhV5jb5I8Q2OuSNafATnbrfPx1z2ryj9SwxaUHxh3uKf8DFFvhgkj478bhHEGENy1fAwjVEWlQATwPgYpTN2CGmi2GaCVMIb9qM +[+] Invalid_encoding user: encoded_non_digit_rand_val_00001, SPA packet: 9DJvw3OajKeLxn/lCSyQ3ZY9dC1hqQNp1HpxHhnsf7a89Uh7pAioxMA2HEDfJOdSCvlqAcz32Qatj04U+nsd91hUvJ0aktm/43+pVCZkIPR4+y0IoZ64MFaj8ihN3SqcoU7X7ENDVK4KFr+6auYLnKLJIpOjxzK/Q +[+] Invalid_encoding access_msg: encoded_non_digit_rand_val_1.2.3.4,tcp/22, SPA packet: /h5bgvS83VPWgKXTJ4EPMp4xVgp2v4XWP4o8fvuA4E5BbMtzfUmIRdXWO+dxqXSON4QS939E9RXsIyS07q8+HvF2mpEuFx4+kc/YVTdtwoaUT22QuOQk69zh+AlHuXGky3IS5syObMykzW8r4qMsGAoMB12nCb9hA +[+] Invalid_encoding access_msg: encoded_non_digit_rand_val_123.123.123.123,tcp/12345, SPA packet: 9dCs8Gmn5BmmSBJn/SUTMOzcVrNnRPe3S/82r4JBwFkGKZcv3t6Y5tyim6dKeDmdxOynfrw8zrFfPLmvmXwH9UeaBSBHLTyXI4stgcy2ncJ/pDWFeOciMkQH4YwvPbgVVywOEXPTHkqldZezsDq0lOXtPAzccqGc3v1eTaVh/4Q9K7boJLkaZv +[+] Invalid_encoding access_msg: encoded_non_digit_rand_val_1.2.3.4,udp/53, SPA packet: +ZSpnGRuwfKZZEOd4P0IzjKuKy2ijdQ0OgYqa8tawTm8Ya8RWDRFIRewrHSzbnuc9H8QiPzDzyPjeltC2EblXse91OHdTaSOy5raUqnLwY393wwlO/1PRd+KjtISITjyOCdEn8CVgmYvHrRyRKQcJiw2NXER43jzQ +[+] Invalid_encoding access_msg: encoded_non_digit_rand_val_123.123.123.123,udp/12345, SPA packet: 9uF/dcg3vJVmxc+YOyYG0RoE1KuJ9WnBPdyx2wrkROsVb7g+ZrW3eweCJqnkrvVrLyLUGBbPDEFPoN7Vt5Y7xU/4R270Tmp55cP8nAHV5cq/EHeOi4ILXBVgs7MUiJYBuQLbj3r5AZ0Phv7xQtOot+6S0sbsN9gqJLTc9lQ2M1zcm30eZ/8ran +[+] Invalid_encoding access_msg: encoded_non_digit_rand_val_123.123.123.123,udp/12345,tcp/12345, SPA packet: /J4AOfzGFiGK+t7nRYsqMiNXotJRuJw4lG7soosnDBudZFSMVtrg6h9NB0jKQXowHQ/3LRbqxc9sVdL/rhYq67EGOrnGCbrq2GamEWE/FGmaBJjzEWTHDI8wYADrW9oaMDo8zH3DEhOmepjd9GSwX8scKqxtZt7wAl+MRTbtxMPLhBzTUmbD6LUvQRqp+u4Z6Z4Bb2CYAVlg +[+] Invalid_encoding access_msg: encoded_non_digit_rand_val_1.1.1.1,udp/1,tcp/1,tcp/2,udp/3,tcp/4,tcp/12345, SPA packet: +qzlwTRGqTXJc+OxieIICsmu9YAe/vbKi6EL9Zuh3kHPiJwR9j9h+PywCfGBGNgqMlNSOEBv7wRwtHyFIo/tXdLzJfxv+eth7JanHcCDeaKzE3Y4NAmcwsWK1ZQ3Spt2zhYl8Cx/7Q/OdGrHJi2OFYKMu/C4t+xM7RJzcofqw0+lSshhkji8ioTWSRYu5evKtJQR5aHQKORmyq7LsMzBhNE/kN+Q8RM8I +[+] Invalid_encoding NAT_access_msg: encoded_non_digit_rand_val_1.2.3.4,22, SPA packet: /EDqNTBPr+O1uKuI2Z2C60geGoj1vn4JAtYxL/XBeydgonOuTX2BpRVAInmvjBfgBLx8I3O+PfcN/pbe59WQ2bvcgQA4BgivduRcC1vhYtdpbC9adtNuzY1uk6DDJUD5LyKnd0eRqNkWzqDoPBHOlD+A7qZY5O9acGRrnLly4spJhIwlXlMnNo +[+] Invalid_encoding NAT_access_msg: encoded_non_digit_rand_val_123.123.123.123,12345, SPA packet: +wCyv1enWHyuBcgQIM3TdQgXCSWQWyGJKp/wDZ3q8MZYsz/OopkMsKmPInIEtXuXOhoSoqV/yJsKVzWNUXMAxDpUNIM8pGMpolV9COwnPmA+RlX/JmgGe2uF73xz8zV1X2ICkMti4+SgJXmoaiUIiXCnBRRXwN+VAgL+go6ROX20rqJco1bmRx2xB7jEiGc4X5C0V1la2kgQ +[+] Invalid_encoding cmd_msg: encoded_non_digit_rand_val_1.2.3.4,cat /etc/hosts, SPA packet: +85dvbMA06njMkjSm8KZvHu1kzfjipBNX8V1pedRknbG+5fIEnlQtZNvFAOdeGmfqBdMufD64gKjEsgDsVwWoc9fmcDgOQA+cgQ8eweV9JTq8QNJwHAWx32pNnR6thyH0XibwpNWxp+eIpSOn2zx7qiijy1VH0y2mKCTH48WDoRt3CKyQ6bzeq +[+] Invalid_encoding cmd_msg: encoded_non_digit_rand_val_123.123.123.123,cat /etc/hosts, SPA packet: +ROxs9ywMsBMGuGCTbKLPHs0OOXp77R6RXX7hGkmBHpigxdoa+gJ6NcKUT6bhCb6bvrPhKhu1yu/6SF2WOhsnYI+B0oDLPvPd7yW642LkkWrjZbvYjlVbQgbLJXroMZvvs3NEdOw2/ih19U3EMsfnvspDjSkPC4VfFEVhcO2HRc2oplXqsl+9C +[+] Invalid_encoding cmd_msg: encoded_non_digit_rand_val_123.123.123.123,echo blah > /some/file, SPA packet: /vauoY/MUzsyzdCr0iUORWfEYTbzGuN5qEL+0+Q20IWUIDq5qtLCM6trzWE2fl5uJUSMCYtUaFPGX5078zbrl4QJLxicx29GgUt0gCKk77drxcfFvGN9RkSNP24/Dx3rGxzCII6IwVb9WhykAM1I/FmyPEH6Cf+ebkCl8CshuNssssL4pnW5WliL1MuMqiaS9FrYw04AKgxQ +[+] Invalid_encoding cmd_msg: encoded_non_digit_rand_val_1.1.1.1,echo blah > /some/file, SPA packet: 8523v75+h2idjpdHY3GvJK+ULnBoeViD9PXywCGMAA82bp/1hvW3dmDiAZbVQQ0NKgb45Q4jsgfyvrIpSeDQz+7jDDE3oZru9LsYKOvOpUPM3eX5zEuWr0XeKs48ZCFDK0tFYiJXcvQavgS/11G5K4eRW2zr0y/VNrkHMvVB+bMwtQcu3ISepi +[+] Invalid_encoding cmd_msg: encoded_non_digit_rand_val_1.1.1.1,AAAAAAAAAA, SPA packet: 9NCje1d/XtnHYNx0H/By3b09TBgG/iA3Qll3voH5ABboloagCTlIVvzq8QOXjTzFD5OV3I4G5lcjO3zwYLrt55IBflL7WIIOS7vvH9694TP6zW2cK38MMoIFJsWhqNR8wCMWb1qI+kxVJM9s/pJSxRLDkCPkPL0dU +[+] Invalid_encoding cmd_msg: encoded_non_digit_rand_val_1.1.1.1,AAAAAAAAAA:, SPA packet: 97Yln6TeeIwwNu+79TvGxlKrPT7zm7wFKRnG6rSTouE5h5KOWfuIEnBlizK5t4YqzsPgLo8qjCuriht6bRYVeRgUFud+x+gAafn9+DC9U1tnBb9ARDUpnddRWcgt4cGGE5iT/ymYhLZQCgihRdu6xWRES1i3IONoih7KqHDKVEKx5lal4c8lJu +[+] Invalid_encoding msg_type: encoded_non_digit_rand_val_1, SPA packet: /vDlP/EVsqq4V1KTxGuxQWt7G2mWUiMwfFW1TzTIKCstfwx84l+ZPE5MkTaSh8aSavRw1G1FFncB8FxplrWCnVpe1ljugg3yg6a6rpEKzmeDR5ajexXqhbDYshhq+7sWNTZJ0H1DAXQ/S7XX9hnQnDwGc+arF0pzs +[+] Invalid_encoding msg_type: encoded_non_digit_rand_val_0, SPA packet: 9DHy+NKttTBkJeoTogArayPGT7oWmy0rfNHHficvbA5Z0857D4gdwsVAr4QIIag+hnTbLO00Al/XPk18qoDW+7ocxvBU5CLNXEaXHpdzcV3FFrw9Dqy+ccK2PJPtp4T7JBhAtMAtgDl/jwMNsKrspDwnahLJC/mtQ +[+] Invalid_encoding msg_type: encoded_non_digit_rand_val_5, SPA packet: /tZqIs4zymyahJtSO0SXffltrEP6MH3XvWm2cAm+u4saRjKeIDbgi1gvEGxb8Rf858ldDpfxd3UfOqTFDilJgodYHpi/XLSV8V+yMlvCs5sH5/hEjCm5irOijJu7sVssVFN1eslCHbl0SWWgn47qSj5MFmjlcu/3Q +[+] Invalid_encoding msg_type: encoded_non_digit_rand_val_2, SPA packet: (NULL) +[+] Invalid_encoding msg_type: encoded_non_digit_rand_val_3, SPA packet: 8udcVC9nwPSqToqmIRnYzZwUxANiJ86TDqawv56iQN4i0cy6RxqPBjgwhVuyvp7oXa9Gfd7r3vYQ058gGXksUuml/P7BZ6KuNfo0bgdTSU5so4Pu7MrRf3BCdErpiGkstD0XFTSYYmIBihgGbn+BRUQAymyik/ZfE +[+] Invalid_encoding msg_type: encoded_non_digit_rand_val_4, SPA packet: 8qgjQfKPcXeKiO87ZMG0wqFD/8xTiU1Sun1g5ZaX4amp3p0r3/0tK27sO0S/u4na9oGa7xobRpxP5il0gUsPUGbtLNjrOWf/LAOZdY+4wK79XJVkSxrOLu3GDaQVjwAHzoNGfWKbKHsKA+j5nC19zRxff7refXtgM +[+] Invalid_encoding msg_type: encoded_non_digit_rand_val_6, SPA packet: +QQHxX9lZnzGj+SrI+Od/oXVQ8vTooZHSlEHSuxwPMFEjiVXNbi/wHrUg9V/urY/W4Cr0eoh0ra6EevTtTK5c7EV3UI7uAKgB9LEML1ZMVJcaPrQJVcvLD60DNJnh2deEdZ6gskGIuOJXZdOqJ58MS7u48YuqWaWQ diff -Nru fwknop-2.5.1/python/README fwknop-2.6.0/python/README --- fwknop-2.5.1/python/README 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/python/README 2014-01-13 03:29:04.000000000 +0000 @@ -27,24 +27,28 @@ # fko = Fko() +# Set the HMAC digest algorithm +# +fko.hmac_type(FKO_HMAC_SHA256) + # Set the SPA message (Note: Access request is default if not specified). # fko.spa_message("0.0.0.0,tcp/22") # Create the final SPA data message string. # -fko.spa_data_final("mypassword") +fko.spa_data_final("mypassword", "myhmackey") # print the spa message. # print fko.spa_data() (prints something like this): -81ugT7+dv6p0qKPmFKwZYz9qAtqThBib+mIeZae9FK2UYQF5CNyujAmEH2+0CBxm3DpArlyySWqdfITvmfSBd11XbFPksK3iqWAPR65lVTYXrNywOxVN65Nmm9D0Qzsczx1hkeNg+g8qxecxO1XBc/LdHEa5C0FmI +/m4fc/3OGd1IOchWM8o/yUvoa8TdbsRgU0zrp4UWuese9DIcHl09pZ3ukrNy/2OZAH3gnRH186YVMQEB1qfx23xVMq3IXx/fBwxgLBIqNdii2yWGqUVlxw83tfiP/p3Fmr7AeM1mev62xpE8R5vdACNxIDMM51lmYKh6JtEMoHrXXFAIRqbwCXJvlTEQij4vlZ4KfhMpnxxiiUciDWB11mbVDGSsQqKU9MQ # To decode SPA data: # -fko = Fko("81ugT7+dv6p0qKPmFKwZYz9qAtqThBib+mIeZae9FK2UYQF5CNyujAmEH2+0CBxm3DpArlyySWqdfITvmfSBd11XbFPksK3iqWAPR65lVTYXrNywOxVN65Nmm9D0Qzsczx1hkeNg+g8qxecxO1XBc/LdHEa5C0FmI", "mypassword") +fko = Fko("/m4fc/3OGd1IOchWM8o/yUvoa8TdbsRgU0zrp4UWuese9DIcHl09pZ3ukrNy/2OZAH3gnRH186YVMQEB1qfx23xVMq3IXx/fBwxgLBIqNdii2yWGqUVlxw83tfiP/p3Fmr7AeM1mev62xpE8R5vdACNxIDMM51lmYKh6JtEMoHrXXFAIRqbwCXJvlTEQij4vlZ4KfhMpnxxiiUciDWB11mbVDGSsQqKU9MQ", "mypassword", "myhmackey") # Print some of the data: # @@ -55,5 +59,3 @@ print "Digest Type (string):", fko.digest_type_str() print "Digest:", fko.spa_digest() print "SPA Message:", fko.spa_message() - - diff -Nru fwknop-2.5.1/python/fko.py fwknop-2.6.0/python/fko.py --- fwknop-2.5.1/python/fko.py 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/python/fko.py 2014-01-13 03:29:04.000000000 +0000 @@ -105,50 +105,141 @@ FKO_ERROR_MEMORY_ALLOCATION = 2 FKO_ERROR_FILESYSTEM_OPERATION = 3 FKO_ERROR_INVALID_DATA = 4 -FKO_ERROR_DATA_TOO_LARGE = 5 -FKO_ERROR_USERNAME_UNKNOWN = 6 -FKO_ERROR_INCOMPLETE_SPA_DATA = 7 -FKO_ERROR_MISSING_ENCODED_DATA = 8 -FKO_ERROR_INVALID_DIGEST_TYPE = 9 -FKO_ERROR_INVALID_ALLOW_IP = 10 -FKO_ERROR_INVALID_SPA_COMMAND_MSG = 11 -FKO_ERROR_INVALID_SPA_ACCESS_MSG = 12 -FKO_ERROR_INVALID_SPA_NAT_ACCESS_MSG = 13 -FKO_ERROR_INVALID_ENCRYPTION_TYPE = 14 -FKO_ERROR_WRONG_ENCRYPTION_TYPE = 15 -FKO_ERROR_DECRYPTION_SIZE = 16 -FKO_ERROR_DECRYPTION_FAILURE = 17 -FKO_ERROR_DIGEST_VERIFICATION_FAILED = 18 -FKO_UNSUPPOERTED_HMAC_MODE = 19 -FKO_ERROR_UNSUPPORTED_FEATURE = 20 -FKO_ERROR_UNKNOWN = 21 +FKO_ERROR_INVALID_DATA_CLIENT_TIMEOUT_NEGATIVE = 5 +FKO_ERROR_INVALID_DATA_DECODE_MSGLEN_VALIDFAIL = 6 +FKO_ERROR_INVALID_DATA_DECODE_NON_ASCII = 7 +FKO_ERROR_INVALID_DATA_DECODE_LT_MIN_FIELDS = 8 +FKO_ERROR_INVALID_DATA_DECODE_ENC_MSG_LEN_MT_T_SIZE = 9 +FKO_ERROR_INVALID_DATA_DECODE_RAND_MISSING = 10 +FKO_ERROR_INVALID_DATA_DECODE_USERNAME_MISSING = 11 +FKO_ERROR_INVALID_DATA_DECODE_USERNAME_TOOBIG = 12 +FKO_ERROR_INVALID_DATA_DECODE_USERNAME_DECODEFAIL = 13 +FKO_ERROR_INVALID_DATA_DECODE_USERNAME_VALIDFAIL = 14 +FKO_ERROR_INVALID_DATA_DECODE_TIMESTAMP_MISSING = 15 +FKO_ERROR_INVALID_DATA_DECODE_TIMESTAMP_TOOBIG = 16 +FKO_ERROR_INVALID_DATA_DECODE_TIMESTAMP_DECODEFAIL = 17 +FKO_ERROR_INVALID_DATA_DECODE_VERSION_MISSING = 18 +FKO_ERROR_INVALID_DATA_DECODE_VERSION_TOOBIG = 19 +FKO_ERROR_INVALID_DATA_DECODE_MSGTYPE_MISSING = 20 +FKO_ERROR_INVALID_DATA_DECODE_MSGTYPE_TOOBIG = 21 +FKO_ERROR_INVALID_DATA_DECODE_MSGTYPE_DECODEFAIL = 22 +FKO_ERROR_INVALID_DATA_DECODE_MESSAGE_MISSING = 23 +FKO_ERROR_INVALID_DATA_DECODE_MESSAGE_TOOBIG = 24 +FKO_ERROR_INVALID_DATA_DECODE_MESSAGE_DECODEFAIL = 25 +FKO_ERROR_INVALID_DATA_DECODE_MESSAGE_VALIDFAIL = 26 +FKO_ERROR_INVALID_DATA_DECODE_ACCESS_VALIDFAIL = 27 +FKO_ERROR_INVALID_DATA_DECODE_NATACCESS_MISSING = 28 +FKO_ERROR_INVALID_DATA_DECODE_NATACCESS_TOOBIG = 29 +FKO_ERROR_INVALID_DATA_DECODE_NATACCESS_DECODEFAIL = 30 +FKO_ERROR_INVALID_DATA_DECODE_NATACCESS_VALIDFAIL = 31 +FKO_ERROR_INVALID_DATA_DECODE_SRVAUTH_MISSING = 32 +FKO_ERROR_INVALID_DATA_DECODE_SRVAUTH_DECODEFAIL = 33 +FKO_ERROR_INVALID_DATA_DECODE_SPA_EXTRA_TOOBIG = 34 +FKO_ERROR_INVALID_DATA_DECODE_EXTRA_TOOBIG = 35 +FKO_ERROR_INVALID_DATA_DECODE_EXTRA_DECODEFAIL = 36 +FKO_ERROR_INVALID_DATA_DECODE_TIMEOUT_MISSING = 37 +FKO_ERROR_INVALID_DATA_DECODE_TIMEOUT_TOOBIG = 38 +FKO_ERROR_INVALID_DATA_DECODE_TIMEOUT_VALIDFAIL = 39 +FKO_ERROR_INVALID_DATA_DECODE_TIMEOUT_DECODEFAIL = 40 +FKO_ERROR_INVALID_DATA_ENCODE_MESSAGE_TOOBIG = 41 +FKO_ERROR_INVALID_DATA_ENCODE_MSGLEN_VALIDFAIL = 42 +FKO_ERROR_INVALID_DATA_ENCODE_DIGEST_VALIDFAIL = 43 +FKO_ERROR_INVALID_DATA_ENCODE_DIGEST_TOOBIG = 44 +FKO_ERROR_INVALID_DATA_ENCODE_NOTBASE64 = 45 +FKO_ERROR_INVALID_DATA_ENCRYPT_MSGLEN_VALIDFAIL = 46 +FKO_ERROR_INVALID_DATA_ENCRYPT_DIGESTLEN_VALIDFAIL = 47 +FKO_ERROR_INVALID_DATA_ENCRYPT_PTLEN_VALIDFAIL = 48 +FKO_ERROR_INVALID_DATA_ENCRYPT_RESULT_MSGLEN_VALIDFAIL = 49 +FKO_ERROR_INVALID_DATA_ENCRYPT_CIPHERLEN_DECODEFAIL = 50 +FKO_ERROR_INVALID_DATA_ENCRYPT_CIPHERLEN_VALIDFAIL = 51 +FKO_ERROR_INVALID_DATA_ENCRYPT_DECRYPTED_MESSAGE_MISSING = 52 +FKO_ERROR_INVALID_DATA_ENCRYPT_DECRYPTED_MSGLEN_VALIDFAIL = 53 +FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_MESSAGE_VALIDFAIL = 54 +FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_DIGEST_VALIDFAIL = 55 +FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_MSGLEN_VALIDFAIL = 56 +FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_RESULT_MSGLEN_VALIDFAIL = 57 +FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_CIPHER_DECODEFAIL = 58 +FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_ENCODEDMSG_NULL = 59 +FKO_ERROR_INVALID_DATA_ENCRYPT_GPG_ENCODEDMSGLEN_VALIDFAIL = 60 +FKO_ERROR_INVALID_DATA_ENCRYPT_TYPE_VALIDFAIL = 61 +FKO_ERROR_INVALID_DATA_ENCRYPT_MODE_VALIDFAIL = 62 +FKO_ERROR_INVALID_DATA_ENCRYPT_TYPE_UNKNOWN = 63 +FKO_ERROR_INVALID_DATA_FUNCS_NEW_ENCMSG_MISSING = 64 +FKO_ERROR_INVALID_DATA_FUNCS_NEW_MSGLEN_VALIDFAIL = 65 +FKO_ERROR_INVALID_DATA_FUNCS_GEN_KEYLEN_VALIDFAIL = 66 +FKO_ERROR_INVALID_DATA_FUNCS_GEN_HMACLEN_VALIDFAIL = 67 +FKO_ERROR_INVALID_DATA_FUNCS_GEN_KEY_ENCODEFAIL = 68 +FKO_ERROR_INVALID_DATA_FUNCS_GEN_HMAC_ENCODEFAIL = 69 +FKO_ERROR_INVALID_DATA_FUNCS_SET_MSGLEN_VALIDFAIL = 70 +FKO_ERROR_INVALID_DATA_HMAC_MSGLEN_VALIDFAIL = 71 +FKO_ERROR_INVALID_DATA_HMAC_ENCMSGLEN_VALIDFAIL = 72 +FKO_ERROR_INVALID_DATA_HMAC_COMPAREFAIL = 73 +FKO_ERROR_INVALID_DATA_HMAC_TYPE_VALIDFAIL = 74 +FKO_ERROR_INVALID_DATA_HMAC_LEN_VALIDFAIL = 75 +FKO_ERROR_INVALID_DATA_MESSAGE_PORT_MISSING = 76 +FKO_ERROR_INVALID_DATA_MESSAGE_TYPE_VALIDFAIL = 77 +FKO_ERROR_INVALID_DATA_MESSAGE_EMPTY = 78 +FKO_ERROR_INVALID_DATA_MESSAGE_CMD_MISSING = 79 +FKO_ERROR_INVALID_DATA_MESSAGE_ACCESS_MISSING = 80 +FKO_ERROR_INVALID_DATA_MESSAGE_NAT_MISSING = 81 +FKO_ERROR_INVALID_DATA_MESSAGE_PORTPROTO_MISSING = 82 +FKO_ERROR_INVALID_DATA_NAT_EMPTY = 83 +FKO_ERROR_INVALID_DATA_RAND_LEN_VALIDFAIL = 84 +FKO_ERROR_INVALID_DATA_SRVAUTH_MISSING = 85 +FKO_ERROR_INVALID_DATA_TIMESTAMP_VALIDFAIL = 86 +FKO_ERROR_INVALID_DATA_USER_MISSING = 87 +FKO_ERROR_INVALID_DATA_USER_FIRSTCHAR_VALIDFAIL = 88 +FKO_ERROR_INVALID_DATA_USER_REMCHAR_VALIDFAIL = 89 +FKO_ERROR_INVALID_DATA_UTIL_STRTOL_LT_MIN = 90 +FKO_ERROR_INVALID_DATA_UTIL_STROL_GT_MAX = 91 +FKO_ERROR_DATA_TOO_LARGE = 92 +FKO_ERROR_INVALID_KEY_LEN = 93 +FKO_ERROR_USERNAME_UNKNOWN = 94 +FKO_ERROR_INCOMPLETE_SPA_DATA = 95 +FKO_ERROR_MISSING_ENCODED_DATA = 96 +FKO_ERROR_INVALID_DIGEST_TYPE = 97 +FKO_ERROR_INVALID_ALLOW_IP = 98 +FKO_ERROR_INVALID_SPA_COMMAND_MSG = 99 +FKO_ERROR_INVALID_SPA_ACCESS_MSG = 100 +FKO_ERROR_INVALID_SPA_NAT_ACCESS_MSG = 101 +FKO_ERROR_INVALID_ENCRYPTION_TYPE = 102 +FKO_ERROR_WRONG_ENCRYPTION_TYPE = 103 +FKO_ERROR_DECRYPTION_SIZE = 104 +FKO_ERROR_DECRYPTION_FAILURE = 105 +FKO_ERROR_DIGEST_VERIFICATION_FAILED = 106 +FKO_ERROR_INVALID_HMAC_KEY_LEN = 107 +FKO_ERROR_UNSUPPORTED_HMAC_MODE = 108 +FKO_ERROR_UNSUPPORTED_FEATURE = 109 +FKO_ERROR_ZERO_OUT_DATA = 110 +FKO_ERROR_UNKNOWN = 111 # Start GPGME-related errors -GPGME_ERR_START = 22 -FKO_ERROR_MISSING_GPG_KEY_DATA = 23 -FKO_ERROR_GPGME_NO_OPENPGP = 24 -FKO_ERROR_GPGME_CONTEXT = 25 -FKO_ERROR_GPGME_PLAINTEXT_DATA_OBJ = 26 -FKO_ERROR_GPGME_SET_PROTOCOL = 27 -FKO_ERROR_GPGME_CIPHER_DATA_OBJ = 28 -FKO_ERROR_GPGME_BAD_PASSPHRASE = 29 -FKO_ERROR_GPGME_ENCRYPT_SIGN = 30 -FKO_ERROR_GPGME_CONTEXT_SIGNER_KEY = 31 -FKO_ERROR_GPGME_SIGNER_KEYLIST_START = 32 -FKO_ERROR_GPGME_SIGNER_KEY_NOT_FOUND = 33 -FKO_ERROR_GPGME_SIGNER_KEY_AMBIGUOUS = 34 -FKO_ERROR_GPGME_ADD_SIGNER = 35 -FKO_ERROR_GPGME_CONTEXT_RECIPIENT_KEY = 36 -FKO_ERROR_GPGME_RECIPIENT_KEYLIST_START = 37 -FKO_ERROR_GPGME_RECIPIENT_KEY_NOT_FOUND = 38 -FKO_ERROR_GPGME_RECIPIENT_KEY_AMBIGUOUS = 39 -FKO_ERROR_GPGME_DECRYPT_FAILED = 40 -FKO_ERROR_GPGME_DECRYPT_UNSUPPORTED_ALGORITHM = 41 -FKO_ERROR_GPGME_BAD_GPG_EXE = 42 -FKO_ERROR_GPGME_BAD_HOME_DIR = 43 -FKO_ERROR_GPGME_SET_HOME_DIR = 44 -FKO_ERROR_GPGME_NO_SIGNATURE = 45 -FKO_ERROR_GPGME_BAD_SIGNATURE = 46 -FKO_ERROR_GPGME_SIGNATURE_VERIFY_DISABLED = 47 +GPGME_ERR_START = 112 +FKO_ERROR_MISSING_GPG_KEY_DATA = 113 +FKO_ERROR_GPGME_NO_OPENPGP = 114 +FKO_ERROR_GPGME_CONTEXT = 115 +FKO_ERROR_GPGME_PLAINTEXT_DATA_OBJ = 116 +FKO_ERROR_GPGME_SET_PROTOCOL = 117 +FKO_ERROR_GPGME_CIPHER_DATA_OBJ = 118 +FKO_ERROR_GPGME_BAD_PASSPHRASE = 119 +FKO_ERROR_GPGME_ENCRYPT_SIGN = 120 +FKO_ERROR_GPGME_CONTEXT_SIGNER_KEY = 121 +FKO_ERROR_GPGME_SIGNER_KEYLIST_START = 122 +FKO_ERROR_GPGME_SIGNER_KEY_NOT_FOUND = 123 +FKO_ERROR_GPGME_SIGNER_KEY_AMBIGUOUS = 124 +FKO_ERROR_GPGME_ADD_SIGNER = 125 +FKO_ERROR_GPGME_CONTEXT_RECIPIENT_KEY = 126 +FKO_ERROR_GPGME_RECIPIENT_KEYLIST_START = 127 +FKO_ERROR_GPGME_RECIPIENT_KEY_NOT_FOUND = 128 +FKO_ERROR_GPGME_RECIPIENT_KEY_AMBIGUOUS = 129 +FKO_ERROR_GPGME_DECRYPT_FAILED = 130 +FKO_ERROR_GPGME_DECRYPT_UNSUPPORTED_ALGORITHM = 131 +FKO_ERROR_GPGME_BAD_GPG_EXE = 132 +FKO_ERROR_GPGME_BAD_HOME_DIR = 133 +FKO_ERROR_GPGME_SET_HOME_DIR = 134 +FKO_ERROR_GPGME_NO_SIGNATURE = 135 +FKO_ERROR_GPGME_BAD_SIGNATURE = 136 +FKO_ERROR_GPGME_SIGNATURE_VERIFY_DISABLED = 137 + ### End FKO Constants ### diff -Nru fwknop-2.5.1/server/Makefile.in fwknop-2.6.0/server/Makefile.in --- fwknop-2.5.1/server/Makefile.in 2013-07-26 01:30:38.000000000 +0000 +++ fwknop-2.6.0/server/Makefile.in 2014-01-13 03:30:53.000000000 +0000 @@ -1,9 +1,8 @@ -# Makefile.in generated by automake 1.11.6 from Makefile.am. +# Makefile.in generated by automake 1.13.3 from Makefile.am. # @configure_input@ -# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002, -# 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011 Free Software -# Foundation, Inc. +# Copyright (C) 1994-2013 Free Software Foundation, Inc. + # This Makefile.in is free software; the Free Software Foundation # gives unlimited permission to copy and/or distribute it, # with or without modifications, as long as this notice is preserved. @@ -17,23 +16,51 @@ VPATH = @srcdir@ -am__make_dryrun = \ - { \ - am__dry=no; \ +am__is_gnu_make = test -n '$(MAKEFILE_LIST)' && test -n '$(MAKELEVEL)' +am__make_running_with_option = \ + case $${target_option-} in \ + ?) ;; \ + *) echo "am__make_running_with_option: internal error: invalid" \ + "target option '$${target_option-}' specified" >&2; \ + exit 1;; \ + esac; \ + has_opt=no; \ + sane_makeflags=$$MAKEFLAGS; \ + if $(am__is_gnu_make); then \ + sane_makeflags=$$MFLAGS; \ + else \ case $$MAKEFLAGS in \ *\\[\ \ ]*) \ - echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \ - | grep '^AM OK$$' >/dev/null || am__dry=yes;; \ - *) \ - for am__flg in $$MAKEFLAGS; do \ - case $$am__flg in \ - *=*|--*) ;; \ - *n*) am__dry=yes; break;; \ - esac; \ - done;; \ + bs=\\; \ + sane_makeflags=`printf '%s\n' "$$MAKEFLAGS" \ + | sed "s/$$bs$$bs[$$bs $$bs ]*//g"`;; \ esac; \ - test $$am__dry = yes; \ - } + fi; \ + skip_next=no; \ + strip_trailopt () \ + { \ + flg=`printf '%s\n' "$$flg" | sed "s/$$1.*$$//"`; \ + }; \ + for flg in $$sane_makeflags; do \ + test $$skip_next = yes && { skip_next=no; continue; }; \ + case $$flg in \ + *=*|--*) continue;; \ + -*I) strip_trailopt 'I'; skip_next=yes;; \ + -*I?*) strip_trailopt 'I';; \ + -*O) strip_trailopt 'O'; skip_next=yes;; \ + -*O?*) strip_trailopt 'O';; \ + -*l) strip_trailopt 'l'; skip_next=yes;; \ + -*l?*) strip_trailopt 'l';; \ + -[dEDm]) skip_next=yes;; \ + -[JT]) skip_next=yes;; \ + esac; \ + case $$flg in \ + *$$target_option*) has_opt=yes; break;; \ + esac; \ + done; \ + test $$has_opt = yes +am__make_dryrun = (target_option=n; $(am__make_running_with_option)) +am__make_keepgoing = (target_option=k; $(am__make_running_with_option)) pkgdatadir = $(datadir)/@PACKAGE@ pkgincludedir = $(includedir)/@PACKAGE@ pkglibdir = $(libdir)/@PACKAGE@ @@ -57,8 +84,9 @@ @CONFIG_FILE_CACHE_FALSE@@USE_NDBM_TRUE@am__append_1 = -lndbm @CONFIG_FILE_CACHE_FALSE@@USE_NDBM_FALSE@am__append_2 = -lgdbm subdir = server -DIST_COMMON = $(dist_fwknopd_DATA) $(dist_man_MANS) \ - $(srcdir)/Makefile.am $(srcdir)/Makefile.in +DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am \ + $(top_srcdir)/config/depcomp $(dist_man_MANS) \ + $(dist_fwknopd_DATA) ACLOCAL_M4 = $(top_srcdir)/aclocal.m4 am__aclocal_m4_deps = $(top_srcdir)/m4/gpgme.m4 \ $(top_srcdir)/m4/libtool.m4 $(top_srcdir)/m4/ltoptions.m4 \ @@ -89,19 +117,44 @@ fwknopd_DEPENDENCIES = $(top_builddir)/lib/libfko.la \ $(top_builddir)/common/libfko_util.a $(am__DEPENDENCIES_1) \ $(am__DEPENDENCIES_1) +AM_V_lt = $(am__v_lt_@AM_V@) +am__v_lt_ = $(am__v_lt_@AM_DEFAULT_V@) +am__v_lt_0 = --silent +am__v_lt_1 = +AM_V_P = $(am__v_P_@AM_V@) +am__v_P_ = $(am__v_P_@AM_DEFAULT_V@) +am__v_P_0 = false +am__v_P_1 = : +AM_V_GEN = $(am__v_GEN_@AM_V@) +am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@) +am__v_GEN_0 = @echo " GEN " $@; +am__v_GEN_1 = +AM_V_at = $(am__v_at_@AM_V@) +am__v_at_ = $(am__v_at_@AM_DEFAULT_V@) +am__v_at_0 = @ +am__v_at_1 = DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir) depcomp = $(SHELL) $(top_srcdir)/config/depcomp am__depfiles_maybe = depfiles am__mv = mv -f COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \ $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -LTCOMPILE = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \ - --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \ - $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) +LTCOMPILE = $(LIBTOOL) $(AM_V_lt) --tag=CC $(AM_LIBTOOLFLAGS) \ + $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) \ + $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) \ + $(AM_CFLAGS) $(CFLAGS) +AM_V_CC = $(am__v_CC_@AM_V@) +am__v_CC_ = $(am__v_CC_@AM_DEFAULT_V@) +am__v_CC_0 = @echo " CC " $@; +am__v_CC_1 = CCLD = $(CC) -LINK = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \ - --mode=link $(CCLD) $(AM_CFLAGS) $(CFLAGS) $(AM_LDFLAGS) \ - $(LDFLAGS) -o $@ +LINK = $(LIBTOOL) $(AM_V_lt) --tag=CC $(AM_LIBTOOLFLAGS) \ + $(LIBTOOLFLAGS) --mode=link $(CCLD) $(AM_CFLAGS) $(CFLAGS) \ + $(AM_LDFLAGS) $(LDFLAGS) -o $@ +AM_V_CCLD = $(am__v_CCLD_@AM_V@) +am__v_CCLD_ = $(am__v_CCLD_@AM_DEFAULT_V@) +am__v_CCLD_0 = @echo " CCLD " $@; +am__v_CCLD_1 = SOURCES = $(fwknopd_SOURCES) DIST_SOURCES = $(fwknopd_SOURCES) am__can_run_installinfo = \ @@ -140,11 +193,29 @@ NROFF = nroff MANS = $(dist_man_MANS) DATA = $(dist_fwknopd_DATA) +am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP) +# Read a list of newline-separated strings from the standard input, +# and print each of them once, without duplicates. Input order is +# *not* preserved. +am__uniquify_input = $(AWK) '\ + BEGIN { nonempty = 0; } \ + { items[$$0] = 1; nonempty = 1; } \ + END { if (nonempty) { for (i in items) print i; }; } \ +' +# Make sure the list of sources is unique. This is necessary because, +# e.g., the same source file might be shared among _SOURCES variables +# for different programs/libraries. +am__define_uniq_tagged_files = \ + list='$(am__tagged_files)'; \ + unique=`for i in $$list; do \ + if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \ + done | $(am__uniquify_input)` ETAGS = etags CTAGS = ctags DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST) ACLOCAL = @ACLOCAL@ AMTAR = @AMTAR@ +AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@ AR = @AR@ AUTOCONF = @AUTOCONF@ AUTOHEADER = @AUTOHEADER@ @@ -331,10 +402,12 @@ fi; \ for p in $$list; do echo "$$p $$p"; done | \ sed 's/$(EXEEXT)$$//' | \ - while read p p1; do if test -f $$p || test -f $$p1; \ - then echo "$$p"; echo "$$p"; else :; fi; \ + while read p p1; do if test -f $$p \ + || test -f $$p1 \ + ; then echo "$$p"; echo "$$p"; else :; fi; \ done | \ - sed -e 'p;s,.*/,,;n;h' -e 's|.*|.|' \ + sed -e 'p;s,.*/,,;n;h' \ + -e 's|.*|.|' \ -e 'p;x;s,.*/,,;s/$(EXEEXT)$$//;$(transform);s/$$/$(EXEEXT)/' | \ sed 'N;N;N;s,\n, ,g' | \ $(AWK) 'BEGIN { files["."] = ""; dirs["."] = 1 } \ @@ -355,7 +428,8 @@ @list='$(sbin_PROGRAMS)'; test -n "$(sbindir)" || list=; \ files=`for p in $$list; do echo "$$p"; done | \ sed -e 'h;s,^.*/,,;s/$(EXEEXT)$$//;$(transform)' \ - -e 's/$$/$(EXEEXT)/' `; \ + -e 's/$$/$(EXEEXT)/' \ + `; \ test -n "$$list" || exit 0; \ echo " ( cd '$(DESTDIR)$(sbindir)' && rm -f" $$files ")"; \ cd "$(DESTDIR)$(sbindir)" && rm -f $$files @@ -368,9 +442,10 @@ list=`for p in $$list; do echo "$$p"; done | sed 's/$(EXEEXT)$$//'`; \ echo " rm -f" $$list; \ rm -f $$list + fwknopd$(EXEEXT): $(fwknopd_OBJECTS) $(fwknopd_DEPENDENCIES) $(EXTRA_fwknopd_DEPENDENCIES) @rm -f fwknopd$(EXEEXT) - $(LINK) $(fwknopd_OBJECTS) $(fwknopd_LDADD) $(LIBS) + $(AM_V_CCLD)$(LINK) $(fwknopd_OBJECTS) $(fwknopd_LDADD) $(LIBS) mostlyclean-compile: -rm -f *.$(OBJEXT) @@ -398,277 +473,277 @@ @AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/fwknopd-utils.Po@am__quote@ .c.o: -@am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $< -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$<' object='$@' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $< +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(COMPILE) -c $< +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(COMPILE) -c $< .c.obj: -@am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'` -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$<' object='$@' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'` +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(COMPILE) -c `$(CYGPATH_W) '$<'` +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(COMPILE) -c `$(CYGPATH_W) '$<'` .c.lo: -@am__fastdepCC_TRUE@ $(LTCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $< -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(LTCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $< +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(LTCOMPILE) -c -o $@ $< +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(LTCOMPILE) -c -o $@ $< fwknopd-fwknopd.o: fwknopd.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-fwknopd.o -MD -MP -MF $(DEPDIR)/fwknopd-fwknopd.Tpo -c -o fwknopd-fwknopd.o `test -f 'fwknopd.c' || echo '$(srcdir)/'`fwknopd.c -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknopd-fwknopd.Tpo $(DEPDIR)/fwknopd-fwknopd.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='fwknopd.c' object='fwknopd-fwknopd.o' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-fwknopd.o -MD -MP -MF $(DEPDIR)/fwknopd-fwknopd.Tpo -c -o fwknopd-fwknopd.o `test -f 'fwknopd.c' || echo '$(srcdir)/'`fwknopd.c +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknopd-fwknopd.Tpo $(DEPDIR)/fwknopd-fwknopd.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='fwknopd.c' object='fwknopd-fwknopd.o' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-fwknopd.o `test -f 'fwknopd.c' || echo '$(srcdir)/'`fwknopd.c +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-fwknopd.o `test -f 'fwknopd.c' || echo '$(srcdir)/'`fwknopd.c fwknopd-fwknopd.obj: fwknopd.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-fwknopd.obj -MD -MP -MF $(DEPDIR)/fwknopd-fwknopd.Tpo -c -o fwknopd-fwknopd.obj `if test -f 'fwknopd.c'; then $(CYGPATH_W) 'fwknopd.c'; else $(CYGPATH_W) '$(srcdir)/fwknopd.c'; fi` -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknopd-fwknopd.Tpo $(DEPDIR)/fwknopd-fwknopd.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='fwknopd.c' object='fwknopd-fwknopd.obj' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-fwknopd.obj -MD -MP -MF $(DEPDIR)/fwknopd-fwknopd.Tpo -c -o fwknopd-fwknopd.obj `if test -f 'fwknopd.c'; then $(CYGPATH_W) 'fwknopd.c'; else $(CYGPATH_W) '$(srcdir)/fwknopd.c'; fi` +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknopd-fwknopd.Tpo $(DEPDIR)/fwknopd-fwknopd.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='fwknopd.c' object='fwknopd-fwknopd.obj' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-fwknopd.obj `if test -f 'fwknopd.c'; then $(CYGPATH_W) 'fwknopd.c'; else $(CYGPATH_W) '$(srcdir)/fwknopd.c'; fi` +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-fwknopd.obj `if test -f 'fwknopd.c'; then $(CYGPATH_W) 'fwknopd.c'; else $(CYGPATH_W) '$(srcdir)/fwknopd.c'; fi` fwknopd-config_init.o: config_init.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-config_init.o -MD -MP -MF $(DEPDIR)/fwknopd-config_init.Tpo -c -o fwknopd-config_init.o `test -f 'config_init.c' || echo '$(srcdir)/'`config_init.c -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknopd-config_init.Tpo $(DEPDIR)/fwknopd-config_init.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='config_init.c' object='fwknopd-config_init.o' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-config_init.o -MD -MP -MF $(DEPDIR)/fwknopd-config_init.Tpo -c -o fwknopd-config_init.o `test -f 'config_init.c' || echo '$(srcdir)/'`config_init.c +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknopd-config_init.Tpo $(DEPDIR)/fwknopd-config_init.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='config_init.c' object='fwknopd-config_init.o' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-config_init.o `test -f 'config_init.c' || echo '$(srcdir)/'`config_init.c +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-config_init.o `test -f 'config_init.c' || echo '$(srcdir)/'`config_init.c fwknopd-config_init.obj: config_init.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-config_init.obj -MD -MP -MF $(DEPDIR)/fwknopd-config_init.Tpo -c -o fwknopd-config_init.obj `if test -f 'config_init.c'; then $(CYGPATH_W) 'config_init.c'; else $(CYGPATH_W) '$(srcdir)/config_init.c'; fi` -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknopd-config_init.Tpo $(DEPDIR)/fwknopd-config_init.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='config_init.c' object='fwknopd-config_init.obj' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-config_init.obj -MD -MP -MF $(DEPDIR)/fwknopd-config_init.Tpo -c -o fwknopd-config_init.obj `if test -f 'config_init.c'; then $(CYGPATH_W) 'config_init.c'; else $(CYGPATH_W) '$(srcdir)/config_init.c'; fi` +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknopd-config_init.Tpo $(DEPDIR)/fwknopd-config_init.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='config_init.c' object='fwknopd-config_init.obj' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-config_init.obj `if test -f 'config_init.c'; then $(CYGPATH_W) 'config_init.c'; else $(CYGPATH_W) '$(srcdir)/config_init.c'; fi` +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-config_init.obj `if test -f 'config_init.c'; then $(CYGPATH_W) 'config_init.c'; else $(CYGPATH_W) '$(srcdir)/config_init.c'; fi` fwknopd-incoming_spa.o: incoming_spa.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-incoming_spa.o -MD -MP -MF $(DEPDIR)/fwknopd-incoming_spa.Tpo -c -o fwknopd-incoming_spa.o `test -f 'incoming_spa.c' || echo '$(srcdir)/'`incoming_spa.c -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknopd-incoming_spa.Tpo $(DEPDIR)/fwknopd-incoming_spa.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='incoming_spa.c' object='fwknopd-incoming_spa.o' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-incoming_spa.o -MD -MP -MF $(DEPDIR)/fwknopd-incoming_spa.Tpo -c -o fwknopd-incoming_spa.o `test -f 'incoming_spa.c' || echo '$(srcdir)/'`incoming_spa.c +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknopd-incoming_spa.Tpo $(DEPDIR)/fwknopd-incoming_spa.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='incoming_spa.c' object='fwknopd-incoming_spa.o' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-incoming_spa.o `test -f 'incoming_spa.c' || echo '$(srcdir)/'`incoming_spa.c +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-incoming_spa.o `test -f 'incoming_spa.c' || echo '$(srcdir)/'`incoming_spa.c fwknopd-incoming_spa.obj: incoming_spa.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-incoming_spa.obj -MD -MP -MF $(DEPDIR)/fwknopd-incoming_spa.Tpo -c -o fwknopd-incoming_spa.obj `if test -f 'incoming_spa.c'; then $(CYGPATH_W) 'incoming_spa.c'; else $(CYGPATH_W) '$(srcdir)/incoming_spa.c'; fi` -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknopd-incoming_spa.Tpo $(DEPDIR)/fwknopd-incoming_spa.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='incoming_spa.c' object='fwknopd-incoming_spa.obj' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-incoming_spa.obj -MD -MP -MF $(DEPDIR)/fwknopd-incoming_spa.Tpo -c -o fwknopd-incoming_spa.obj `if test -f 'incoming_spa.c'; then $(CYGPATH_W) 'incoming_spa.c'; else $(CYGPATH_W) '$(srcdir)/incoming_spa.c'; fi` +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknopd-incoming_spa.Tpo $(DEPDIR)/fwknopd-incoming_spa.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='incoming_spa.c' object='fwknopd-incoming_spa.obj' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-incoming_spa.obj `if test -f 'incoming_spa.c'; then $(CYGPATH_W) 'incoming_spa.c'; else $(CYGPATH_W) '$(srcdir)/incoming_spa.c'; fi` +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-incoming_spa.obj `if test -f 'incoming_spa.c'; then $(CYGPATH_W) 'incoming_spa.c'; else $(CYGPATH_W) '$(srcdir)/incoming_spa.c'; fi` fwknopd-pcap_capture.o: pcap_capture.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-pcap_capture.o -MD -MP -MF $(DEPDIR)/fwknopd-pcap_capture.Tpo -c -o fwknopd-pcap_capture.o `test -f 'pcap_capture.c' || echo '$(srcdir)/'`pcap_capture.c -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknopd-pcap_capture.Tpo $(DEPDIR)/fwknopd-pcap_capture.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='pcap_capture.c' object='fwknopd-pcap_capture.o' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-pcap_capture.o -MD -MP -MF $(DEPDIR)/fwknopd-pcap_capture.Tpo -c -o fwknopd-pcap_capture.o `test -f 'pcap_capture.c' || echo '$(srcdir)/'`pcap_capture.c +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknopd-pcap_capture.Tpo $(DEPDIR)/fwknopd-pcap_capture.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='pcap_capture.c' object='fwknopd-pcap_capture.o' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-pcap_capture.o `test -f 'pcap_capture.c' || echo '$(srcdir)/'`pcap_capture.c +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-pcap_capture.o `test -f 'pcap_capture.c' || echo '$(srcdir)/'`pcap_capture.c fwknopd-pcap_capture.obj: pcap_capture.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-pcap_capture.obj -MD -MP -MF $(DEPDIR)/fwknopd-pcap_capture.Tpo -c -o fwknopd-pcap_capture.obj `if test -f 'pcap_capture.c'; then $(CYGPATH_W) 'pcap_capture.c'; else $(CYGPATH_W) '$(srcdir)/pcap_capture.c'; fi` -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknopd-pcap_capture.Tpo $(DEPDIR)/fwknopd-pcap_capture.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='pcap_capture.c' object='fwknopd-pcap_capture.obj' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-pcap_capture.obj -MD -MP -MF $(DEPDIR)/fwknopd-pcap_capture.Tpo -c -o fwknopd-pcap_capture.obj `if test -f 'pcap_capture.c'; then $(CYGPATH_W) 'pcap_capture.c'; else $(CYGPATH_W) '$(srcdir)/pcap_capture.c'; fi` +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknopd-pcap_capture.Tpo $(DEPDIR)/fwknopd-pcap_capture.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='pcap_capture.c' object='fwknopd-pcap_capture.obj' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-pcap_capture.obj `if test -f 'pcap_capture.c'; then $(CYGPATH_W) 'pcap_capture.c'; else $(CYGPATH_W) '$(srcdir)/pcap_capture.c'; fi` +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-pcap_capture.obj `if test -f 'pcap_capture.c'; then $(CYGPATH_W) 'pcap_capture.c'; else $(CYGPATH_W) '$(srcdir)/pcap_capture.c'; fi` fwknopd-process_packet.o: process_packet.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-process_packet.o -MD -MP -MF $(DEPDIR)/fwknopd-process_packet.Tpo -c -o fwknopd-process_packet.o `test -f 'process_packet.c' || echo '$(srcdir)/'`process_packet.c -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknopd-process_packet.Tpo $(DEPDIR)/fwknopd-process_packet.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='process_packet.c' object='fwknopd-process_packet.o' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-process_packet.o -MD -MP -MF $(DEPDIR)/fwknopd-process_packet.Tpo -c -o fwknopd-process_packet.o `test -f 'process_packet.c' || echo '$(srcdir)/'`process_packet.c +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknopd-process_packet.Tpo $(DEPDIR)/fwknopd-process_packet.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='process_packet.c' object='fwknopd-process_packet.o' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-process_packet.o `test -f 'process_packet.c' || echo '$(srcdir)/'`process_packet.c +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-process_packet.o `test -f 'process_packet.c' || echo '$(srcdir)/'`process_packet.c fwknopd-process_packet.obj: process_packet.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-process_packet.obj -MD -MP -MF $(DEPDIR)/fwknopd-process_packet.Tpo -c -o fwknopd-process_packet.obj `if test -f 'process_packet.c'; then $(CYGPATH_W) 'process_packet.c'; else $(CYGPATH_W) '$(srcdir)/process_packet.c'; fi` -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknopd-process_packet.Tpo $(DEPDIR)/fwknopd-process_packet.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='process_packet.c' object='fwknopd-process_packet.obj' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-process_packet.obj -MD -MP -MF $(DEPDIR)/fwknopd-process_packet.Tpo -c -o fwknopd-process_packet.obj `if test -f 'process_packet.c'; then $(CYGPATH_W) 'process_packet.c'; else $(CYGPATH_W) '$(srcdir)/process_packet.c'; fi` +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknopd-process_packet.Tpo $(DEPDIR)/fwknopd-process_packet.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='process_packet.c' object='fwknopd-process_packet.obj' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-process_packet.obj `if test -f 'process_packet.c'; then $(CYGPATH_W) 'process_packet.c'; else $(CYGPATH_W) '$(srcdir)/process_packet.c'; fi` +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-process_packet.obj `if test -f 'process_packet.c'; then $(CYGPATH_W) 'process_packet.c'; else $(CYGPATH_W) '$(srcdir)/process_packet.c'; fi` fwknopd-log_msg.o: log_msg.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-log_msg.o -MD -MP -MF $(DEPDIR)/fwknopd-log_msg.Tpo -c -o fwknopd-log_msg.o `test -f 'log_msg.c' || echo '$(srcdir)/'`log_msg.c -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknopd-log_msg.Tpo $(DEPDIR)/fwknopd-log_msg.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='log_msg.c' object='fwknopd-log_msg.o' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-log_msg.o -MD -MP -MF $(DEPDIR)/fwknopd-log_msg.Tpo -c -o fwknopd-log_msg.o `test -f 'log_msg.c' || echo '$(srcdir)/'`log_msg.c +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknopd-log_msg.Tpo $(DEPDIR)/fwknopd-log_msg.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='log_msg.c' object='fwknopd-log_msg.o' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-log_msg.o `test -f 'log_msg.c' || echo '$(srcdir)/'`log_msg.c +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-log_msg.o `test -f 'log_msg.c' || echo '$(srcdir)/'`log_msg.c fwknopd-log_msg.obj: log_msg.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-log_msg.obj -MD -MP -MF $(DEPDIR)/fwknopd-log_msg.Tpo -c -o fwknopd-log_msg.obj `if test -f 'log_msg.c'; then $(CYGPATH_W) 'log_msg.c'; else $(CYGPATH_W) '$(srcdir)/log_msg.c'; fi` -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknopd-log_msg.Tpo $(DEPDIR)/fwknopd-log_msg.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='log_msg.c' object='fwknopd-log_msg.obj' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-log_msg.obj -MD -MP -MF $(DEPDIR)/fwknopd-log_msg.Tpo -c -o fwknopd-log_msg.obj `if test -f 'log_msg.c'; then $(CYGPATH_W) 'log_msg.c'; else $(CYGPATH_W) '$(srcdir)/log_msg.c'; fi` +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknopd-log_msg.Tpo $(DEPDIR)/fwknopd-log_msg.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='log_msg.c' object='fwknopd-log_msg.obj' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-log_msg.obj `if test -f 'log_msg.c'; then $(CYGPATH_W) 'log_msg.c'; else $(CYGPATH_W) '$(srcdir)/log_msg.c'; fi` +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-log_msg.obj `if test -f 'log_msg.c'; then $(CYGPATH_W) 'log_msg.c'; else $(CYGPATH_W) '$(srcdir)/log_msg.c'; fi` fwknopd-utils.o: utils.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-utils.o -MD -MP -MF $(DEPDIR)/fwknopd-utils.Tpo -c -o fwknopd-utils.o `test -f 'utils.c' || echo '$(srcdir)/'`utils.c -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknopd-utils.Tpo $(DEPDIR)/fwknopd-utils.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='utils.c' object='fwknopd-utils.o' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-utils.o -MD -MP -MF $(DEPDIR)/fwknopd-utils.Tpo -c -o fwknopd-utils.o `test -f 'utils.c' || echo '$(srcdir)/'`utils.c +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknopd-utils.Tpo $(DEPDIR)/fwknopd-utils.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='utils.c' object='fwknopd-utils.o' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-utils.o `test -f 'utils.c' || echo '$(srcdir)/'`utils.c +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-utils.o `test -f 'utils.c' || echo '$(srcdir)/'`utils.c fwknopd-utils.obj: utils.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-utils.obj -MD -MP -MF $(DEPDIR)/fwknopd-utils.Tpo -c -o fwknopd-utils.obj `if test -f 'utils.c'; then $(CYGPATH_W) 'utils.c'; else $(CYGPATH_W) '$(srcdir)/utils.c'; fi` -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknopd-utils.Tpo $(DEPDIR)/fwknopd-utils.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='utils.c' object='fwknopd-utils.obj' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-utils.obj -MD -MP -MF $(DEPDIR)/fwknopd-utils.Tpo -c -o fwknopd-utils.obj `if test -f 'utils.c'; then $(CYGPATH_W) 'utils.c'; else $(CYGPATH_W) '$(srcdir)/utils.c'; fi` +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknopd-utils.Tpo $(DEPDIR)/fwknopd-utils.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='utils.c' object='fwknopd-utils.obj' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-utils.obj `if test -f 'utils.c'; then $(CYGPATH_W) 'utils.c'; else $(CYGPATH_W) '$(srcdir)/utils.c'; fi` +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-utils.obj `if test -f 'utils.c'; then $(CYGPATH_W) 'utils.c'; else $(CYGPATH_W) '$(srcdir)/utils.c'; fi` fwknopd-sig_handler.o: sig_handler.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-sig_handler.o -MD -MP -MF $(DEPDIR)/fwknopd-sig_handler.Tpo -c -o fwknopd-sig_handler.o `test -f 'sig_handler.c' || echo '$(srcdir)/'`sig_handler.c -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknopd-sig_handler.Tpo $(DEPDIR)/fwknopd-sig_handler.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='sig_handler.c' object='fwknopd-sig_handler.o' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-sig_handler.o -MD -MP -MF $(DEPDIR)/fwknopd-sig_handler.Tpo -c -o fwknopd-sig_handler.o `test -f 'sig_handler.c' || echo '$(srcdir)/'`sig_handler.c +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknopd-sig_handler.Tpo $(DEPDIR)/fwknopd-sig_handler.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='sig_handler.c' object='fwknopd-sig_handler.o' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-sig_handler.o `test -f 'sig_handler.c' || echo '$(srcdir)/'`sig_handler.c +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-sig_handler.o `test -f 'sig_handler.c' || echo '$(srcdir)/'`sig_handler.c fwknopd-sig_handler.obj: sig_handler.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-sig_handler.obj -MD -MP -MF $(DEPDIR)/fwknopd-sig_handler.Tpo -c -o fwknopd-sig_handler.obj `if test -f 'sig_handler.c'; then $(CYGPATH_W) 'sig_handler.c'; else $(CYGPATH_W) '$(srcdir)/sig_handler.c'; fi` -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknopd-sig_handler.Tpo $(DEPDIR)/fwknopd-sig_handler.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='sig_handler.c' object='fwknopd-sig_handler.obj' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-sig_handler.obj -MD -MP -MF $(DEPDIR)/fwknopd-sig_handler.Tpo -c -o fwknopd-sig_handler.obj `if test -f 'sig_handler.c'; then $(CYGPATH_W) 'sig_handler.c'; else $(CYGPATH_W) '$(srcdir)/sig_handler.c'; fi` +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknopd-sig_handler.Tpo $(DEPDIR)/fwknopd-sig_handler.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='sig_handler.c' object='fwknopd-sig_handler.obj' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-sig_handler.obj `if test -f 'sig_handler.c'; then $(CYGPATH_W) 'sig_handler.c'; else $(CYGPATH_W) '$(srcdir)/sig_handler.c'; fi` +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-sig_handler.obj `if test -f 'sig_handler.c'; then $(CYGPATH_W) 'sig_handler.c'; else $(CYGPATH_W) '$(srcdir)/sig_handler.c'; fi` fwknopd-replay_cache.o: replay_cache.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-replay_cache.o -MD -MP -MF $(DEPDIR)/fwknopd-replay_cache.Tpo -c -o fwknopd-replay_cache.o `test -f 'replay_cache.c' || echo '$(srcdir)/'`replay_cache.c -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknopd-replay_cache.Tpo $(DEPDIR)/fwknopd-replay_cache.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='replay_cache.c' object='fwknopd-replay_cache.o' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-replay_cache.o -MD -MP -MF $(DEPDIR)/fwknopd-replay_cache.Tpo -c -o fwknopd-replay_cache.o `test -f 'replay_cache.c' || echo '$(srcdir)/'`replay_cache.c +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknopd-replay_cache.Tpo $(DEPDIR)/fwknopd-replay_cache.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='replay_cache.c' object='fwknopd-replay_cache.o' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-replay_cache.o `test -f 'replay_cache.c' || echo '$(srcdir)/'`replay_cache.c +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-replay_cache.o `test -f 'replay_cache.c' || echo '$(srcdir)/'`replay_cache.c fwknopd-replay_cache.obj: replay_cache.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-replay_cache.obj -MD -MP -MF $(DEPDIR)/fwknopd-replay_cache.Tpo -c -o fwknopd-replay_cache.obj `if test -f 'replay_cache.c'; then $(CYGPATH_W) 'replay_cache.c'; else $(CYGPATH_W) '$(srcdir)/replay_cache.c'; fi` -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknopd-replay_cache.Tpo $(DEPDIR)/fwknopd-replay_cache.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='replay_cache.c' object='fwknopd-replay_cache.obj' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-replay_cache.obj -MD -MP -MF $(DEPDIR)/fwknopd-replay_cache.Tpo -c -o fwknopd-replay_cache.obj `if test -f 'replay_cache.c'; then $(CYGPATH_W) 'replay_cache.c'; else $(CYGPATH_W) '$(srcdir)/replay_cache.c'; fi` +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknopd-replay_cache.Tpo $(DEPDIR)/fwknopd-replay_cache.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='replay_cache.c' object='fwknopd-replay_cache.obj' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-replay_cache.obj `if test -f 'replay_cache.c'; then $(CYGPATH_W) 'replay_cache.c'; else $(CYGPATH_W) '$(srcdir)/replay_cache.c'; fi` +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-replay_cache.obj `if test -f 'replay_cache.c'; then $(CYGPATH_W) 'replay_cache.c'; else $(CYGPATH_W) '$(srcdir)/replay_cache.c'; fi` fwknopd-access.o: access.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-access.o -MD -MP -MF $(DEPDIR)/fwknopd-access.Tpo -c -o fwknopd-access.o `test -f 'access.c' || echo '$(srcdir)/'`access.c -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknopd-access.Tpo $(DEPDIR)/fwknopd-access.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='access.c' object='fwknopd-access.o' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-access.o -MD -MP -MF $(DEPDIR)/fwknopd-access.Tpo -c -o fwknopd-access.o `test -f 'access.c' || echo '$(srcdir)/'`access.c +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknopd-access.Tpo $(DEPDIR)/fwknopd-access.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='access.c' object='fwknopd-access.o' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-access.o `test -f 'access.c' || echo '$(srcdir)/'`access.c +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-access.o `test -f 'access.c' || echo '$(srcdir)/'`access.c fwknopd-access.obj: access.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-access.obj -MD -MP -MF $(DEPDIR)/fwknopd-access.Tpo -c -o fwknopd-access.obj `if test -f 'access.c'; then $(CYGPATH_W) 'access.c'; else $(CYGPATH_W) '$(srcdir)/access.c'; fi` -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknopd-access.Tpo $(DEPDIR)/fwknopd-access.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='access.c' object='fwknopd-access.obj' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-access.obj -MD -MP -MF $(DEPDIR)/fwknopd-access.Tpo -c -o fwknopd-access.obj `if test -f 'access.c'; then $(CYGPATH_W) 'access.c'; else $(CYGPATH_W) '$(srcdir)/access.c'; fi` +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknopd-access.Tpo $(DEPDIR)/fwknopd-access.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='access.c' object='fwknopd-access.obj' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-access.obj `if test -f 'access.c'; then $(CYGPATH_W) 'access.c'; else $(CYGPATH_W) '$(srcdir)/access.c'; fi` +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-access.obj `if test -f 'access.c'; then $(CYGPATH_W) 'access.c'; else $(CYGPATH_W) '$(srcdir)/access.c'; fi` fwknopd-fwknopd_errors.o: fwknopd_errors.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-fwknopd_errors.o -MD -MP -MF $(DEPDIR)/fwknopd-fwknopd_errors.Tpo -c -o fwknopd-fwknopd_errors.o `test -f 'fwknopd_errors.c' || echo '$(srcdir)/'`fwknopd_errors.c -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknopd-fwknopd_errors.Tpo $(DEPDIR)/fwknopd-fwknopd_errors.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='fwknopd_errors.c' object='fwknopd-fwknopd_errors.o' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-fwknopd_errors.o -MD -MP -MF $(DEPDIR)/fwknopd-fwknopd_errors.Tpo -c -o fwknopd-fwknopd_errors.o `test -f 'fwknopd_errors.c' || echo '$(srcdir)/'`fwknopd_errors.c +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknopd-fwknopd_errors.Tpo $(DEPDIR)/fwknopd-fwknopd_errors.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='fwknopd_errors.c' object='fwknopd-fwknopd_errors.o' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-fwknopd_errors.o `test -f 'fwknopd_errors.c' || echo '$(srcdir)/'`fwknopd_errors.c +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-fwknopd_errors.o `test -f 'fwknopd_errors.c' || echo '$(srcdir)/'`fwknopd_errors.c fwknopd-fwknopd_errors.obj: fwknopd_errors.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-fwknopd_errors.obj -MD -MP -MF $(DEPDIR)/fwknopd-fwknopd_errors.Tpo -c -o fwknopd-fwknopd_errors.obj `if test -f 'fwknopd_errors.c'; then $(CYGPATH_W) 'fwknopd_errors.c'; else $(CYGPATH_W) '$(srcdir)/fwknopd_errors.c'; fi` -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknopd-fwknopd_errors.Tpo $(DEPDIR)/fwknopd-fwknopd_errors.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='fwknopd_errors.c' object='fwknopd-fwknopd_errors.obj' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-fwknopd_errors.obj -MD -MP -MF $(DEPDIR)/fwknopd-fwknopd_errors.Tpo -c -o fwknopd-fwknopd_errors.obj `if test -f 'fwknopd_errors.c'; then $(CYGPATH_W) 'fwknopd_errors.c'; else $(CYGPATH_W) '$(srcdir)/fwknopd_errors.c'; fi` +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknopd-fwknopd_errors.Tpo $(DEPDIR)/fwknopd-fwknopd_errors.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='fwknopd_errors.c' object='fwknopd-fwknopd_errors.obj' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-fwknopd_errors.obj `if test -f 'fwknopd_errors.c'; then $(CYGPATH_W) 'fwknopd_errors.c'; else $(CYGPATH_W) '$(srcdir)/fwknopd_errors.c'; fi` +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-fwknopd_errors.obj `if test -f 'fwknopd_errors.c'; then $(CYGPATH_W) 'fwknopd_errors.c'; else $(CYGPATH_W) '$(srcdir)/fwknopd_errors.c'; fi` fwknopd-tcp_server.o: tcp_server.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-tcp_server.o -MD -MP -MF $(DEPDIR)/fwknopd-tcp_server.Tpo -c -o fwknopd-tcp_server.o `test -f 'tcp_server.c' || echo '$(srcdir)/'`tcp_server.c -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknopd-tcp_server.Tpo $(DEPDIR)/fwknopd-tcp_server.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='tcp_server.c' object='fwknopd-tcp_server.o' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-tcp_server.o -MD -MP -MF $(DEPDIR)/fwknopd-tcp_server.Tpo -c -o fwknopd-tcp_server.o `test -f 'tcp_server.c' || echo '$(srcdir)/'`tcp_server.c +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknopd-tcp_server.Tpo $(DEPDIR)/fwknopd-tcp_server.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='tcp_server.c' object='fwknopd-tcp_server.o' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-tcp_server.o `test -f 'tcp_server.c' || echo '$(srcdir)/'`tcp_server.c +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-tcp_server.o `test -f 'tcp_server.c' || echo '$(srcdir)/'`tcp_server.c fwknopd-tcp_server.obj: tcp_server.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-tcp_server.obj -MD -MP -MF $(DEPDIR)/fwknopd-tcp_server.Tpo -c -o fwknopd-tcp_server.obj `if test -f 'tcp_server.c'; then $(CYGPATH_W) 'tcp_server.c'; else $(CYGPATH_W) '$(srcdir)/tcp_server.c'; fi` -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknopd-tcp_server.Tpo $(DEPDIR)/fwknopd-tcp_server.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='tcp_server.c' object='fwknopd-tcp_server.obj' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-tcp_server.obj -MD -MP -MF $(DEPDIR)/fwknopd-tcp_server.Tpo -c -o fwknopd-tcp_server.obj `if test -f 'tcp_server.c'; then $(CYGPATH_W) 'tcp_server.c'; else $(CYGPATH_W) '$(srcdir)/tcp_server.c'; fi` +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknopd-tcp_server.Tpo $(DEPDIR)/fwknopd-tcp_server.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='tcp_server.c' object='fwknopd-tcp_server.obj' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-tcp_server.obj `if test -f 'tcp_server.c'; then $(CYGPATH_W) 'tcp_server.c'; else $(CYGPATH_W) '$(srcdir)/tcp_server.c'; fi` +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-tcp_server.obj `if test -f 'tcp_server.c'; then $(CYGPATH_W) 'tcp_server.c'; else $(CYGPATH_W) '$(srcdir)/tcp_server.c'; fi` fwknopd-extcmd.o: extcmd.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-extcmd.o -MD -MP -MF $(DEPDIR)/fwknopd-extcmd.Tpo -c -o fwknopd-extcmd.o `test -f 'extcmd.c' || echo '$(srcdir)/'`extcmd.c -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknopd-extcmd.Tpo $(DEPDIR)/fwknopd-extcmd.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='extcmd.c' object='fwknopd-extcmd.o' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-extcmd.o -MD -MP -MF $(DEPDIR)/fwknopd-extcmd.Tpo -c -o fwknopd-extcmd.o `test -f 'extcmd.c' || echo '$(srcdir)/'`extcmd.c +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknopd-extcmd.Tpo $(DEPDIR)/fwknopd-extcmd.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='extcmd.c' object='fwknopd-extcmd.o' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-extcmd.o `test -f 'extcmd.c' || echo '$(srcdir)/'`extcmd.c +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-extcmd.o `test -f 'extcmd.c' || echo '$(srcdir)/'`extcmd.c fwknopd-extcmd.obj: extcmd.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-extcmd.obj -MD -MP -MF $(DEPDIR)/fwknopd-extcmd.Tpo -c -o fwknopd-extcmd.obj `if test -f 'extcmd.c'; then $(CYGPATH_W) 'extcmd.c'; else $(CYGPATH_W) '$(srcdir)/extcmd.c'; fi` -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknopd-extcmd.Tpo $(DEPDIR)/fwknopd-extcmd.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='extcmd.c' object='fwknopd-extcmd.obj' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-extcmd.obj -MD -MP -MF $(DEPDIR)/fwknopd-extcmd.Tpo -c -o fwknopd-extcmd.obj `if test -f 'extcmd.c'; then $(CYGPATH_W) 'extcmd.c'; else $(CYGPATH_W) '$(srcdir)/extcmd.c'; fi` +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknopd-extcmd.Tpo $(DEPDIR)/fwknopd-extcmd.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='extcmd.c' object='fwknopd-extcmd.obj' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-extcmd.obj `if test -f 'extcmd.c'; then $(CYGPATH_W) 'extcmd.c'; else $(CYGPATH_W) '$(srcdir)/extcmd.c'; fi` +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-extcmd.obj `if test -f 'extcmd.c'; then $(CYGPATH_W) 'extcmd.c'; else $(CYGPATH_W) '$(srcdir)/extcmd.c'; fi` fwknopd-fw_util.o: fw_util.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-fw_util.o -MD -MP -MF $(DEPDIR)/fwknopd-fw_util.Tpo -c -o fwknopd-fw_util.o `test -f 'fw_util.c' || echo '$(srcdir)/'`fw_util.c -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknopd-fw_util.Tpo $(DEPDIR)/fwknopd-fw_util.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='fw_util.c' object='fwknopd-fw_util.o' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-fw_util.o -MD -MP -MF $(DEPDIR)/fwknopd-fw_util.Tpo -c -o fwknopd-fw_util.o `test -f 'fw_util.c' || echo '$(srcdir)/'`fw_util.c +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknopd-fw_util.Tpo $(DEPDIR)/fwknopd-fw_util.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='fw_util.c' object='fwknopd-fw_util.o' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-fw_util.o `test -f 'fw_util.c' || echo '$(srcdir)/'`fw_util.c +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-fw_util.o `test -f 'fw_util.c' || echo '$(srcdir)/'`fw_util.c fwknopd-fw_util.obj: fw_util.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-fw_util.obj -MD -MP -MF $(DEPDIR)/fwknopd-fw_util.Tpo -c -o fwknopd-fw_util.obj `if test -f 'fw_util.c'; then $(CYGPATH_W) 'fw_util.c'; else $(CYGPATH_W) '$(srcdir)/fw_util.c'; fi` -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknopd-fw_util.Tpo $(DEPDIR)/fwknopd-fw_util.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='fw_util.c' object='fwknopd-fw_util.obj' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-fw_util.obj -MD -MP -MF $(DEPDIR)/fwknopd-fw_util.Tpo -c -o fwknopd-fw_util.obj `if test -f 'fw_util.c'; then $(CYGPATH_W) 'fw_util.c'; else $(CYGPATH_W) '$(srcdir)/fw_util.c'; fi` +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknopd-fw_util.Tpo $(DEPDIR)/fwknopd-fw_util.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='fw_util.c' object='fwknopd-fw_util.obj' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-fw_util.obj `if test -f 'fw_util.c'; then $(CYGPATH_W) 'fw_util.c'; else $(CYGPATH_W) '$(srcdir)/fw_util.c'; fi` +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-fw_util.obj `if test -f 'fw_util.c'; then $(CYGPATH_W) 'fw_util.c'; else $(CYGPATH_W) '$(srcdir)/fw_util.c'; fi` fwknopd-fw_util_ipf.o: fw_util_ipf.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-fw_util_ipf.o -MD -MP -MF $(DEPDIR)/fwknopd-fw_util_ipf.Tpo -c -o fwknopd-fw_util_ipf.o `test -f 'fw_util_ipf.c' || echo '$(srcdir)/'`fw_util_ipf.c -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknopd-fw_util_ipf.Tpo $(DEPDIR)/fwknopd-fw_util_ipf.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='fw_util_ipf.c' object='fwknopd-fw_util_ipf.o' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-fw_util_ipf.o -MD -MP -MF $(DEPDIR)/fwknopd-fw_util_ipf.Tpo -c -o fwknopd-fw_util_ipf.o `test -f 'fw_util_ipf.c' || echo '$(srcdir)/'`fw_util_ipf.c +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknopd-fw_util_ipf.Tpo $(DEPDIR)/fwknopd-fw_util_ipf.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='fw_util_ipf.c' object='fwknopd-fw_util_ipf.o' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-fw_util_ipf.o `test -f 'fw_util_ipf.c' || echo '$(srcdir)/'`fw_util_ipf.c +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-fw_util_ipf.o `test -f 'fw_util_ipf.c' || echo '$(srcdir)/'`fw_util_ipf.c fwknopd-fw_util_ipf.obj: fw_util_ipf.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-fw_util_ipf.obj -MD -MP -MF $(DEPDIR)/fwknopd-fw_util_ipf.Tpo -c -o fwknopd-fw_util_ipf.obj `if test -f 'fw_util_ipf.c'; then $(CYGPATH_W) 'fw_util_ipf.c'; else $(CYGPATH_W) '$(srcdir)/fw_util_ipf.c'; fi` -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknopd-fw_util_ipf.Tpo $(DEPDIR)/fwknopd-fw_util_ipf.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='fw_util_ipf.c' object='fwknopd-fw_util_ipf.obj' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-fw_util_ipf.obj -MD -MP -MF $(DEPDIR)/fwknopd-fw_util_ipf.Tpo -c -o fwknopd-fw_util_ipf.obj `if test -f 'fw_util_ipf.c'; then $(CYGPATH_W) 'fw_util_ipf.c'; else $(CYGPATH_W) '$(srcdir)/fw_util_ipf.c'; fi` +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknopd-fw_util_ipf.Tpo $(DEPDIR)/fwknopd-fw_util_ipf.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='fw_util_ipf.c' object='fwknopd-fw_util_ipf.obj' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-fw_util_ipf.obj `if test -f 'fw_util_ipf.c'; then $(CYGPATH_W) 'fw_util_ipf.c'; else $(CYGPATH_W) '$(srcdir)/fw_util_ipf.c'; fi` +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-fw_util_ipf.obj `if test -f 'fw_util_ipf.c'; then $(CYGPATH_W) 'fw_util_ipf.c'; else $(CYGPATH_W) '$(srcdir)/fw_util_ipf.c'; fi` fwknopd-fw_util_iptables.o: fw_util_iptables.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-fw_util_iptables.o -MD -MP -MF $(DEPDIR)/fwknopd-fw_util_iptables.Tpo -c -o fwknopd-fw_util_iptables.o `test -f 'fw_util_iptables.c' || echo '$(srcdir)/'`fw_util_iptables.c -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknopd-fw_util_iptables.Tpo $(DEPDIR)/fwknopd-fw_util_iptables.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='fw_util_iptables.c' object='fwknopd-fw_util_iptables.o' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-fw_util_iptables.o -MD -MP -MF $(DEPDIR)/fwknopd-fw_util_iptables.Tpo -c -o fwknopd-fw_util_iptables.o `test -f 'fw_util_iptables.c' || echo '$(srcdir)/'`fw_util_iptables.c +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknopd-fw_util_iptables.Tpo $(DEPDIR)/fwknopd-fw_util_iptables.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='fw_util_iptables.c' object='fwknopd-fw_util_iptables.o' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-fw_util_iptables.o `test -f 'fw_util_iptables.c' || echo '$(srcdir)/'`fw_util_iptables.c +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-fw_util_iptables.o `test -f 'fw_util_iptables.c' || echo '$(srcdir)/'`fw_util_iptables.c fwknopd-fw_util_iptables.obj: fw_util_iptables.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-fw_util_iptables.obj -MD -MP -MF $(DEPDIR)/fwknopd-fw_util_iptables.Tpo -c -o fwknopd-fw_util_iptables.obj `if test -f 'fw_util_iptables.c'; then $(CYGPATH_W) 'fw_util_iptables.c'; else $(CYGPATH_W) '$(srcdir)/fw_util_iptables.c'; fi` -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknopd-fw_util_iptables.Tpo $(DEPDIR)/fwknopd-fw_util_iptables.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='fw_util_iptables.c' object='fwknopd-fw_util_iptables.obj' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-fw_util_iptables.obj -MD -MP -MF $(DEPDIR)/fwknopd-fw_util_iptables.Tpo -c -o fwknopd-fw_util_iptables.obj `if test -f 'fw_util_iptables.c'; then $(CYGPATH_W) 'fw_util_iptables.c'; else $(CYGPATH_W) '$(srcdir)/fw_util_iptables.c'; fi` +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknopd-fw_util_iptables.Tpo $(DEPDIR)/fwknopd-fw_util_iptables.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='fw_util_iptables.c' object='fwknopd-fw_util_iptables.obj' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-fw_util_iptables.obj `if test -f 'fw_util_iptables.c'; then $(CYGPATH_W) 'fw_util_iptables.c'; else $(CYGPATH_W) '$(srcdir)/fw_util_iptables.c'; fi` +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-fw_util_iptables.obj `if test -f 'fw_util_iptables.c'; then $(CYGPATH_W) 'fw_util_iptables.c'; else $(CYGPATH_W) '$(srcdir)/fw_util_iptables.c'; fi` fwknopd-fw_util_ipfw.o: fw_util_ipfw.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-fw_util_ipfw.o -MD -MP -MF $(DEPDIR)/fwknopd-fw_util_ipfw.Tpo -c -o fwknopd-fw_util_ipfw.o `test -f 'fw_util_ipfw.c' || echo '$(srcdir)/'`fw_util_ipfw.c -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknopd-fw_util_ipfw.Tpo $(DEPDIR)/fwknopd-fw_util_ipfw.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='fw_util_ipfw.c' object='fwknopd-fw_util_ipfw.o' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-fw_util_ipfw.o -MD -MP -MF $(DEPDIR)/fwknopd-fw_util_ipfw.Tpo -c -o fwknopd-fw_util_ipfw.o `test -f 'fw_util_ipfw.c' || echo '$(srcdir)/'`fw_util_ipfw.c +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknopd-fw_util_ipfw.Tpo $(DEPDIR)/fwknopd-fw_util_ipfw.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='fw_util_ipfw.c' object='fwknopd-fw_util_ipfw.o' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-fw_util_ipfw.o `test -f 'fw_util_ipfw.c' || echo '$(srcdir)/'`fw_util_ipfw.c +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-fw_util_ipfw.o `test -f 'fw_util_ipfw.c' || echo '$(srcdir)/'`fw_util_ipfw.c fwknopd-fw_util_ipfw.obj: fw_util_ipfw.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-fw_util_ipfw.obj -MD -MP -MF $(DEPDIR)/fwknopd-fw_util_ipfw.Tpo -c -o fwknopd-fw_util_ipfw.obj `if test -f 'fw_util_ipfw.c'; then $(CYGPATH_W) 'fw_util_ipfw.c'; else $(CYGPATH_W) '$(srcdir)/fw_util_ipfw.c'; fi` -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknopd-fw_util_ipfw.Tpo $(DEPDIR)/fwknopd-fw_util_ipfw.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='fw_util_ipfw.c' object='fwknopd-fw_util_ipfw.obj' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-fw_util_ipfw.obj -MD -MP -MF $(DEPDIR)/fwknopd-fw_util_ipfw.Tpo -c -o fwknopd-fw_util_ipfw.obj `if test -f 'fw_util_ipfw.c'; then $(CYGPATH_W) 'fw_util_ipfw.c'; else $(CYGPATH_W) '$(srcdir)/fw_util_ipfw.c'; fi` +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknopd-fw_util_ipfw.Tpo $(DEPDIR)/fwknopd-fw_util_ipfw.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='fw_util_ipfw.c' object='fwknopd-fw_util_ipfw.obj' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-fw_util_ipfw.obj `if test -f 'fw_util_ipfw.c'; then $(CYGPATH_W) 'fw_util_ipfw.c'; else $(CYGPATH_W) '$(srcdir)/fw_util_ipfw.c'; fi` +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-fw_util_ipfw.obj `if test -f 'fw_util_ipfw.c'; then $(CYGPATH_W) 'fw_util_ipfw.c'; else $(CYGPATH_W) '$(srcdir)/fw_util_ipfw.c'; fi` fwknopd-fw_util_pf.o: fw_util_pf.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-fw_util_pf.o -MD -MP -MF $(DEPDIR)/fwknopd-fw_util_pf.Tpo -c -o fwknopd-fw_util_pf.o `test -f 'fw_util_pf.c' || echo '$(srcdir)/'`fw_util_pf.c -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknopd-fw_util_pf.Tpo $(DEPDIR)/fwknopd-fw_util_pf.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='fw_util_pf.c' object='fwknopd-fw_util_pf.o' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-fw_util_pf.o -MD -MP -MF $(DEPDIR)/fwknopd-fw_util_pf.Tpo -c -o fwknopd-fw_util_pf.o `test -f 'fw_util_pf.c' || echo '$(srcdir)/'`fw_util_pf.c +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknopd-fw_util_pf.Tpo $(DEPDIR)/fwknopd-fw_util_pf.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='fw_util_pf.c' object='fwknopd-fw_util_pf.o' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-fw_util_pf.o `test -f 'fw_util_pf.c' || echo '$(srcdir)/'`fw_util_pf.c +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-fw_util_pf.o `test -f 'fw_util_pf.c' || echo '$(srcdir)/'`fw_util_pf.c fwknopd-fw_util_pf.obj: fw_util_pf.c -@am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-fw_util_pf.obj -MD -MP -MF $(DEPDIR)/fwknopd-fw_util_pf.Tpo -c -o fwknopd-fw_util_pf.obj `if test -f 'fw_util_pf.c'; then $(CYGPATH_W) 'fw_util_pf.c'; else $(CYGPATH_W) '$(srcdir)/fw_util_pf.c'; fi` -@am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fwknopd-fw_util_pf.Tpo $(DEPDIR)/fwknopd-fw_util_pf.Po -@AMDEP_TRUE@@am__fastdepCC_FALSE@ source='fw_util_pf.c' object='fwknopd-fw_util_pf.obj' libtool=no @AMDEPBACKSLASH@ +@am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fwknopd-fw_util_pf.obj -MD -MP -MF $(DEPDIR)/fwknopd-fw_util_pf.Tpo -c -o fwknopd-fw_util_pf.obj `if test -f 'fw_util_pf.c'; then $(CYGPATH_W) 'fw_util_pf.c'; else $(CYGPATH_W) '$(srcdir)/fw_util_pf.c'; fi` +@am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fwknopd-fw_util_pf.Tpo $(DEPDIR)/fwknopd-fw_util_pf.Po +@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='fw_util_pf.c' object='fwknopd-fw_util_pf.obj' libtool=no @AMDEPBACKSLASH@ @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@ -@am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-fw_util_pf.obj `if test -f 'fw_util_pf.c'; then $(CYGPATH_W) 'fw_util_pf.c'; else $(CYGPATH_W) '$(srcdir)/fw_util_pf.c'; fi` +@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(fwknopd_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fwknopd-fw_util_pf.obj `if test -f 'fw_util_pf.c'; then $(CYGPATH_W) 'fw_util_pf.c'; else $(CYGPATH_W) '$(srcdir)/fw_util_pf.c'; fi` mostlyclean-libtool: -rm -f *.lo @@ -740,26 +815,15 @@ files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \ dir='$(DESTDIR)$(fwknopddir)'; $(am__uninstall_files_from_dir) -ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES) - list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \ - unique=`for i in $$list; do \ - if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \ - done | \ - $(AWK) '{ files[$$0] = 1; nonempty = 1; } \ - END { if (nonempty) { for (i in files) print i; }; }'`; \ - mkid -fID $$unique -tags: TAGS +ID: $(am__tagged_files) + $(am__define_uniq_tagged_files); mkid -fID $$unique +tags: tags-am +TAGS: tags -TAGS: $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \ - $(TAGS_FILES) $(LISP) +tags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files) set x; \ here=`pwd`; \ - list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \ - unique=`for i in $$list; do \ - if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \ - done | \ - $(AWK) '{ files[$$0] = 1; nonempty = 1; } \ - END { if (nonempty) { for (i in files) print i; }; }'`; \ + $(am__define_uniq_tagged_files); \ shift; \ if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \ test -n "$$unique" || unique=$$empty_fix; \ @@ -771,15 +835,11 @@ $$unique; \ fi; \ fi -ctags: CTAGS -CTAGS: $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \ - $(TAGS_FILES) $(LISP) - list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \ - unique=`for i in $$list; do \ - if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \ - done | \ - $(AWK) '{ files[$$0] = 1; nonempty = 1; } \ - END { if (nonempty) { for (i in files) print i; }; }'`; \ +ctags: ctags-am + +CTAGS: ctags +ctags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files) + $(am__define_uniq_tagged_files); \ test -z "$(CTAGS_ARGS)$$unique" \ || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \ $$unique @@ -788,24 +848,26 @@ here=`$(am__cd) $(top_builddir) && pwd` \ && $(am__cd) $(top_srcdir) \ && gtags -i $(GTAGS_ARGS) "$$here" +cscopelist: cscopelist-am + +cscopelist-am: $(am__tagged_files) + list='$(am__tagged_files)'; \ + case "$(srcdir)" in \ + [\\/]* | ?:[\\/]*) sdir="$(srcdir)" ;; \ + *) sdir=$(subdir)/$(srcdir) ;; \ + esac; \ + for i in $$list; do \ + if test -f "$$i"; then \ + echo "$(subdir)/$$i"; \ + else \ + echo "$$sdir/$$i"; \ + fi; \ + done >> $(top_builddir)/cscope.files distclean-tags: -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags distdir: $(DISTFILES) - @list='$(MANS)'; if test -n "$$list"; then \ - list=`for p in $$list; do \ - if test -f $$p; then d=; else d="$(srcdir)/"; fi; \ - if test -f "$$d$$p"; then echo "$$d$$p"; else :; fi; done`; \ - if test -n "$$list" && \ - grep 'ab help2man is required to generate this page' $$list >/dev/null; then \ - echo "error: found man pages containing the \`missing help2man' replacement text:" >&2; \ - grep -l 'ab help2man is required to generate this page' $$list | sed 's/^/ /' >&2; \ - echo " to fix them, install help2man, remove and regenerate the man pages;" >&2; \ - echo " typically \`make maintainer-clean' will remove them" >&2; \ - exit 1; \ - else :; fi; \ - else :; fi @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \ topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \ list='$(DISTFILES)'; \ @@ -948,20 +1010,20 @@ .MAKE: install-am install-strip -.PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \ - clean-libtool clean-local clean-sbinPROGRAMS ctags distclean \ - distclean-compile distclean-generic distclean-libtool \ - distclean-tags distdir dvi dvi-am html html-am info info-am \ - install install-am install-data install-data-am \ - install-dist_fwknopdDATA install-dvi install-dvi-am \ - install-exec install-exec-am install-html install-html-am \ - install-info install-info-am install-man install-man8 \ - install-pdf install-pdf-am install-ps install-ps-am \ - install-sbinPROGRAMS install-strip installcheck \ +.PHONY: CTAGS GTAGS TAGS all all-am check check-am clean clean-generic \ + clean-libtool clean-local clean-sbinPROGRAMS cscopelist-am \ + ctags ctags-am distclean distclean-compile distclean-generic \ + distclean-libtool distclean-tags distdir dvi dvi-am html \ + html-am info info-am install install-am install-data \ + install-data-am install-dist_fwknopdDATA install-dvi \ + install-dvi-am install-exec install-exec-am install-html \ + install-html-am install-info install-info-am install-man \ + install-man8 install-pdf install-pdf-am install-ps \ + install-ps-am install-sbinPROGRAMS install-strip installcheck \ installcheck-am installdirs maintainer-clean \ maintainer-clean-generic mostlyclean mostlyclean-compile \ mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \ - tags uninstall uninstall-am uninstall-dist_fwknopdDATA \ + tags tags-am uninstall uninstall-am uninstall-dist_fwknopdDATA \ uninstall-man uninstall-man8 uninstall-sbinPROGRAMS diff -Nru fwknop-2.5.1/server/access.c fwknop-2.6.0/server/access.c --- fwknop-2.5.1/server/access.c 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/server/access.c 2014-01-13 03:29:04.000000000 +0000 @@ -165,7 +165,6 @@ if (sscanf(val, "%15s %5u", ip_str, &curr_acc->force_nat_port) != 2) { - log_msg(LOG_ERR, "[*] Fatal: invalid FORCE_NAT arg '%s', need ", val @@ -180,11 +179,44 @@ clean_exit(opts, NO_FW_CLEANUP, EXIT_FAILURE); } + if(! is_valid_ipv4_addr(ip_str)) + { + log_msg(LOG_ERR, + "[*] Fatal: invalid FORCE_NAT IP '%s'", ip_str); + clean_exit(opts, NO_FW_CLEANUP, EXIT_FAILURE); + } + curr_acc->force_nat = 1; add_acc_string(&(curr_acc->force_nat_ip), ip_str); return; } + +static void +add_acc_force_snat(fko_srv_options_t *opts, acc_stanza_t *curr_acc, const char *val) +{ + char ip_str[MAX_IPV4_STR_LEN] = {0}; + + if (sscanf(val, "%15s", ip_str) != 1) + { + log_msg(LOG_ERR, + "[*] Fatal: invalid FORCE_SNAT arg '%s', need ", val); + clean_exit(opts, NO_FW_CLEANUP, EXIT_FAILURE); + } + + if(! is_valid_ipv4_addr(ip_str)) + { + log_msg(LOG_ERR, + "[*] Fatal: invalid FORCE_NAT IP '%s'", ip_str); + clean_exit(opts, NO_FW_CLEANUP, EXIT_FAILURE); + } + + curr_acc->force_snat = 1; + add_acc_string(&(curr_acc->force_snat_ip), ip_str); + + return; +} + #endif /* Take an IP or Subnet/Mask and convert it to mask for later @@ -673,6 +705,9 @@ if(acc->force_nat_ip != NULL) free(acc->force_nat_ip); + if(acc->force_snat_ip != NULL) + free(acc->force_snat_ip); + if(acc->key != NULL) { zero_buf_wrapper(acc->key, acc->key_len); @@ -948,6 +983,24 @@ } } + if(acc->force_snat == 1 && acc->force_nat == 0) + { + log_msg(LOG_ERR, + "[*] FORCE_SNAT implies FORCE_NAT must also be used for access stanza source: '%s'", + acc->source + ); + return(0); + } + + if(acc->force_masquerade == 1 && acc->force_nat == 0) + { + log_msg(LOG_ERR, + "[*] FORCE_MASQUERADE implies FORCE_NAT must also be used for access stanza source: '%s'", + acc->source + ); + return(0); + } + if(acc->require_source_address == 0) { log_msg(LOG_INFO, @@ -1333,6 +1386,29 @@ clean_exit(opts, NO_FW_CLEANUP, EXIT_FAILURE); #endif } + else if(CONF_VAR_IS(var, "FORCE_SNAT")) + { +#if FIREWALL_IPTABLES + if(strncasecmp(opts->config[CONF_ENABLE_IPT_FORWARDING], "Y", 1) !=0 ) + { + log_msg(LOG_ERR, + "[*] FORCE_SNAT_NAT requires ENABLE_IPT_FORWARDING to be enabled in fwknopd.conf"); + fclose(file_ptr); + clean_exit(opts, NO_FW_CLEANUP, EXIT_FAILURE); + } + add_acc_force_snat(opts, curr_acc, val); +#else + log_msg(LOG_ERR, + "[*] FORCE_SNAT not supported."); + fclose(file_ptr); + clean_exit(opts, NO_FW_CLEANUP, EXIT_FAILURE); +#endif + } + else if(CONF_VAR_IS(var, "FORCE_MASQUERADE")) + { + add_acc_bool(&(curr_acc->force_masquerade), val); + add_acc_bool(&(curr_acc->force_snat), val); + } else { log_msg(LOG_ERR, @@ -1572,6 +1648,7 @@ " HMAC_KEY: %s\n" " HMAC_KEY_BASE64: %s\n" " HMAC_KEY_LEN: %d\n" + " HMAC_DIGEST_TYPE: %d\n" " FW_ACCESS_TIMEOUT: %i\n" " ENABLE_CMD_EXEC: %s\n" " CMD_EXEC_USER: %s\n" @@ -1580,6 +1657,8 @@ " FORCE_NAT (ip): %s\n" " FORCE_NAT (proto): %s\n" " FORCE_NAT (port): %d\n" + " FORCE_SNAT (ip): %s\n" + " FORCE_MASQUERADE: %s\n" " ACCESS_EXPIRE: %s" /* asctime() adds a newline */ " GPG_HOME_DIR: %s\n" " GPG_DECRYPT_ID: %s\n" @@ -1597,6 +1676,7 @@ (acc->hmac_key == NULL) ? "" : "", (acc->hmac_key_base64 == NULL) ? "" : "", acc->hmac_key_len ? acc->hmac_key_len : 0, + acc->hmac_type, acc->fw_access_timeout, acc->enable_cmd_exec ? "Yes" : "No", (acc->cmd_exec_user == NULL) ? "" : acc->cmd_exec_user, @@ -1605,6 +1685,8 @@ acc->force_nat ? acc->force_nat_ip : "", acc->force_nat && acc->force_nat_proto != NULL ? acc->force_nat_proto : "", acc->force_nat ? acc->force_nat_port : 0, + acc->force_snat ? acc->force_snat_ip : "", + acc->force_masquerade ? "Yes" : "No", (acc->access_expire_time > 0) ? asctime(localtime(&acc->access_expire_time)) : "\n", (acc->gpg_home_dir == NULL) ? "" : acc->gpg_home_dir, (acc->gpg_decrypt_id == NULL) ? "" : acc->gpg_decrypt_id, diff -Nru fwknop-2.5.1/server/cmd_opts.h fwknop-2.6.0/server/cmd_opts.h --- fwknop-2.5.1/server/cmd_opts.h 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/server/cmd_opts.h 2014-01-13 03:29:04.000000000 +0000 @@ -121,6 +121,7 @@ PCAP_FILE, ENABLE_PCAP_ANY_DIRECTION, ROTATE_DIGEST_CACHE, + SYSLOG_ENABLE, NOOP /* Just to be a marker for the end */ }; @@ -137,6 +138,7 @@ {"packet-limit", 1, NULL, 'C'}, {"digest-file", 1, NULL, 'd'}, {"dump-config", 0, NULL, 'D'}, + {"syslog-enable", 0, NULL, SYSLOG_ENABLE }, {"foreground", 0, NULL, 'f'}, {"help", 0, NULL, 'h'}, {"interface", 1, NULL, 'i'}, diff -Nru fwknop-2.5.1/server/config_init.c fwknop-2.6.0/server/config_init.c --- fwknop-2.5.1/server/config_init.c 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/server/config_init.c 2014-01-13 03:29:04.000000000 +0000 @@ -35,6 +35,10 @@ #include "utils.h" #include "log_msg.h" +#if FIREWALL_IPTABLES + #include "fw_util_iptables.h" +#endif + /* Check to see if an integer variable has a value that is within a * specific range */ @@ -440,6 +444,24 @@ set_config_entry(opts, CONF_ENABLE_IPT_SNAT, DEF_ENABLE_IPT_SNAT); + /* Make sure we have a valid IP if SNAT is enabled + */ + if(strncasecmp(opts->config[CONF_ENABLE_IPT_SNAT], "Y", 1) == 0) + { + /* Note that fw_config_init() will set use_masquerade if necessary + */ + if(opts->config[CONF_SNAT_TRANSLATE_IP] != NULL) + { + if(! is_valid_ipv4_addr(opts->config[CONF_SNAT_TRANSLATE_IP])) + { + log_msg(LOG_ERR, + "Invalid IPv4 addr for SNAT_TRANSLATE_IP" + ); + clean_exit(opts, NO_FW_CLEANUP, EXIT_FAILURE); + } + } + } + /* Enable IPT OUTPUT. */ if(opts->config[CONF_ENABLE_IPT_OUTPUT] == NULL) @@ -462,36 +484,84 @@ set_config_entry(opts, CONF_IPT_INPUT_ACCESS, DEF_IPT_INPUT_ACCESS); + if(validate_ipt_chain_conf(opts->config[CONF_IPT_INPUT_ACCESS]) != 1) + { + log_msg(LOG_ERR, + "Invalid IPT_INPUT_ACCESS specification, see fwknopd.conf comments" + ); + clean_exit(opts, NO_FW_CLEANUP, EXIT_FAILURE); + } + /* IPT output access. */ if(opts->config[CONF_IPT_OUTPUT_ACCESS] == NULL) set_config_entry(opts, CONF_IPT_OUTPUT_ACCESS, DEF_IPT_OUTPUT_ACCESS); + if(validate_ipt_chain_conf(opts->config[CONF_IPT_OUTPUT_ACCESS]) != 1) + { + log_msg(LOG_ERR, + "Invalid IPT_OUTPUT_ACCESS specification, see fwknopd.conf comments" + ); + clean_exit(opts, NO_FW_CLEANUP, EXIT_FAILURE); + } + /* IPT forward access. */ if(opts->config[CONF_IPT_FORWARD_ACCESS] == NULL) set_config_entry(opts, CONF_IPT_FORWARD_ACCESS, DEF_IPT_FORWARD_ACCESS); + if(validate_ipt_chain_conf(opts->config[CONF_IPT_FORWARD_ACCESS]) != 1) + { + log_msg(LOG_ERR, + "Invalid IPT_FORWARD_ACCESS specification, see fwknopd.conf comments" + ); + clean_exit(opts, NO_FW_CLEANUP, EXIT_FAILURE); + } + /* IPT dnat access. */ if(opts->config[CONF_IPT_DNAT_ACCESS] == NULL) set_config_entry(opts, CONF_IPT_DNAT_ACCESS, DEF_IPT_DNAT_ACCESS); + if(validate_ipt_chain_conf(opts->config[CONF_IPT_DNAT_ACCESS]) != 1) + { + log_msg(LOG_ERR, + "Invalid IPT_DNAT_ACCESS specification, see fwknopd.conf comments" + ); + clean_exit(opts, NO_FW_CLEANUP, EXIT_FAILURE); + } + /* IPT snat access. */ if(opts->config[CONF_IPT_SNAT_ACCESS] == NULL) set_config_entry(opts, CONF_IPT_SNAT_ACCESS, DEF_IPT_SNAT_ACCESS); + if(validate_ipt_chain_conf(opts->config[CONF_IPT_SNAT_ACCESS]) != 1) + { + log_msg(LOG_ERR, + "Invalid IPT_SNAT_ACCESS specification, see fwknopd.conf comments" + ); + clean_exit(opts, NO_FW_CLEANUP, EXIT_FAILURE); + } + /* IPT masquerade access. */ if(opts->config[CONF_IPT_MASQUERADE_ACCESS] == NULL) set_config_entry(opts, CONF_IPT_MASQUERADE_ACCESS, DEF_IPT_MASQUERADE_ACCESS); + if(validate_ipt_chain_conf(opts->config[CONF_IPT_MASQUERADE_ACCESS]) != 1) + { + log_msg(LOG_ERR, + "Invalid IPT_MASQUERADE_ACCESS specification, see fwknopd.conf comments" + ); + clean_exit(opts, NO_FW_CLEANUP, EXIT_FAILURE); + } + /* Check for the iptables 'comment' match at init time */ if(opts->config[CONF_ENABLE_IPT_COMMENT_CHECK] == NULL) @@ -871,6 +941,9 @@ case 'v': opts->verbose++; break; + case SYSLOG_ENABLE: + opts->syslog_enable = 1; + break; case 'V': fprintf(stdout, "fwknopd server %s\n", MY_VERSION); clean_exit(opts, NO_FW_CLEANUP, EXIT_SUCCESS); @@ -944,6 +1017,8 @@ " '-old', and starting a new one.\n" " -S, --status - Display the status of any running fwknopd process.\n" " -v, --verbose - Set verbose mode.\n" + " --syslog-enable - Allow messages to be sent to syslog even if the\n" + " foreground mode is set.\n" " -V, --version - Print version number.\n" " --fw-list - List all firewall rules that fwknop has created\n" " and then exit.\n" diff -Nru fwknop-2.5.1/server/fw_util_iptables.c fwknop-2.6.0/server/fw_util_iptables.c --- fwknop-2.5.1/server/fw_util_iptables.c 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/server/fw_util_iptables.c 2014-01-13 03:29:04.000000000 +0000 @@ -728,6 +728,17 @@ else tbuf[i++] = *ndx; } + if(*ndx != '\0' + && *ndx != ' ' + && *ndx != ',' + && *ndx != '_' + && isalnum(*ndx) == 0) + { + log_msg(LOG_ERR, "[*] Custom chain config parse error: " + "invalid character '%c' for chain type %i, " + "line: %s", *ndx, type, conf_str); + return 0; + } ndx++; } @@ -736,9 +747,9 @@ */ if(j != FW_NUM_CHAIN_FIELDS) { - log_msg(LOG_ERR, "[*] Custom Chain config parse error.\n" - "Wrong number of fields for chain type %i\n" - "Line: %s", type, conf_str); + log_msg(LOG_ERR, "[*] Custom chain config parse error: " + "wrong number of fields for chain type %i, " + "line: %s", type, conf_str); return 0; } @@ -803,7 +814,6 @@ */ if(strncasecmp(opts->config[CONF_ENABLE_IPT_FORWARDING], "Y", 1)==0) { - if(set_fw_chain_conf(IPT_FORWARD_ACCESS, opts->config[CONF_IPT_FORWARD_ACCESS]) != 1) return 0; @@ -814,24 +824,24 @@ */ if(strncasecmp(opts->config[CONF_ENABLE_IPT_SNAT], "Y", 1)==0) { - /* If an SNAT_TRANSLATE_IP is specified use the SNAT_ACCESS mode. - * Otherwise, use MASQUERADE_ACCESS. - * - * XXX: --DSS: Not sure if using the TRANSLATE_IP parameter as - * the determining factor is the best why to handle - * this. - * - */ - if((opts->config[CONF_SNAT_TRANSLATE_IP] != NULL) - && (strncasecmp(opts->config[CONF_SNAT_TRANSLATE_IP], "__CHANGEME__", 10)) != 0) + if(opts->config[CONF_SNAT_TRANSLATE_IP] == NULL + || ! is_valid_ipv4_addr(opts->config[CONF_SNAT_TRANSLATE_IP])) { - if(set_fw_chain_conf(IPT_SNAT_ACCESS, opts->config[CONF_IPT_SNAT_ACCESS]) != 1) + fwc.use_masquerade = 1; + if(set_fw_chain_conf(IPT_MASQUERADE_ACCESS, opts->config[CONF_IPT_MASQUERADE_ACCESS]) != 1) return 0; } else { - if(set_fw_chain_conf(IPT_MASQUERADE_ACCESS, opts->config[CONF_IPT_MASQUERADE_ACCESS]) != 1) + if(is_valid_ipv4_addr(opts->config[CONF_SNAT_TRANSLATE_IP])) + { + if(set_fw_chain_conf(IPT_SNAT_ACCESS, opts->config[CONF_IPT_SNAT_ACCESS]) != 1) + return 0; + } + else + { return 0; + } } } } @@ -950,7 +960,7 @@ struct fw_chain * const dnat_chain = &(opts->fw_config->chain[IPT_DNAT_ACCESS]); struct fw_chain *snat_chain; /* We assign this later (if we need to). */ - int res = 0, is_err; + int res = 0, is_err, snat_chain_num = 0; time_t now; unsigned int exp_ts; @@ -1099,11 +1109,19 @@ if(ndx != NULL) { strlcpy(nat_ip, spadat->nat_access, (ndx-spadat->nat_access)+1); + if (! is_valid_ipv4_addr(nat_ip)) + { + log_msg(LOG_INFO, "Invalid NAT IP in SPA message"); + free_acc_port_list(port_list); + return res; + } + nat_port = strtol_wrapper(ndx+1, 0, MAX_PORT, NO_EXIT_UPON_ERR, &is_err); if(is_err != FKO_SUCCESS) { log_msg(LOG_INFO, "Invalid NAT port in SPA message"); free_acc_port_list(port_list); + res = is_err; return res; } } @@ -1122,6 +1140,15 @@ in_chain->target ); + /* Check to make sure that the jump rules exist for each + * required chain + */ + if(chain_exists(opts, IPT_INPUT_ACCESS) == 0) + create_chain(opts, IPT_INPUT_ACCESS); + + if(jump_rule_exists(opts, IPT_INPUT_ACCESS) == 0) + add_jump_rule(opts, IPT_INPUT_ACCESS); + if(rule_exists(opts, in_chain, rule_buf, fst_proto, spadat->use_src_ip, nat_port, exp_ts) == 0) { @@ -1188,7 +1215,7 @@ if(strlen(dnat_chain->to_chain)) { - /* Make sure the required chain and jump rule exists + /* Make sure the required chain and jump rule exist */ if(chain_exists(opts, IPT_DNAT_ACCESS) == 0) create_chain(opts, IPT_DNAT_ACCESS); @@ -1232,18 +1259,35 @@ /* If SNAT (or MASQUERADE) is wanted, then we add those rules here as well. */ - if(strncasecmp(opts->config[CONF_ENABLE_IPT_SNAT], "Y", 1) == 0) + if(acc->force_snat || strncasecmp(opts->config[CONF_ENABLE_IPT_SNAT], "Y", 1) == 0) { - /* Setup some parameter depending on whether we are using SNAT - * or MASQUERADE. + /* Add SNAT or MASQUERADE rules. */ - if(strncasecmp(opts->config[CONF_SNAT_TRANSLATE_IP], "__CHANGEME__", 10)!=0) + if(acc->force_snat && is_valid_ipv4_addr(acc->force_snat_ip)) + { + /* Using static SNAT */ + snat_chain = &(opts->fw_config->chain[IPT_SNAT_ACCESS]); + snprintf(snat_target, SNAT_TARGET_BUFSIZE-1, + "--to-source %s:%i", acc->force_snat_ip, fst_port); + snat_chain_num = IPT_SNAT_ACCESS; + } + else if(acc->force_snat && acc->force_masquerade) + { + /* Using MASQUERADE */ + snat_chain = &(opts->fw_config->chain[IPT_MASQUERADE_ACCESS]); + snprintf(snat_target, SNAT_TARGET_BUFSIZE-1, + "--to-ports %i", fst_port); + snat_chain_num = IPT_MASQUERADE_ACCESS; + } + else if((opts->config[CONF_SNAT_TRANSLATE_IP] != NULL) + && is_valid_ipv4_addr(opts->config[CONF_SNAT_TRANSLATE_IP])) { /* Using static SNAT */ snat_chain = &(opts->fw_config->chain[IPT_SNAT_ACCESS]); snprintf(snat_target, SNAT_TARGET_BUFSIZE-1, "--to-source %s:%i", opts->config[CONF_SNAT_TRANSLATE_IP], fst_port); + snat_chain_num = IPT_SNAT_ACCESS; } else { @@ -1251,8 +1295,15 @@ snat_chain = &(opts->fw_config->chain[IPT_MASQUERADE_ACCESS]); snprintf(snat_target, SNAT_TARGET_BUFSIZE-1, "--to-ports %i", fst_port); + snat_chain_num = IPT_MASQUERADE_ACCESS; } + if(chain_exists(opts, snat_chain_num) == 0) + create_chain(opts, snat_chain_num); + + if(jump_rule_exists(opts, snat_chain_num) == 0) + add_jump_rule(opts, snat_chain_num); + memset(rule_buf, 0, CMD_BUFSIZE); snprintf(rule_buf, CMD_BUFSIZE-1, IPT_SNAT_RULE_ARGS, @@ -1487,6 +1538,39 @@ } } +int +validate_ipt_chain_conf(const char * const chain_str) +{ + int j, rv = 1; + const char *ndx = chain_str; + + j = 1; + while(*ndx != '\0') + { + if(*ndx == ',') + j++; + + if(*ndx != '\0' + && *ndx != ' ' + && *ndx != ',' + && *ndx != '_' + && isalnum(*ndx) == 0) + { + rv = 0; + break; + } + ndx++; + } + + /* Sanity check - j should be the number of chain fields + * (excluding the type). + */ + if(j != FW_NUM_CHAIN_FIELDS) + rv = 0; + + return rv; +} + #endif /* FIREWALL_IPTABLES */ /***EOF***/ diff -Nru fwknop-2.5.1/server/fw_util_iptables.h fwknop-2.6.0/server/fw_util_iptables.h --- fwknop-2.5.1/server/fw_util_iptables.h 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/server/fw_util_iptables.h 2014-01-13 03:29:04.000000000 +0000 @@ -55,6 +55,8 @@ #define IPT_LIST_RULES_ARGS "-t %s -L %s --line-numbers -n 2>&1" #define IPT_LIST_ALL_RULES_ARGS "-t %s -v -n -L --line-numbers 2>&1" +int validate_ipt_chain_conf(const char * const chain_str); + #endif /* FW_UTIL_IPTABLES_H */ /***EOF***/ diff -Nru fwknop-2.5.1/server/fwknopd.8.in fwknop-2.6.0/server/fwknopd.8.in --- fwknop-2.5.1/server/fwknopd.8.in 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/server/fwknopd.8.in 2014-01-13 03:29:04.000000000 +0000 @@ -1,13 +1,13 @@ '\" t .\" Title: fwknopd .\" Author: [see the "AUTHORS" section] -.\" Generator: DocBook XSL Stylesheets v1.76.1 -.\" Date: 06/30/2013 +.\" Generator: DocBook XSL Stylesheets v1.78.1 +.\" Date: 12/14/2013 .\" Manual: Fwknop Server .\" Source: Fwknop Server .\" Language: English .\" -.TH "FWKNOPD" "8" "06/30/2013" "Fwknop Server" "Fwknop Server" +.TH "FWKNOPD" "8" "12/14/2013" "Fwknop Server" "Fwknop Server" .\" ----------------------------------------------------------------- .\" * Define some portability stuff .\" ----------------------------------------------------------------- @@ -205,6 +205,11 @@ processes that may or not be running\&. If there is an existing fwknopd process then 0 is returned for the exit status and 1 is returned otherwise\&. .RE .PP +\fB\-\-syslog\-enable\fR +.RS 4 +Allow messages to be sent to syslog even if the foreground mode is set\&. +.RE +.PP \fB\-v, \-\-verbose\fR .RS 4 Run @@ -320,7 +325,8 @@ \fBSNAT_TRANSLATE_IP\fR \fI\fR .RS 4 Specify the IP address for SNAT\&. This functionality is only enabled when \(lqENABLE_IPT_SNAT\(rq is set to \(lqY\(rq and by default SNAT rules are built with the MASQUERADE target (since then the internal IP does not have to be defined here in the -\fI@sysconfdir@/fwknop/fwknopd\&.conf\fR\*(Aq file), but if you want +\fI@sysconfdir@/fwknop/fwknopd\&.conf\fR +file), but if you want \fBfwknopd\fR to use the SNAT target, you must also define an IP address with the \(lqSNAT_TRANSLATE_IP\(rq variable\&. .RE @@ -538,6 +544,18 @@ For any valid SPA packet, force the requested connection to be NAT\(cqd through to the specified (usually internal) IP and port value\&. This is useful if there are multiple internal systems running a service such as SSHD, and you want to give transparent access to only one internal system for each stanza in the access\&.conf file\&. This way, multiple external users can each directly access only one internal system per SPA key\&. .RE .PP +\fBFORCE_SNAT\fR \fI\fR +.RS 4 +For any valid SPA packet, add an SNAT rule in addition to any DNAT rule created with a corresponding (required) FORCE_NAT variable\&. This is analogous to \(lqSNAT_TRANSLATE_IP\(rq from the +\fI@sysconfdir@/fwknop/fwknopd\&.conf\fR +file except that it is per access stanza and overrides any value set with \(lqSNAT_TRANSLATE_IP\(rq\&. This is useful for situations where an incoming NAT\(cqd connection may be otherwise unanswerable due to routing constraints (i\&.e\&. the system receiving the SPA authenticated connection has a default route to a different device than the SPA system itself)\&. +.RE +.PP +\fBFORCE_MASQUERADE\fR \fI\fR +.RS 4 +This is similar to the \(lqFORCE_SNAT\(rq variable, except that it is not necessary to also specify an IP address for SNAT rules because the MASQUERADE target is used instead\&. +.RE +.PP \fBGPG_HOME_DIR\fR \fI\fR .RS 4 Define the path to the GnuPG directory to be used by the diff -Nru fwknop-2.5.1/server/fwknopd.c fwknop-2.6.0/server/fwknopd.c --- fwknop-2.5.1/server/fwknopd.c 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/server/fwknopd.c 2014-01-13 03:29:04.000000000 +0000 @@ -75,16 +75,55 @@ if(old_pid > 0) { - res = kill(old_pid, SIGTERM); - if(res == 0) + res = kill(old_pid, SIGTERM); + is_err = kill(old_pid, 0); + + if(res == 0 && is_err != 0) { fprintf(stdout, "Killed fwknopd (pid=%i)\n", old_pid); clean_exit(&opts, NO_FW_CLEANUP, EXIT_SUCCESS); } else { - perror("Unable to kill fwknop: "); - clean_exit(&opts, NO_FW_CLEANUP, EXIT_FAILURE); + /* give a bit of time for process shutdown and check again + */ + sleep(1); + is_err = kill(old_pid, 0); + if(is_err != 0) + { + fprintf(stdout, "Killed fwknopd (pid=%i) via SIGTERM\n", + old_pid); + clean_exit(&opts, NO_FW_CLEANUP, EXIT_SUCCESS); + } + else + { + res = kill(old_pid, SIGKILL); + is_err = kill(old_pid, 0); + if(res == 0 && is_err != 0) + { + fprintf(stdout, + "Killed fwknopd (pid=%i) via SIGKILL\n", + old_pid); + clean_exit(&opts, NO_FW_CLEANUP, EXIT_SUCCESS); + } + else + { + sleep(1); + is_err = kill(old_pid, 0); + if(is_err != 0) + { + fprintf(stdout, + "Killed fwknopd (pid=%i) via SIGKILL\n", + old_pid); + clean_exit(&opts, NO_FW_CLEANUP, EXIT_SUCCESS); + } + else + { + perror("Unable to kill fwknop: "); + clean_exit(&opts, NO_FW_CLEANUP, EXIT_FAILURE); + } + } + } } } else @@ -182,7 +221,7 @@ * of an existing fwknopd process. */ if(fw_config_init(&opts) != 1) - clean_exit(&opts, FW_CLEANUP, EXIT_FAILURE); + clean_exit(&opts, NO_FW_CLEANUP, EXIT_FAILURE); if(opts.fw_list == 1 || opts.fw_list_all == 1) { @@ -692,7 +731,7 @@ static pid_t get_running_pid(const fko_srv_options_t *opts) { - int op_fd, is_err; + int op_fd, is_err, bytes_read = 0; char buf[PID_BUFLEN] = {0}; pid_t rpid = 0; @@ -712,7 +751,8 @@ return(rpid); } - if (read(op_fd, buf, PID_BUFLEN) > 0) + bytes_read = read(op_fd, buf, PID_BUFLEN); + if (bytes_read > 0) { buf[PID_BUFLEN-1] = '\0'; /* max pid value is configurable on Linux @@ -722,6 +762,8 @@ if(is_err != FKO_SUCCESS) rpid = 0; } + else if (bytes_read < 0) + perror("Error trying to read() PID file: "); close(op_fd); diff -Nru fwknop-2.5.1/server/fwknopd.conf.inst fwknop-2.6.0/server/fwknopd.conf.inst --- fwknop-2.5.1/server/fwknopd.conf.inst 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/server/fwknopd.conf.inst 2014-01-13 03:29:04.000000000 +0000 @@ -248,7 +248,7 @@ # added with the IPT_INPUT_ACCESS and IPT_FORWARD_ACCESS keyword. # The format for these variables is: # -# ,,,,,\ +# ,
,,,\ # ,. # # "Target": diff -Nru fwknop-2.5.1/server/fwknopd_common.h fwknop-2.6.0/server/fwknopd_common.h --- fwknop-2.5.1/server/fwknopd_common.h 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/server/fwknopd_common.h 2014-01-13 03:29:04.000000000 +0000 @@ -85,7 +85,7 @@ #define DEF_INTERFACE "eth0" #define DEF_ENABLE_PCAP_PROMISC "N" #define DEF_PCAP_FILTER "udp port 62201" -#define DEF_PCAP_DISPATCH_COUNT "0" +#define DEF_PCAP_DISPATCH_COUNT "100" #define DEF_PCAP_LOOP_SLEEP "100000" /* a tenth of a second (in microseconds) */ #define DEF_ENABLE_PCAP_ANY_DIRECTION "N" #define DEF_ENABLE_SPA_PACKET_AGING "Y" @@ -321,10 +321,20 @@ time_t access_expire_time; int expired; int encryption_mode; + + /* DNAT parameters + */ unsigned char force_nat; char *force_nat_ip; char *force_nat_proto; unsigned int force_nat_port; + + /* SNAT parameters + */ + unsigned char force_snat; + char *force_snat_ip; + unsigned char force_masquerade; + struct acc_stanza *next; } acc_stanza_t; @@ -372,6 +382,10 @@ struct fw_config { struct fw_chain chain[NUM_FWKNOP_ACCESS_TYPES]; char fw_command[MAX_PATH_LEN]; + + /* Flag for iptables SNAT vs. MASQUERADE usage + */ + unsigned char use_masquerade; }; #elif FIREWALL_IPFW @@ -492,6 +506,9 @@ */ struct fw_config *fw_config; + /* Set to 1 when messages have to go through syslog, 0 otherwise */ + unsigned char syslog_enable; + } fko_srv_options_t; extern fko_srv_options_t options; diff -Nru fwknop-2.5.1/server/fwknopd_errors.c fwknop-2.6.0/server/fwknopd_errors.c --- fwknop-2.5.1/server/fwknopd_errors.c 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/server/fwknopd_errors.c 2014-01-13 03:29:04.000000000 +0000 @@ -48,7 +48,7 @@ return("Not enough data to be a valid SPA message"); case SPA_MSG_NOT_SPA_DATA: - return("Data is not a SPA message"); + return("Data is not an SPA message"); case SPA_MSG_HTTP_NOT_ENABLED: return("SPA via HTTP request, but ENABLE_SPA_OVER_HTTP is not set"); @@ -57,7 +57,7 @@ return("Error creating FKO context for incoming data."); case SPA_MSG_DIGEST_ERROR: - return("Unable to retrieve digest in from the SPA data."); + return("Unable to retrieve digest from the SPA data."); case SPA_MSG_DIGEST_CACHE_ERROR: return("Error trying to access the digest.cache file"); diff -Nru fwknop-2.5.1/server/incoming_spa.c fwknop-2.6.0/server/incoming_spa.c --- fwknop-2.5.1/server/incoming_spa.c 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/server/incoming_spa.c 2014-01-13 03:29:04.000000000 +0000 @@ -44,6 +44,8 @@ #include "fwknopd_errors.h" #include "replay_cache.h" +#define CTX_DUMP_BUFSIZE 4096 /*!< Maximum size allocated to a FKO context dump */ + /* Validate and in some cases preprocess/reformat the SPA data. Return an * error code value if there is any indication the data is not valid spa data. */ @@ -273,6 +275,7 @@ int added_replay_digest = 0, pkt_data_len=0; int is_err, cmd_exec_success = 0, attempted_decrypt = 0; int conf_pkt_age = 0; + char dump_buf[CTX_DUMP_BUFSIZE]; spa_pkt_info_t *spa_pkt = &(opts->spa_pkt); @@ -528,8 +531,10 @@ /* Add this SPA packet into the replay detection cache */ - if (added_replay_digest == 0) + if (added_replay_digest == 0 + && strncasecmp(opts->config[CONF_ENABLE_DIGEST_PERSISTENCE], "Y", 1) == 0) { + res = add_replay(opts, raw_digest); if (res != SPA_MSG_SUCCESS) { @@ -544,8 +549,14 @@ /* At this point, we assume the SPA data is valid. Now we need to see * if it meets our access criteria. */ - log_msg(LOG_DEBUG, "[%s] (stanza #%d) SPA Decode (res=%i):\n%s", - spadat.pkt_source_ip, stanza_num, res, dump_ctx(ctx)); + log_msg(LOG_DEBUG, "[%s] (stanza #%d) SPA Decode (res=%i):", + spadat.pkt_source_ip, stanza_num, res); + + res = dump_ctx_to_buffer(ctx, dump_buf, sizeof(dump_buf)); + if (res == FKO_SUCCESS) + log_msg(LOG_DEBUG, "%s", dump_buf); + else + log_msg(LOG_WARNING, "Unable to dump FKO context: %s", fko_errstr(res)); /* First, if this is a GPG message, and GPG_REMOTE_ID list is not empty, * then we need to make sure this incoming message is signer ID matches @@ -632,11 +643,28 @@ continue; } + if((spa_ip_demark-spadat.spa_message) < MIN_IPV4_STR_LEN-1 + || (spa_ip_demark-spadat.spa_message) > MAX_IPV4_STR_LEN) + { + log_msg(LOG_WARNING, "[%s] (stanza #%d) Invalid source IP in SPA message, ignoring SPA packet", + spadat.pkt_source_ip, stanza_num, fko_errstr(res)); + + if(ctx != NULL) + { + if(fko_destroy(ctx) == FKO_ERROR_ZERO_OUT_DATA) + log_msg(LOG_WARNING, + "[%s] (stanza #%d) fko_destroy() could not zero out sensitive data buffer.", + spadat.pkt_source_ip, stanza_num, fko_errstr(res) + ); + ctx = NULL; + } + break; + } + strlcpy(spadat.spa_message_src_ip, spadat.spa_message, (spa_ip_demark-spadat.spa_message)+1); - if(strnlen(spadat.spa_message_src_ip, - MIN_IPV4_STR_LEN) < MIN_IPV4_STR_LEN) + if(! is_valid_ipv4_addr(spadat.spa_message_src_ip)) { log_msg(LOG_WARNING, "[%s] (stanza #%d) Invalid source IP in SPA message, ignoring SPA packet", spadat.pkt_source_ip, stanza_num, fko_errstr(res)); diff -Nru fwknop-2.5.1/server/log_msg.c fwknop-2.6.0/server/log_msg.c --- fwknop-2.5.1/server/log_msg.c 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/server/log_msg.c 2014-01-13 03:29:04.000000000 +0000 @@ -41,7 +41,7 @@ * for force log to stderr instead of syslog simply be setting this to the * appropriate value (which is done at init_logging(). */ -static int static_log_flag = 0; +static int static_log_flag = LOG_STDERR_ONLY; /* The name to use for ID in log messages. This defaults to fwknopd. */ @@ -100,6 +100,8 @@ else strlcpy(log_name, my_name, strlen(MY_NAME)+1); + static_log_flag = LOG_SYSLOG_ONLY; + /* If we are running in the foreground or performing firewall operations, * all logging will go to stderr. */ @@ -107,7 +109,12 @@ || opts->fw_flush != 0 || opts->fw_list != 0 || opts->fw_list_all != 0) - static_log_flag = LOG_STDERR | LOG_WITHOUT_SYSLOG; + static_log_flag = LOG_STDERR_ONLY; + + /* If the user forces syslog using --syslog-enable, we remove the + * LOG_WITHOUT_SYSLOG flag. It means all messages will go through syslog */ + if (opts->syslog_enable != 0) + static_log_flag &= ~LOG_WITHOUT_SYSLOG; /* Parse the log facility as specified in the config struct. If, for some * reason, it is not, fac will already be set to LOG_DAEMON. @@ -154,6 +161,7 @@ { va_list ap, apse; + /* Make sure the level is in the right range */ if ((level & LOG_VERBOSITY_MASK) > verbosity) return; @@ -184,8 +192,7 @@ return; } - /* Remove the log to stderr flag from the log level value. - */ + /* Remove the static log flags from the level */ level &= LOG_VERBOSITY_MASK; /* Send the message to syslog. diff -Nru fwknop-2.5.1/server/log_msg.h fwknop-2.6.0/server/log_msg.h --- fwknop-2.5.1/server/log_msg.h 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/server/log_msg.h 2014-01-13 03:29:04.000000000 +0000 @@ -39,6 +39,7 @@ * LOG_STDERR_ONLY can be set to send a message stderr with a copy to * syslog as well. */ +#define LOG_SYSLOG_ONLY 0x0000 #define LOG_STDERR 0x1000 #define LOG_WITHOUT_SYSLOG 0x2000 #define LOG_STDERR_ONLY (LOG_STDERR | LOG_WITHOUT_SYSLOG) diff -Nru fwknop-2.5.1/server/pcap_capture.c fwknop-2.6.0/server/pcap_capture.c --- fwknop-2.5.1/server/pcap_capture.c 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/server/pcap_capture.c 2014-01-13 03:29:04.000000000 +0000 @@ -87,7 +87,7 @@ /* Set promiscuous mode if ENABLE_PCAP_PROMISC is set to 'Y'. */ - if(opts->config[CONF_ENABLE_PCAP_PROMISC][0] == 'Y') + if(strncasecmp(opts->config[CONF_ENABLE_PCAP_PROMISC], "Y", 1) == 0) promisc = 1; if(opts->config[CONF_PCAP_FILE] != NULL @@ -165,6 +165,7 @@ break; #endif case DLT_NULL: + set_direction = 0; opts->data_link_offset = 4; break; default: diff -Nru fwknop-2.5.1/server/replay_cache.c fwknop-2.6.0/server/replay_cache.c --- fwknop-2.5.1/server/replay_cache.c 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/server/replay_cache.c 2014-01-13 03:29:04.000000000 +0000 @@ -207,29 +207,8 @@ return; } -int -replay_cache_init(fko_srv_options_t *opts) -{ -#ifdef NO_DIGEST_CACHE - return(-1); -#else - - /* If rotation was specified, do it. - */ - if(opts->rotate_digest_cache) - rotate_digest_cache_file(opts); - -#if USE_FILE_CACHE - return replay_file_cache_init(opts); -#else - return replay_db_cache_init(opts); -#endif - -#endif /* NO_DIGEST_CACHE */ -} - #if USE_FILE_CACHE -int +static int replay_file_cache_init(fko_srv_options_t *opts) { FILE *digest_file_ptr = NULL; @@ -383,7 +362,7 @@ /* Check for the existence of the replay dbm file, and create it if it does * not exist. Returns the number of db entries or -1 on error. */ -int +static int replay_db_cache_init(fko_srv_options_t *opts) { #ifdef NO_DIGEST_CACHE @@ -443,41 +422,8 @@ } #endif /* USE_FILE_CACHE */ -/* Take an fko context, pull the digest and use it as the key to check the - * replay db (digest cache). -*/ -int -is_replay(fko_srv_options_t *opts, char *digest) -{ -#ifdef NO_DIGEST_CACHE - return(-1); -#else - #if USE_FILE_CACHE - return is_replay_file_cache(opts, digest); -#else - return is_replay_dbm_cache(opts, digest); -#endif -#endif /* NO_DIGEST_CACHE */ -} - -int -add_replay(fko_srv_options_t *opts, char *digest) -{ -#ifdef NO_DIGEST_CACHE - return(-1); -#else - -#if USE_FILE_CACHE - return add_replay_file_cache(opts, digest); -#else - return add_replay_dbm_cache(opts, digest); -#endif -#endif /* NO_DIGEST_CACHE */ -} - -#if USE_FILE_CACHE -int +static int is_replay_file_cache(fko_srv_options_t *opts, char *digest) { int digest_len = 0; @@ -503,7 +449,7 @@ return(SPA_MSG_SUCCESS); } -int +static int add_replay_file_cache(fko_srv_options_t *opts, char *digest) { FILE *digest_file_ptr = NULL; @@ -572,7 +518,7 @@ #endif /* USE_FILE_CACHE */ #if !USE_FILE_CACHE -int +static int is_replay_dbm_cache(fko_srv_options_t *opts, char *digest) { #ifdef NO_DIGEST_CACHE @@ -642,7 +588,7 @@ #endif /* NO_DIGEST_CACHE */ } -int +static int add_replay_dbm_cache(fko_srv_options_t *opts, char *digest) { #ifdef NO_DIGEST_CACHE @@ -756,5 +702,64 @@ } #endif +int +replay_cache_init(fko_srv_options_t *opts) +{ +#ifdef NO_DIGEST_CACHE + return(-1); +#else + + /* If rotation was specified, do it. + */ + if(opts->rotate_digest_cache) + rotate_digest_cache_file(opts); + +#if USE_FILE_CACHE + return replay_file_cache_init(opts); +#else + return replay_db_cache_init(opts); +#endif + +#endif /* NO_DIGEST_CACHE */ +} + +int +add_replay(fko_srv_options_t *opts, char *digest) +{ +#ifdef NO_DIGEST_CACHE + return(-1); +#else + + if(digest == NULL) + { + log_msg(LOG_WARNING, "NULL digest passed into add_replay()"); + return(SPA_MSG_DIGEST_CACHE_ERROR); + } + +#if USE_FILE_CACHE + return add_replay_file_cache(opts, digest); +#else + return add_replay_dbm_cache(opts, digest); +#endif +#endif /* NO_DIGEST_CACHE */ +} + +/* Take an fko context, pull the digest and use it as the key to check the + * replay db (digest cache). +*/ +int +is_replay(fko_srv_options_t *opts, char *digest) +{ +#ifdef NO_DIGEST_CACHE + return(-1); +#else + +#if USE_FILE_CACHE + return is_replay_file_cache(opts, digest); +#else + return is_replay_dbm_cache(opts, digest); +#endif +#endif /* NO_DIGEST_CACHE */ +} /***EOF***/ diff -Nru fwknop-2.5.1/server/replay_cache.h fwknop-2.6.0/server/replay_cache.h --- fwknop-2.5.1/server/replay_cache.h 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/server/replay_cache.h 2014-01-13 03:29:04.000000000 +0000 @@ -62,14 +62,7 @@ int is_replay(fko_srv_options_t *opts, char *digest); int add_replay(fko_srv_options_t *opts, char *digest); #ifdef USE_FILE_CACHE -int replay_file_cache_init(fko_srv_options_t *opts); -int is_replay_file_cache(fko_srv_options_t *opts, char *digest); -int add_replay_file_cache(fko_srv_options_t *opts, char *digest); void free_replay_list(fko_srv_options_t *opts); -#else -int replay_db_cache_init(fko_srv_options_t *opts); -int is_replay_dbm_cache(fko_srv_options_t *opts, char *digest); -int add_replay_dbm_cache(fko_srv_options_t *opts, char *digest); #endif #endif /* REPLAY_CACHE_H */ diff -Nru fwknop-2.5.1/server/utils.c fwknop-2.6.0/server/utils.c --- fwknop-2.5.1/server/utils.c 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/server/utils.c 2014-01-13 03:29:04.000000000 +0000 @@ -30,48 +30,6 @@ #include "log_msg.h" #include -/** - * @brief Add a printf style message to a buffer - * - * This function allows to append a printf style message to a buffer - * and prevents buffer overflow by taking care of the size the buffer. - * It returns the number of bytes really written to the buffer. - * Thus if an error is encoutered during the process the number of bytes - * written is set to 0. This way the user knows exactly how many bytes - * can be appended afterwards. - * - * @param buf Buffer to write the formated message to - * @param buf_size Maximum number of bytes to write to the buffer - * @param msg Message to format and to append to the buffer - * - * @return the number of bytes written to the buffer - */ -static int -append_msg_to_buf(char *buf, size_t buf_size, const char* msg, ...) -{ - int bytes_written = 0; /* Number of bytes written to buf */ - va_list ap; - - if (buf_size != 0) - { - va_start(ap, msg); - - bytes_written = vsnprintf(buf, buf_size, msg, ap); - if ( (bytes_written < 0) || (bytes_written >= buf_size) ) - { - log_msg(LOG_WARNING, "add_msg_to_buf() : message truncated / snprintf error"); - bytes_written = 0; - } - else; - - va_end(ap); - } - else - log_msg(LOG_WARNING, "add_msg_to_buf() : nothing to write."); - - return bytes_written; -} - /* Generic hex dump function. */ void @@ -104,89 +62,13 @@ { for(i=0; i < 16-ln; i++) printf(" "); + if(ln < 8) + printf(" "); printf(" %s\n\n", ascii_str); } } -/* Show the fields of the FKO context. -*/ -char * -dump_ctx(fko_ctx_t ctx) -{ - static char buf[CTX_DUMP_BUFSIZE] = {0}; - int cp = 0; - size_t bytes_left; - - char *rand_val = NULL; - char *username = NULL; - char *version = NULL; - char *spa_message = NULL; - char *nat_access = NULL; - char *server_auth = NULL; - char *enc_data = NULL; - char *hmac_data = NULL; - char *spa_digest = NULL; - char *spa_data = NULL; - char digest_str[MAX_LINE_LEN] = {0}; - char hmac_str[MAX_LINE_LEN] = {0}; - char enc_mode_str[MAX_LINE_LEN] = {0}; - - time_t timestamp = 0; - short msg_type = -1; - short digest_type = -1; - short hmac_type = -1; - short encryption_type = -1; - int encryption_mode = -1; - int client_timeout = -1; - - /* Should be checking return values, but this is temp code. --DSS - */ - fko_get_rand_value(ctx, &rand_val); - fko_get_username(ctx, &username); - fko_get_timestamp(ctx, ×tamp); - fko_get_version(ctx, &version); - fko_get_spa_message_type(ctx, &msg_type); - fko_get_spa_message(ctx, &spa_message); - fko_get_spa_nat_access(ctx, &nat_access); - fko_get_spa_server_auth(ctx, &server_auth); - fko_get_spa_client_timeout(ctx, &client_timeout); - fko_get_spa_digest_type(ctx, &digest_type); - fko_get_spa_hmac_type(ctx, &hmac_type); - fko_get_spa_encryption_type(ctx, &encryption_type); - fko_get_spa_encryption_mode(ctx, &encryption_mode); - fko_get_encoded_data(ctx, &enc_data); - fko_get_spa_hmac(ctx, &hmac_data); - fko_get_spa_digest(ctx, &spa_digest); - fko_get_spa_data(ctx, &spa_data); - - digest_inttostr(digest_type, digest_str, sizeof(digest_str)); - hmac_digest_inttostr(hmac_type, hmac_str, sizeof(hmac_str)); - enc_mode_inttostr(encryption_mode, enc_mode_str, sizeof(enc_mode_str)); - - bytes_left = sizeof(buf) - 1; - - cp = append_msg_to_buf(buf, bytes_left, "SPA Field Values:\n=================\n"); - cp += append_msg_to_buf(buf+cp, bytes_left-cp, " Random Value: %s\n", rand_val == NULL ? "" : rand_val); - cp += append_msg_to_buf(buf+cp, bytes_left-cp, " Username: %s\n", username == NULL ? "" : username); - cp += append_msg_to_buf(buf+cp, bytes_left-cp, " Timestamp: %u\n", (unsigned int) timestamp); - cp += append_msg_to_buf(buf+cp, bytes_left-cp, " FKO Version: %s\n", version == NULL ? "" : version); - cp += append_msg_to_buf(buf+cp, bytes_left-cp, " Message Type: %i (%s)\n", msg_type, msg_type_inttostr(msg_type)); - cp += append_msg_to_buf(buf+cp, bytes_left-cp, " Message String: %s\n", spa_message == NULL ? "" : spa_message); - cp += append_msg_to_buf(buf+cp, bytes_left-cp, " Nat Access: %s\n", nat_access == NULL ? "" : nat_access); - cp += append_msg_to_buf(buf+cp, bytes_left-cp, " Server Auth: %s\n", server_auth == NULL ? "" : server_auth); - cp += append_msg_to_buf(buf+cp, bytes_left-cp, " Client Timeout: %u\n", client_timeout); - cp += append_msg_to_buf(buf+cp, bytes_left-cp, " Digest Type: %u (%s)\n", digest_type, digest_str); - cp += append_msg_to_buf(buf+cp, bytes_left-cp, " HMAC Type: %u (%s)\n", hmac_type, hmac_str); - cp += append_msg_to_buf(buf+cp, bytes_left-cp, "Encryption Type: %d (%s)\n", encryption_type, enc_type_inttostr(encryption_type)); - cp += append_msg_to_buf(buf+cp, bytes_left-cp, "Encryption Mode: %d (%s)\n", encryption_mode, enc_mode_str); - cp += append_msg_to_buf(buf+cp, bytes_left-cp, " Encoded Data: %s\n", enc_data == NULL ? "" : enc_data); - cp += append_msg_to_buf(buf+cp, bytes_left-cp, "SPA Data Digest: %s\n", spa_digest == NULL ? "" : spa_digest); - cp += append_msg_to_buf(buf+cp, bytes_left-cp, " HMAC: %s\n", hmac_data == NULL ? "" : hmac_data); - - return(buf); -} - /* Basic directory checks (stat() and whether the path is actually * a directory). */ @@ -279,25 +161,4 @@ return res; } -/* Determine if a buffer contains only characters from the base64 - * encoding set -*/ -int -is_base64(const unsigned char *buf, const unsigned short int len) -{ - unsigned short int i; - int rv = 1; - - for(i=0; i "$conf_dir/nat_fwknopd.conf", + 'snat' => "$conf_dir/snat_fwknopd.conf", + 'snat_no_translate_ip' => "$conf_dir/snat_no_translate_ip_fwknopd.conf", 'def' => "$conf_dir/default_fwknopd.conf", 'def_access' => "$conf_dir/default_access.conf", 'hmac_access' => "$conf_dir/hmac_access.conf", @@ -50,6 +52,10 @@ 'hmac_sha1_short_key_access' => "$conf_dir/hmac_sha1_short_key_access.conf", 'hmac_sha1_long_key_access' => "$conf_dir/hmac_sha1_long_key_access.conf", 'hmac_sha256_access' => "$conf_dir/hmac_sha256_access.conf", + 'hmac_sha256_digest1_mismatch_access' => "$conf_dir/hmac_sha256_digest1_mismatch_access.conf", + 'hmac_sha256_digest2_mismatch_access' => "$conf_dir/hmac_sha256_digest2_mismatch_access.conf", + 'hmac_sha256_digest3_mismatch_access' => "$conf_dir/hmac_sha256_digest3_mismatch_access.conf", + 'hmac_sha256_digest4_mismatch_access' => "$conf_dir/hmac_sha256_digest4_mismatch_access.conf", 'hmac_sha256_short_key_access' => "$conf_dir/hmac_sha256_short_key_access.conf", 'hmac_sha256_long_key_access' => "$conf_dir/hmac_sha256_long_key_access.conf", 'hmac_sha384_access' => "$conf_dir/hmac_sha384_access.conf", @@ -66,14 +72,23 @@ 'future_exp_access' => "$conf_dir/future_expired_stanza_access.conf", 'exp_epoch_access' => "$conf_dir/expired_epoch_stanza_access.conf", 'invalid_exp_access' => "$conf_dir/invalid_expire_access.conf", + 'invalid_ipt_input_chain' => "$conf_dir/invalid_ipt_input_chain_fwknopd.conf", + 'invalid_ipt_input_chain2' => "$conf_dir/invalid_ipt_input_chain_2_fwknopd.conf", + 'invalid_ipt_input_chain3' => "$conf_dir/invalid_ipt_input_chain_3_fwknopd.conf", + 'invalid_ipt_input_chain4' => "$conf_dir/invalid_ipt_input_chain_4_fwknopd.conf", + 'invalid_ipt_input_chain5' => "$conf_dir/invalid_ipt_input_chain_5_fwknopd.conf", + 'invalid_ipt_input_chain6' => "$conf_dir/invalid_ipt_input_chain_6_fwknopd.conf", 'force_nat_access' => "$conf_dir/force_nat_access.conf", 'hmac_force_nat_access' => "$conf_dir/hmac_force_nat_access.conf", + 'hmac_force_snat_access' => "$conf_dir/hmac_force_snat_access.conf", + 'hmac_force_masq_access' => "$conf_dir/hmac_force_masq_access.conf", 'cmd_access' => "$conf_dir/cmd_access.conf", 'local_nat' => "$conf_dir/local_nat_fwknopd.conf", 'no_flush_init' => "$conf_dir/no_flush_init_fwknopd.conf", 'no_flush_exit' => "$conf_dir/no_flush_exit_fwknopd.conf", 'no_flush_init_or_exit' => "$conf_dir/no_flush_init_or_exit_fwknopd.conf", 'ipfw_active_expire' => "$conf_dir/ipfw_active_expire_equal_fwknopd.conf", + 'hmac_android_access' => "$conf_dir/hmac_android_access.conf", 'android_access' => "$conf_dir/android_access.conf", 'android_legacy_iv_access' => "$conf_dir/android_legacy_iv_access.conf", 'dual_key_access' => "$conf_dir/dual_key_usage_access.conf", @@ -81,11 +96,13 @@ 'hmac_dual_key_access' => "$conf_dir/hmac_dual_key_usage_access.conf", 'gpg_access' => "$conf_dir/gpg_access.conf", 'gpg_hmac_access' => "$conf_dir/gpg_hmac_access.conf", + 'gpg_hmac_sha512_access' => "$conf_dir/gpg_hmac_sha512_access.conf", 'legacy_iv_access' => "$conf_dir/legacy_iv_access.conf", 'legacy_iv_long_key_access' => "$conf_dir/legacy_iv_long_key_access.conf", 'legacy_iv_long_key2_access' => "$conf_dir/legacy_iv_long_key2_access.conf", 'gpg_no_pw_access' => "$conf_dir/gpg_no_pw_access.conf", 'gpg_no_pw_hmac_access' => "$conf_dir/gpg_no_pw_hmac_access.conf", + 'gpg_no_pw_hmac_sha512_access' => "$conf_dir/gpg_no_pw_hmac_sha512_access.conf", 'tcp_server' => "$conf_dir/tcp_server_fwknopd.conf", 'tcp_pcap_filter' => "$conf_dir/tcp_pcap_filter_fwknopd.conf", 'icmp_pcap_filter' => "$conf_dir/icmp_pcap_filter_fwknopd.conf", @@ -116,10 +133,13 @@ 'rc_hmac_equal_keys' => "$conf_dir/fwknoprc_hmac_equal_keys", 'rc_invalid_b64_key' => "$conf_dir/fwknoprc_invalid_base64_key", 'rc_hmac_b64_key' => "$conf_dir/fwknoprc_default_hmac_base64_key", + 'rc_hmac_sha512_b64_key' => "$conf_dir/fwknoprc_hmac_sha512_base64_key", 'rc_hmac_b64_key2' => "$conf_dir/fwknoprc_hmac_key2", + 'rc_rand_port_hmac_b64_key' => "$conf_dir/fwknoprc_rand_port_hmac_base64_key", 'rc_gpg_signing_pw' => "$conf_dir/fwknoprc_gpg_signing_pw", 'rc_gpg_named_signing_pw' => "$conf_dir/fwknoprc_named_gpg_signing_pw", 'rc_gpg_hmac_b64_key' => "$conf_dir/fwknoprc_gpg_hmac_key", + 'rc_gpg_hmac_sha512_b64_key' => "$conf_dir/fwknoprc_gpg_hmac_sha512_key", 'rc_gpg_args_hmac_b64_key' => "$conf_dir/fwknoprc_gpg_args_hmac_key", 'rc_gpg_args_no_pw_hmac_b64_key' => "$conf_dir/fwknoprc_gpg_args_no_pw_hmac_key", 'rc_hmac_simple_key' => "$conf_dir/fwknoprc_hmac_simple_keys", @@ -140,6 +160,7 @@ 'rc_hmac_sha512_key' => "$conf_dir/fwknoprc_hmac_sha512_key", 'rc_hmac_sha512_short_key' => "$conf_dir/fwknoprc_hmac_sha512_short_key", 'rc_hmac_sha512_long_key' => "$conf_dir/fwknoprc_hmac_sha512_long_key", + 'rc_stanza_list' => "$conf_dir/fwknoprc_stanza_list", 'base64_key_access' => "$conf_dir/base64_key_access.conf", 'custom_input_chain' => "$conf_dir/custom_input_chain_fwknopd.conf", 'custom_nat_chain' => "$conf_dir/custom_nat_chain_fwknopd.conf", @@ -160,7 +181,6 @@ our $fwknopCmd = '../client/.libs/fwknop'; our $fwknopdCmd = '../server/.libs/fwknopd'; -our $libfko_bin = "$lib_dir/libfko.so"; ### this is usually a link our $gpg_server_key = '361BBAD4'; our $gpg_client_key = '6A3FAD56'; @@ -170,6 +190,8 @@ our $spoof_ip = '1.2.3.4'; our $internal_nat_host = '192.168.1.2'; our $force_nat_host = '192.168.1.123'; +our $force_nat_host2 = '123.4.4.4'; +our $force_snat_host = '33.3.3.3'; our $default_spa_port = 62201; our $non_std_spa_port = 12345; @@ -189,6 +211,7 @@ my @test_files = ( "$tests_dir/build_security.pl", "$tests_dir/preliminaries.pl", + "$tests_dir/code_structure.pl", "$tests_dir/basic_operations.pl", "$tests_dir/rijndael.pl", "$tests_dir/rijndael_cmd_exec.pl", @@ -210,6 +233,7 @@ our @build_security_server = (); our @build_security_libfko = (); our @preliminaries = (); ### from tests/preliminaries.pl +our @code_structure_errstr = (); ### from tests/code_structure.pl (may include Coccinelle matches eventually) our @basic_operations = (); ### from tests/basic_operations.pl our @rijndael = (); ### from tests/rijndael.pl our @rijndael_cmd_exec = (); ### from tests/rijndael_cmd_exec.pl @@ -246,7 +270,9 @@ my $init_file = $curr_test_file; my $tarfile = 'test_fwknop.tar.gz'; our $key_gen_file = "$output_dir/key_gen"; -my $fuzzing_pkts_file = 'fuzzing/fuzzing_spa_packets'; +our $verbose_str = "--verbose --verbose"; +my $gdb_test_file = ''; +my $fuzzing_pkts_file = '../perl/FKO/t/fuzzing_spa_packets'; my $fuzzing_pkts_append = 0; my $fuzzing_key = 'testtest'; my $fuzzing_num_pkts = 0; @@ -258,8 +284,13 @@ my $enable_valgrind = 0; my $disable_valgrind = 0; our $valgrind_str = ''; +my $cpan_valgrind_mod = 'Test::Valgrind'; my %prev_valgrind_cov = (); my %prev_valgrind_file_titles = (); +my $libfko_hdr_file = '../lib/fko.h'; +my $libfko_errstr_file = '../lib/fko_error.c'; +my $perl_libfko_constants_file = '../perl/FKO/lib/FKO_Constants.pl'; +my $python_libfko_constants_file = '../python/fko.py'; my $fko_wrapper_dir = 'fko-wrapper'; my $python_spa_packet = ''; my $enable_client_ip_resolve_test = 0; @@ -286,6 +317,7 @@ my $fuzzing_failure_ctr = 0; my $fuzzing_ctr = 0; my $include_permissions_warnings = 0; +my $lib_view_cmd = ''; our $valgrind_path = ''; our $sudo_path = ''; our $gcov_path = ''; @@ -305,6 +337,7 @@ our $USE_PCAP_FILE = 3; our $REQUIRED = 1; our $OPTIONAL = 0; +our $OPTIONAL_NUMERIC = 2; our $NEW_RULE_REQUIRED = 1; our $REQUIRE_NO_NEW_RULE = 2; our $NEW_RULE_REMOVED = 1; @@ -334,7 +367,7 @@ 'Anonymize-results' => \$anonymize_results, 'fwknop-path=s' => \$fwknopCmd, 'fwknopd-path=s' => \$fwknopdCmd, - 'libfko-path=s' => \$libfko_bin, + 'lib-dir=s' => \$lib_dir, ### for LD_LIBRARY_PATH 'loopback-intf=s' => \$loopback_intf, 'test-include=s' => \$test_include, 'include=s' => \$test_include, ### synonym @@ -353,6 +386,7 @@ 'enable-distcheck' => \$enable_make_distcheck, 'enable-dist-check' => \$enable_make_distcheck, ### synonym 'enable-openssl-checks' => \$enable_openssl_compatibility_tests, + 'gdb-test=s' => \$gdb_test_file, 'List-mode' => \$list_mode, 'test-limit=i' => \$test_limit, 'enable-valgrind' => \$enable_valgrind, @@ -364,6 +398,7 @@ 'valgrind-prev-cov-dir=s' => \$previous_valgrind_coverage_dir, 'openssl-path=s' => \$openssl_path, 'output-dir=s' => \$output_dir, + 'cmd-verbose=s' => \$verbose_str, 'diff' => \$diff_mode, 'diff-dir1=s' => \$diff_dir1, 'diff-dir2=s' => \$diff_dir2, @@ -372,6 +407,9 @@ &usage() if $help; +my $lib_view_str = "LD_LIBRARY_PATH=$lib_dir"; +our $libfko_bin = "$lib_dir/libfko.so"; ### this is usually a link + if ($enable_all) { $enable_valgrind = 1; $enable_recompilation_warnings_check = 1; @@ -393,6 +431,9 @@ exit &diff_test_results() if $diff_mode; +### run an fwknop command under gdb from a previous test run +exit &gdb_test_cmd() if $gdb_test_file; + &identify_loopback_intf(); ### make sure everything looks as expected before continuing @@ -401,17 +442,17 @@ $valgrind_str = "$valgrind_path --leak-check=full " . "--show-reachable=yes --track-origins=yes" if $enable_valgrind; -our $intf_str = "-i $loopback_intf --foreground --verbose --verbose"; +our $intf_str = "-i $loopback_intf --foreground $verbose_str"; -our $default_client_args = "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . +our $default_client_args = "$lib_view_str $valgrind_str " . "$fwknopCmd -A tcp/22 -a $fake_ip -D $loopback_ip --get-key " . - "$local_key_file --no-save-args --verbose --verbose"; + "$local_key_file --no-save-args $verbose_str"; -our $default_client_args_no_get_key = "LD_LIBRARY_PATH=$lib_dir " . +our $default_client_args_no_get_key = "$lib_view_str " . "$valgrind_str $fwknopCmd -A tcp/22 -a $fake_ip -D $loopback_ip " . - "--no-save-args --verbose --verbose"; + "--no-save-args $verbose_str"; -our $default_client_args_no_verbose = "LD_LIBRARY_PATH=$lib_dir " . +our $default_client_args_no_verbose = "$lib_view_str " . "$valgrind_str $fwknopCmd -A tcp/22 -a $fake_ip -D $loopback_ip " . '--no-save-args '; @@ -427,13 +468,13 @@ our $default_client_hmac_args = "$default_client_args_no_get_key " . "--rc-file $cf{'rc_hmac_b64_key'}"; -our $client_ip_resolve_args = "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . +our $client_ip_resolve_args = "$lib_view_str $valgrind_str " . "$fwknopCmd -A tcp/22 -R -D $loopback_ip --get-key " . - "$local_key_file --verbose --verbose"; + "$local_key_file $verbose_str"; -our $client_ip_resolve_hmac_args = "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . +our $client_ip_resolve_hmac_args = "$lib_view_str $valgrind_str " . "$fwknopCmd -A tcp/22 -R -D $loopback_ip --rc-file " . - "$cf{'rc_hmac_b64_key'} --verbose --verbose"; + "$cf{'rc_hmac_b64_key'} $verbose_str"; our $default_client_gpg_args = "$default_client_args " . "--gpg-recipient-key $gpg_server_key " . @@ -461,29 +502,30 @@ our $default_server_hmac_conf_args = "-c $cf{'def'} -a $cf{'hmac_access'} " . "-d $default_digest_file -p $default_pid_file"; -our $default_server_gpg_args = "LD_LIBRARY_PATH=$lib_dir " . +our $default_server_gpg_args = "$lib_view_str " . "$valgrind_str $fwknopdCmd -c $cf{'def'} " . "-a $cf{'gpg_access'} $intf_str " . "-d $default_digest_file -p $default_pid_file"; -our $default_server_gpg_args_no_pw = "LD_LIBRARY_PATH=$lib_dir " . +our $default_server_gpg_args_no_pw = "$lib_view_str " . "$valgrind_str $fwknopdCmd -c $cf{'def'} " . "-a $cf{'gpg_no_pw_access'} $intf_str " . "-d $default_digest_file -p $default_pid_file"; -our $default_server_gpg_args_hmac = "LD_LIBRARY_PATH=$lib_dir " . +our $default_server_gpg_args_hmac = "$lib_view_str " . "$valgrind_str $fwknopdCmd -c $cf{'def'} " . "-a $cf{'gpg_hmac_access'} $intf_str " . "-d $default_digest_file -p $default_pid_file"; -our $default_server_gpg_args_no_pw_hmac = "LD_LIBRARY_PATH=$lib_dir " . +our $default_server_gpg_args_no_pw_hmac = "$lib_view_str " . "$valgrind_str $fwknopdCmd -c $cf{'def'} " . "-a $cf{'gpg_no_pw_hmac_access'} $intf_str " . "-d $default_digest_file -p $default_pid_file"; ### point the compiled binaries at the local libary path ### instead of any installed libfko instance -$ENV{'LD_LIBRARY_PATH'} = $lib_dir; +$ENV{'LD_LIBRARY_PATH'} = $lib_dir; +$ENV{'DYLD_LIBRARY_PATH'} = $lib_dir if $lib_view_cmd =~ /otool/; ### import the tests from the various tests/ files &import_test_files(); @@ -496,25 +538,23 @@ 'category' => 'recompilation', 'detail' => 'recompile and look for compilation warnings', 'function' => \&compile_warnings, - 'fatal' => $NO }, { 'category' => 'make distcheck', 'detail' => 'ensure proper distribution creation', 'function' => \&make_distcheck, - 'fatal' => $NO }, { 'category' => 'Makefile.am', 'detail' => 'test suite conf/ files included', 'function' => \&test_suite_conf_files, - 'fatal' => $NO }, @build_security_client, @build_security_server, @build_security_libfko, @preliminaries, + @code_structure_errstr, @basic_operations, @rijndael, @rijndael_cmd_exec, @@ -525,10 +565,61 @@ @os_compatibility, @perl_FKO_module, @python_fko, + + { + 'category' => 'Look for crashes', + 'detail' => 'checking for segfault/core dump messages (1)', + 'function' => \&look_for_crashes, + }, + @gpg_no_pw, @gpg_no_pw_hmac, @gpg, @gpg_hmac, + + { + 'category' => 'Look for crashes', + 'detail' => 'checking for segfault/core dump messages (2)', + 'function' => \&look_for_crashes, + } +); + +my %test_keys = ( + 'category' => $REQUIRED, + 'subcategory' => $OPTIONAL, + 'detail' => $REQUIRED, + 'function' => $REQUIRED, + 'binary' => $OPTIONAL, + 'cmdline' => $OPTIONAL, + 'fwknopd_cmdline' => $OPTIONAL, + 'fatal' => $OPTIONAL_NUMERIC, + 'key_file' => $OPTIONAL, + 'exec_err' => $OPTIONAL, + 'server_exec_err' => $OPTIONAL, + 'fw_rule_created' => $OPTIONAL, + 'fw_rule_removed' => $OPTIONAL, + 'server_conf' => $OPTIONAL, + 'pkt' => $OPTIONAL, + 'fuzzing_pkt' => $OPTIONAL, + 'pkt_prefix' => $OPTIONAL, + 'no_ip_check' => $OPTIONAL, + 'get_key' => $OPTIONAL, + 'get_hmac_key' => $OPTIONAL, + 'set_legacy_iv' => $OPTIONAL, + 'write_rc_file' => $OPTIONAL, + 'save_rc_stanza' => $OPTIONAL, + 'disable_valgrind' => $OPTIONAL, + 'positive_output_matches' => $OPTIONAL, + 'negative_output_matches' => $OPTIONAL, + 'insert_rule_before_exec' => $OPTIONAL, + 'insert_rule_while_running' => $OPTIONAL, + 'search_for_rule_after_exit' => $OPTIONAL, + 'rc_positive_output_matches' => $OPTIONAL, + 'rc_negative_output_matches' => $OPTIONAL, + 'mv_and_restore_replay_cache' => $OPTIONAL, + 'server_positive_output_matches' => $OPTIONAL, + 'server_negative_output_matches' => $OPTIONAL, + 'iptables_rm_chains_after_server_start' => $OPTIONAL, ); &validate_test_hashes(); @@ -601,8 +692,7 @@ &run_test({ 'category' => 'profile coverage', 'detail' => 'gcov profile coverage', - 'function' => \&profile_coverage, - 'fatal' => $NO} + 'function' => \&profile_coverage} ); } @@ -611,20 +701,17 @@ 'category' => 'valgrind', 'subcategory' => 'fko-wrapper', 'detail' => 'multiple libfko calls', - 'function' => \&compile_execute_fko_wrapper, - 'fatal' => $NO} + 'function' => \&compile_execute_fko_wrapper} ); &run_test({ 'category' => 'valgrind output', 'subcategory' => 'flagged functions', 'detail' => '', - 'function' => \&parse_valgrind_flagged_functions, - 'fatal' => $NO} + 'function' => \&parse_valgrind_flagged_functions} ); } - &logr("\n"); &remove_permissions_warnings() unless $include_permissions_warnings; @@ -671,6 +758,8 @@ sub run_test() { my $test_hr = shift; + &validate_test_hash($test_hr); + ### prepare for test run &rm_tmp_files(); @@ -678,13 +767,17 @@ $msg =~ s/REPLPKTS/-->$total_fuzzing_pkts<-- pkts/; - return unless &process_include_exclude($msg); - if ($list_mode) { - print $msg, "\n"; + if (&process_include_exclude($msg)) { + print $msg, "\n"; + } else { + print "$msg (requires an --enable-* arg, see -h)\n"; + } return; } + return unless &process_include_exclude($msg); + &dots_print($msg); $executed++; @@ -781,6 +874,30 @@ return 1; } +sub gdb_test_cmd() { + + die "[*] previous test file: $gdb_test_file does not exist." + unless -e $gdb_test_file; + + my $gdb_cmd = ''; + + open F, "< $gdb_test_file" or die "[*] Could not open $gdb_test_file: $!"; + while () { + if (/CMD\:\sLD_LIBRARY_PATH=(\S+).*\s($fwknopCmd\s.*)/ + or /CMD\:\sLD_LIBRARY_PATH=(\S+).*\s($fwknopdCmd\s.*)/) { + $gdb_cmd = "LD_LIBRARY_PATH=$1 gdb --args $2"; + } + } + close F; + + if ($gdb_cmd) { + system $gdb_cmd; + } else { + die "[*] Could not extract fwknop/fwknopd command from $gdb_test_file"; + } + return 1; +} + sub diff_test_results() { $diff_dir1 = "${output_dir}.last" unless $diff_dir1; @@ -1004,10 +1121,7 @@ next if -d $f; next unless $f =~ /\.conf/ or $f =~ /fwknop/; if ($f =~ m|$conf_dir/(\S+)|) { - if (defined $makefile_conf_files{$1}) { - &write_test_file("[+] test suite conf file $1 is in $make_file.\n", - $curr_test_file); - } else { + unless (defined $makefile_conf_files{$1}) { &write_test_file("[-] test suite conf file $1 not in $make_file.\n", $curr_test_file); $rv = 0; @@ -1017,16 +1131,203 @@ for my $f (glob("$tests_dir/*.pl")) { if ($f =~ m|$tests_dir/(\S+)|) { - if (defined $makefile_test_scripts{$1}) { - &write_test_file("[+] test suite script file $1 is in $make_file.\n", + unless (defined $makefile_test_scripts{$1}) { + &write_test_file("[-] test suite script file $1 not in $make_file.\n", $curr_test_file); + $rv = 0; + } + } + } + + return $rv; +} + +sub look_for_crashes() { + my $rv = 1; + + for my $f (glob("$output_dir/*")) { + + next if -d $f; + next unless $f =~ /\.test$/; + + if (&file_find_regex([qr/segmentation\sfault/i, qr/core\sdumped/i], + $MATCH_ANY, $NO_APPEND_RESULTS, $f)) { + &write_test_file("[-] segmentation fault or core dump message found in: $f\n", + $curr_test_file); + $rv = 0; + } + } + + return $rv; +} + +sub code_structure_fko_error_strings() { + + my $rv = 1; + + ### parse error codes from lib/fko.h and make sure each is handled in + ### fko_errstr(), and that both the perl and python libfko extensions also + ### handle each error code. + + for my $file ($libfko_hdr_file, $libfko_errstr_file, + $perl_libfko_constants_file, $python_libfko_constants_file) { + unless (-e $file) { + &write_test_file("[-] file: $file does not exist.\n", + $curr_test_file); + return 0; + } + } + + ### this is a basic parser that relies on the current structure of fko.h + my $found_starting_code = 0; + my @fko_error_codes = (); + my $starting_code = 'FKO_SUCCESS'; + open F, "< $libfko_hdr_file" or die "[*] Could not open $libfko_hdr_file: $!"; + while () { + if (/$starting_code\s=\s0/) { + $found_starting_code = 1; + push @fko_error_codes, $starting_code; + next; + } + next unless $found_starting_code; + if (/^\s{4}([A-Z]\S+),/) { + push @fko_error_codes, $1; + } + last if $found_starting_code and /^\}\sfko_error_codes_t\;/; + } + close F; + + ### now make sure that lib/fko_error.c has an error string for each code + ### in order + my $found_errstr_func = 0; + my $expected_var_index = 0; + my $prev_var = $fko_error_codes[0]; + open F, "< $libfko_errstr_file" or die "[*] Could not open $libfko_errstr_file: $!"; + while () { + if (/^fko_errstr\(/) { + $found_errstr_func = 1; + next; + } + next unless $found_errstr_func; + if (/^\s+case\s(\S+)\:/) { + my $var_str = $1; + if ($fko_error_codes[$expected_var_index] eq 'GPGME_ERR_START') { + $expected_var_index++; + } + if ($fko_error_codes[$expected_var_index] eq $var_str) { + $expected_var_index++; + $prev_var = $var_str; } else { - &write_test_file("[-] test suite script file $1 not in $make_file.\n", + &write_test_file("[-] expected var $fko_error_codes[$expected_var_index] " . + "in position: $expected_var_index in fko_errstr(), previous var: $prev_var\n", $curr_test_file); $rv = 0; + last; } } + last if $found_errstr_func and /^\}/; + } + close F; + + ### validate perl error code constants + $expected_var_index = 0; + $prev_var = $fko_error_codes[0]; + my $found_err_code_arr = 0; + open F, "< $perl_libfko_constants_file" or die "[*] Could not open $perl_libfko_constants_file: $!"; + while () { + if (/our\s\@ERROR_CODES\s=/) { + $found_err_code_arr = 1; + next; + } + next unless $found_err_code_arr; + if (/^\s{4}(\S+)/) { + my $var_str = $1; + if ($fko_error_codes[$expected_var_index] eq $var_str) { + $expected_var_index++; + $prev_var = $var_str; + } else { + &write_test_file("[-] perl FKO module - expected var $fko_error_codes[$expected_var_index] " . + "in position: $expected_var_index in ERROR_CODES array, previous var: $prev_var\n", + $curr_test_file); + $rv = 0; + last; + } + } + last if $found_err_code_arr and /^\)\;/; + } + close F; + + ### same thing, but now validate 'use constant' values too + $expected_var_index = 0; + $prev_var = $fko_error_codes[0]; + my $found_use_constant = 0; + my $found_fko_success = 0; + open F, "< $perl_libfko_constants_file" or die "[*] Could not open $perl_libfko_constants_file: $!"; + while () { + if (/^use\sconstant\s\{/) { + $found_use_constant = 1; + next; + } + next unless $found_use_constant; + if (/^\s{4}$starting_code\s+=\>\s(\d+),/) { + my $val = $1; + unless ($fko_error_codes[$val] eq $starting_code) { + &write_test_file("[-] perl FKO module - expected var $starting_code " . + "value of zero, got $val\n", $curr_test_file); + $rv = 0; + last; + } + $found_fko_success = 1; + } + next unless $found_fko_success; + if (/^\s{4}([A-Z]\S+)\s+=\>\s(\d+),/) { + my $var_str = $1; + my $val = $2; + if ($fko_error_codes[$val] eq $var_str) { + $expected_var_index++; + $prev_var = $var_str; + } else { + &write_test_file("[-] perl FKO module - expected var $fko_error_codes[$expected_var_index] " . + "in position: $expected_var_index in 'use constants' definition, previous var: $prev_var\n", + $curr_test_file); + $rv = 0; + last; + } + } + last if $found_fko_success and /^\)\;/; + } + close F; + + ### validate python error code constants + $expected_var_index = 0; + $prev_var = $fko_error_codes[0]; + $found_use_constant = 0; + $found_fko_success = 0; + open F, "< $python_libfko_constants_file" or die "[*] Could not open $python_libfko_constants_file: $!"; + while () { + if (/^$starting_code\s=\s0/) { + $found_fko_success = 1; + next; + } + next unless $found_fko_success; + if (/^([A-Z]\S+)\s=\s(\d+)/) { + my $var_str = $1; + my $val = $2; + if ($fko_error_codes[$val] eq $var_str) { + $expected_var_index++; + $prev_var = $var_str; + } else { + &write_test_file("[-] python extension - expected var $fko_error_codes[$expected_var_index] " . + "in position: $expected_var_index in FKO constants section, previous var: $prev_var\n", + $curr_test_file); + $rv = 0; + last; + } + } + last if $found_fko_success and /^\s/; + } + close F; return $rv; } @@ -1134,7 +1435,7 @@ $cmd_out_tmp, $curr_test_file); unless ($test_hr->{'cmdline'} =~ /key\-gen/ or $test_hr->{'cmdline'} =~ /\-k/) { - $rv = 0 unless &file_find_regex([qr/final\spacked/i], + $rv = 0 unless &file_find_regex([qr/Final\sSPA\sData/i], $MATCH_ALL, $NO_APPEND_RESULTS, $curr_test_file); } @@ -1213,7 +1514,7 @@ next; } next unless $found_fko_field_values; - if (/Final\sPacked/) { + if (/Final\sSPA\sData/) { $found_fko_field_values = 0; last if $finished_first_section; $finished_first_section = 1; @@ -1285,7 +1586,7 @@ $rv = 0 unless &run_cmd($test_hr->{'cmdline'}, $cmd_out_tmp, $curr_test_file); - $rv = 0 unless &file_find_regex([qr/final\spacked/i], + $rv = 0 unless &file_find_regex([qr/Final\sSPA\sData/], $MATCH_ALL, $NO_APPEND_RESULTS, $curr_test_file); last if $server_receive_check == $NO_SERVER_RECEIVE_CHECK; @@ -1296,7 +1597,7 @@ } else { $rv = 0 unless &run_cmd($test_hr->{'cmdline'}, $cmd_out_tmp, $curr_test_file); - $rv = 0 unless &file_find_regex([qr/final\spacked/i], + $rv = 0 unless &file_find_regex([qr/Final\sSPA\sData/i], $MATCH_ALL, $NO_APPEND_RESULTS, $curr_test_file); } @@ -1448,8 +1749,8 @@ my $rv = 1; - &run_cmd("LD_LIBRARY_PATH=$lib_dir $valgrind_str $fwknopdCmd " . - "$default_server_conf_args --fw-flush --verbose --verbose", + &run_cmd("$lib_view_str $valgrind_str $fwknopdCmd " . + "$default_server_conf_args --fw-flush $verbose_str", $cmd_out_tmp, $curr_test_file); if ($test_hr->{'insert_rule_before_exec'}) { @@ -1463,8 +1764,8 @@ $rv = &spa_cycle($test_hr); if ($test_hr->{'search_for_rule_after_exit'}) { - &run_cmd("LD_LIBRARY_PATH=$lib_dir $valgrind_str $fwknopdCmd " . - "$default_server_conf_args --fw-list --verbose --verbose", + &run_cmd("$lib_view_str $valgrind_str $fwknopdCmd " . + "$default_server_conf_args --fw-list $verbose_str", $cmd_out_tmp, $curr_test_file); $rv = 0 unless &file_find_regex([qr/ACCEPT.*$fake_ip\s.*dpt\:1234/], $MATCH_ALL, $APPEND_RESULTS, $curr_test_file); @@ -1530,6 +1831,15 @@ my $site_dir = "$python_fko_dir/lib"; + unless (-d $site_dir) { + $site_dir = "$python_fko_dir/lib64"; + unless (-d $site_dir) { + &write_test_file("[-] $site_dir directory dir does not exist.\n", + $curr_test_file); + return 0; + } + } + for my $dir (glob("$site_dir/python*")) { $site_dir = $dir; last; @@ -1542,7 +1852,7 @@ return 0; } - $rv = &run_cmd("LD_LIBRARY_PATH=$lib_dir " . + $rv = &run_cmd("$lib_view_str " . "PYTHONPATH=$site_dir $python_path ./$python_script", $cmd_out_tmp, $curr_test_file); @@ -1642,6 +1952,77 @@ return $rv; } +sub perl_fko_module_make_test() { + my $test_hr = shift; + + my $rv = 1; + + my $curr_pwd = cwd() or die $!; + + chdir '../perl/FKO' or die $!; + + my $lib_path_cp = $lib_view_str; + + ### fix up relative path for lib directory + $lib_path_cp =~ s|\.\./|../../|g; + + &run_cmd("$lib_path_cp make test", $cmd_out_tmp, "../../test/$curr_test_file"); + + chdir $curr_pwd or die $!; + + if ($test_hr->{'positive_output_matches'}) { + unless (&file_find_regex( + $test_hr->{'positive_output_matches'}, + $MATCH_ALL, $APPEND_RESULTS, $curr_test_file)) { + &write_test_file( + "[-] positive_output_matches not met, setting rv=0\n", + $curr_test_file); + $rv = 0; + } + } + + return $rv; +} + +sub perl_fko_module_make_test_valgrind() { + my $test_hr = shift; + + my $rv = 1; + + my $curr_pwd = cwd() or die $!; + + chdir '../perl/FKO' or die $!; + + &run_cmd("prove --exec 'perl -Iblib/lib -Iblib/arch -M$cpan_valgrind_mod' t/*.t", + $cmd_out_tmp, "../../test/$curr_test_file"); + + chdir $curr_pwd or die $!; + + if ($test_hr->{'positive_output_matches'}) { + unless (&file_find_regex( + $test_hr->{'positive_output_matches'}, + $MATCH_ALL, $APPEND_RESULTS, $curr_test_file)) { + &write_test_file( + "[-] positive_output_matches not met, setting rv=0\n", + $curr_test_file); + $rv = 0; + } + } + + if ($test_hr->{'negative_output_matches'}) { + if (&file_find_regex( + $test_hr->{'negative_output_matches'}, + $MATCH_ANY, $APPEND_RESULTS, $curr_test_file)) { + &write_test_file( + "[-] negative_output_matches not met, setting rv=0\n", + $curr_test_file); + $rv = 0; + } + } + + return $rv; +} + sub perl_fko_module_new_object() { my $test_hr = shift; @@ -1985,7 +2366,7 @@ ### set message and then encrypt my $status = $fko_obj->spa_message($msg); - $status = $fko_obj->spa_data_final($key, length($key), '', 0); + $status = $fko_obj->spa_data_final($key, ''); if ($status == FKO->FKO_SUCCESS) { &write_test_file("[-] Accepted fuzzing key '$key' for $msg\n", @@ -2026,8 +2407,7 @@ my $status = $fko_obj->spa_message($msg); $fko_obj->hmac_type($hmac_type); - $status = $fko_obj->spa_data_final($enc_dummy_key, - length($enc_dummy_key), $hmac_key, length($hmac_key)); + $status = $fko_obj->spa_data_final($enc_dummy_key, $hmac_key); if ($status == FKO->FKO_SUCCESS) { &write_test_file("[-] Accepted fuzzing hmac key '$hmac_key' for $msg\n", @@ -2552,7 +2932,7 @@ $fko_obj->username($user); $fko_obj->spa_message_type(FKO->FKO_ACCESS_MSG); $fko_obj->digest_type($digest_type); - $fko_obj->spa_data_final($key_with_null, length($key_with_null), '', 0); + $fko_obj->spa_data_final($key_with_null, ''); my $encrypted_msg = $fko_obj->spa_data(); @@ -2572,8 +2952,7 @@ $truncated_key =~ s/^(.{$j}).*/$1/; &write_test_file(" Trying truncated key: $truncated_key\n", $curr_test_file); - if ($fko_obj->decrypt_spa_data($truncated_key, - length($truncated_key)) == FKO->FKO_SUCCESS) { + if ($fko_obj->decrypt_spa_data($truncated_key) == FKO->FKO_SUCCESS) { &write_test_file("[-] $msg decrypt success with truncated key " . "($key_with_null -> $truncated_key)\n", $curr_test_file); @@ -2624,7 +3003,7 @@ $fko_obj->username($user); $fko_obj->spa_message_type(FKO->FKO_ACCESS_MSG); $fko_obj->digest_type($digest_type); - $fko_obj->spa_data_final($key, length($key), '', 0); + $fko_obj->spa_data_final($key, ''); my $encrypted_msg = $fko_obj->spa_data(); @@ -2649,8 +3028,7 @@ $truncated_key =~ s/^(.{$j}).*/$1/; &write_test_file(" Trying truncated key: $truncated_key\n", $curr_test_file); - if ($fko_obj->decrypt_spa_data($truncated_key, - length($truncated_key)) == FKO->FKO_SUCCESS) { + if ($fko_obj->decrypt_spa_data($truncated_key) == FKO->FKO_SUCCESS) { &write_test_file("[-] $msg decrypt success with truncated key " . "($key -> $truncated_key)\n", $curr_test_file); @@ -2732,15 +3110,14 @@ if $test_hr->{'set_legacy_iv'} eq $YES; $fko_obj->encryption_mode($enc_mode); - $fko_obj->spa_data_final($key, length($key), $hmac_key, length($hmac_key)); + $fko_obj->spa_data_final($key, $hmac_key); my $encrypted_msg = $fko_obj->spa_data(); $fko_obj->destroy(); ### now get new object for decryption - $fko_obj = FKO->new($encrypted_msg, $key, length($key), - $enc_mode, $hmac_key, length($hmac_key), $hmac_type); + $fko_obj = FKO->new($encrypted_msg, $key, $enc_mode, $hmac_key, $hmac_type); unless ($fko_obj) { &write_test_file("[-] error FKO->new(): " . FKO::error_str() . "\n", $curr_test_file); @@ -2751,7 +3128,7 @@ $fko_obj->encryption_mode($enc_mode); my $hmac_digest = $fko_obj->spa_hmac(); - $fko_obj->decrypt_spa_data($key, length($key), $hmac_key, length($hmac_key)); + $fko_obj->decrypt_spa_data($key); if ($msg ne $fko_obj->spa_message()) { &write_test_file("[-] $msg encrypt/decrypt mismatch\n", @@ -2820,7 +3197,7 @@ $fko_obj->digest_type($digest_type); $fko_obj->encryption_mode(FKO->FKO_ENC_MODE_CBC_LEGACY_IV) if $test_hr->{'set_legacy_iv'} eq $YES; - $fko_obj->spa_data_final($key, length($key), '', 0); + $fko_obj->spa_data_final($key, ''); my $encrypted_msg = $fko_obj->spa_data(); @@ -2836,7 +3213,7 @@ $fko_obj->spa_data($encrypted_msg); $fko_obj->encryption_mode(FKO->FKO_ENC_MODE_CBC_LEGACY_IV) if $test_hr->{'set_legacy_iv'} eq $YES; - $fko_obj->decrypt_spa_data($key, length($key)); + $fko_obj->decrypt_spa_data($key); if ($msg ne $fko_obj->spa_message()) { &write_test_file("[-] $msg encrypt/decrypt mismatch\n", @@ -2897,12 +3274,12 @@ $fko_obj->digest_type($digest_type); $fko_obj->encryption_mode(FKO->FKO_ENC_MODE_CBC_LEGACY_IV) if $test_hr->{'set_legacy_iv'} eq $YES; - $fko_obj->spa_data_final($key, length($key), '', 0); + $fko_obj->spa_data_final($key, ''); my $encrypted_msg = $fko_obj->spa_data(); $fko_obj->spa_data($encrypted_msg); - $fko_obj->decrypt_spa_data($key, length($key)); + $fko_obj->decrypt_spa_data($key); if ($msg ne $fko_obj->spa_message()) { &write_test_file("[-] $msg encrypt/decrypt mismatch\n", @@ -2954,7 +3331,7 @@ } $fko_obj->spa_message_type(FKO->FKO_ACCESS_MSG); $fko_obj->digest_type(FKO->FKO_DIGEST_SHA256); - $fko_obj->spa_data_final($fuzzing_key, length($fuzzing_key), '', 0); + $fko_obj->spa_data_final($fuzzing_key, ''); my $fuzzing_str = '[+] Bogus user: ' . $fuzzing_test_tag @@ -2986,7 +3363,7 @@ } $fko_obj->spa_message_type(FKO->FKO_ACCESS_MSG); $fko_obj->digest_type(FKO->FKO_DIGEST_SHA256); - $fko_obj->spa_data_final($fuzzing_key, length($fuzzing_key), '', 0); + $fko_obj->spa_data_final($fuzzing_key, ''); my $fuzzing_str = '[+] Bogus access_msg: ' . $fuzzing_test_tag @@ -3019,7 +3396,7 @@ } $fko_obj->spa_message_type(FKO->FKO_NAT_ACCESS_MSG); $fko_obj->digest_type(FKO->FKO_DIGEST_SHA256); - $fko_obj->spa_data_final($fuzzing_key, length($fuzzing_key), '', 0); + $fko_obj->spa_data_final($fuzzing_key, ''); my $fuzzing_str = '[+] Bogus NAT_access_msg: ' . $fuzzing_test_tag @@ -3051,7 +3428,7 @@ } $fko_obj->spa_message_type(FKO->FKO_COMMAND_MSG); $fko_obj->digest_type(FKO->FKO_DIGEST_SHA256); - $fko_obj->spa_data_final($fuzzing_key, length($fuzzing_key), '', 0); + $fko_obj->spa_data_final($fuzzing_key, ''); my $fuzzing_str = '[+] Bogus cmd_msg: ' . $fuzzing_test_tag @@ -3083,7 +3460,7 @@ next TYPE; } $fko_obj->digest_type(FKO->FKO_DIGEST_SHA256); - $fko_obj->spa_data_final($fuzzing_key, length($fuzzing_key), '', 0); + $fko_obj->spa_data_final($fuzzing_key, ''); my $fuzzing_str = '[+] Bogus msg_type: ' . $fuzzing_test_tag @@ -3139,7 +3516,7 @@ } $fko_obj->spa_message_type(FKO->FKO_ACCESS_MSG); $fko_obj->digest_type(FKO->FKO_DIGEST_SHA256); - $fko_obj->spa_data_final($fuzzing_key, length($fuzzing_key), '', 0); + $fko_obj->spa_data_final($fuzzing_key, ''); my $fuzzing_str = '[+] Invalid_encoding user: ' . $fuzzing_test_tag @@ -3171,7 +3548,7 @@ } $fko_obj->spa_message_type(FKO->FKO_ACCESS_MSG); $fko_obj->digest_type(FKO->FKO_DIGEST_SHA256); - $fko_obj->spa_data_final($fuzzing_key, length($fuzzing_key), '', 0); + $fko_obj->spa_data_final($fuzzing_key, ''); my $fuzzing_str = '[+] Invalid_encoding access_msg: ' . $fuzzing_test_tag @@ -3204,7 +3581,7 @@ } $fko_obj->spa_message_type(FKO->FKO_NAT_ACCESS_MSG); $fko_obj->digest_type(FKO->FKO_DIGEST_SHA256); - $fko_obj->spa_data_final($fuzzing_key, length($fuzzing_key), '', 0); + $fko_obj->spa_data_final($fuzzing_key, ''); my $fuzzing_str = '[+] Invalid_encoding NAT_access_msg: ' . $fuzzing_test_tag @@ -3236,7 +3613,7 @@ next CMD; } $fko_obj->digest_type(FKO->FKO_DIGEST_SHA256); - $fko_obj->spa_data_final($fuzzing_key, length($fuzzing_key), '', 0); + $fko_obj->spa_data_final($fuzzing_key, ''); my $fuzzing_str = '[+] Invalid_encoding cmd_msg: ' . $fuzzing_test_tag @@ -3268,7 +3645,7 @@ next TYPE; } $fko_obj->digest_type(FKO->FKO_DIGEST_SHA256); - $fko_obj->spa_data_final($fuzzing_key, length($fuzzing_key), '', 0); + $fko_obj->spa_data_final($fuzzing_key, ''); my $fuzzing_str = '[+] Invalid_encoding msg_type: ' . $fuzzing_test_tag @@ -3317,7 +3694,7 @@ if $test_hr->{'set_legacy_iv'} eq $YES; $fko_obj->spa_data($encrypted_spa_pkt); - my $status = $fko_obj->decrypt_spa_data($fuzzing_key, length($fuzzing_key)); + my $status = $fko_obj->decrypt_spa_data($fuzzing_key); if ($status == FKO->FKO_SUCCESS) { &write_test_file("[-] Accepted fuzzing $field $field_val SPA packet.\n", @@ -3354,7 +3731,7 @@ $fko_obj->spa_message_type(FKO->FKO_ACCESS_MSG); $fko_obj->encryption_mode(FKO->FKO_ENC_MODE_CBC_LEGACY_IV) if $test_hr->{'set_legacy_iv'} eq $YES; - $fko_obj->spa_data_final($default_key, length($default_key), '', 0); + $fko_obj->spa_data_final($default_key, ''); my $spa_pkt = $fko_obj->spa_data(); $fko_obj->destroy(); @@ -4136,6 +4513,31 @@ $cmd_out_tmp, $curr_test_file); } + if ($test_hr->{'iptables_rm_chains_after_server_start'}) { + ### this deletes fwknop chains out from under the running fwknopd + ### instance (tests whether it is able to recover with + ### chain_exists(), etc.) + if ($test_hr->{'fwknopd_cmdline'} + =~ /LD_LIBRARY_PATH=(\S+)\s.*\s\-c\s(\S+)\s\-a\s(\S+)/) { + my $lib_path = $1; + my $fwknopd_conf = $2; + my $access_conf = $3; + &write_test_file("[+] fwknopd iptables policy before flush:\n", + $curr_test_file); + &run_cmd("LD_LIBRARY_PATH=$lib_path $fwknopdCmd -c " . + "$fwknopd_conf -a $access_conf --fw-list", + $cmd_out_tmp, $curr_test_file); + &run_cmd("LD_LIBRARY_PATH=$lib_path $fwknopdCmd -c " . + "$fwknopd_conf -a $access_conf --fw-flush", + $cmd_out_tmp, $curr_test_file); + &write_test_file("[+] fwknopd iptables policy after flush:\n", + $curr_test_file); + &run_cmd("LD_LIBRARY_PATH=$lib_path $fwknopdCmd -c " . + "$fwknopd_conf -a $access_conf --fw-list", + $cmd_out_tmp, $curr_test_file); + } + } + ### send the SPA packet(s) to the server either manually using IO::Socket or ### with the fwknopd client if ($spa_client_flag == $USE_CLIENT) { @@ -4185,10 +4587,7 @@ if (&is_fwknopd_running()) { &stop_fwknopd(); - unless (&file_find_regex([qr/Got\sSIGTERM/], - $MATCH_ALL, $APPEND_RESULTS, $server_test_file)) { - $server_was_stopped = 0; - } + $server_was_stopped = 0 if &is_fwknopd_running(); } else { &write_test_file("[-] server is not running.\n", $curr_test_file); @@ -4289,18 +4688,9 @@ my $file = shift; my $spa_pkt = ''; - - my $found_trigger_line = 0; open F, "< $file" or die "[*] Could not open file $file: $!"; while () { - if (/final\spacked/i) { - $found_trigger_line = 1; - next; - } - next unless $found_trigger_line; - - ### the next line with non whitespace is the SPA packet - if (/(\S+)/) { + if (/Final\sSPA\sData\:\s(\S+)/) { $spa_pkt = $1; last; } @@ -4830,7 +5220,7 @@ sub specs() { - &run_cmd("LD_LIBRARY_PATH=$lib_dir $valgrind_str $fwknopdCmd " . + &run_cmd("$lib_view_str $valgrind_str $fwknopdCmd " . "$default_server_conf_args --fw-list-all", $cmd_out_tmp, $curr_test_file); @@ -4845,10 +5235,10 @@ 'if [ -e /proc/cpuinfo ]; then cat /proc/cpuinfo; fi', 'if [ -e /proc/config.gz ]; then zcat /proc/config.gz; fi', 'if [ `which gpg` ]; then gpg --version; fi', - 'if [ `which tcpdump` ]; then ldd `which tcpdump`; fi', - "ldd $fwknopCmd", - "ldd $fwknopdCmd", - "ldd $libfko_bin", + "if [ `which tcpdump` ]; then $lib_view_cmd `which tcpdump`; fi", + "$lib_view_cmd $fwknopCmd", + "$lib_view_cmd $fwknopdCmd", + "$lib_view_cmd $libfko_bin", 'ls -l /usr/lib/*pcap*', 'ls -l /usr/local/lib/*pcap*', 'ls -l /usr/lib/*fko*', @@ -4856,7 +5246,7 @@ ) { &run_cmd($cmd, $cmd_out_tmp, $curr_test_file); - if ($cmd =~ /^ldd/) { + if ($cmd =~ /^$lib_view_cmd/) { $have_gpgme++ if &file_find_regex([qr/gpgme/], $MATCH_ALL, $APPEND_RESULTS, $cmd_out_tmp); } @@ -5082,59 +5472,31 @@ return; } -sub validate_test_hashes() { +sub validate_test_hash() { + my $test_hr = shift; + my $msg = &get_msg($test_hr); + for my $key (keys %test_keys) { + if ($test_keys{$key} == $REQUIRED) { + die "[*] Missing '$key' element in test hash: '$msg'" + unless defined $test_hr->{$key}; + } elsif ($test_keys{$key} == $OPTIONAL_NUMERIC) { + $test_hr->{$key} = 0 unless defined $test_hr->{$key}; + } else { + $test_hr->{$key} = '' unless defined $test_hr->{$key}; + } + } + for my $key (keys %$test_hr) { + die "[*] Unrecognized key '$key' in test hash: '$msg'" + unless defined $test_keys{$key}; + } + return; +} - my %test_keys = ( - 'category' => $REQUIRED, - 'subcategory' => $OPTIONAL, - 'detail' => $REQUIRED, - 'function' => $REQUIRED, - 'binary' => $OPTIONAL, - 'cmdline' => $OPTIONAL, - 'fwknopd_cmdline' => $OPTIONAL, - 'fatal' => $OPTIONAL, - 'key_file' => $OPTIONAL, - 'exec_err' => $OPTIONAL, - 'server_exec_err' => $OPTIONAL, - 'fw_rule_created' => $OPTIONAL, - 'fw_rule_removed' => $OPTIONAL, - 'server_conf' => $OPTIONAL, - 'pkt' => $OPTIONAL, - 'fuzzing_pkt' => $OPTIONAL, - 'pkt_prefix' => $OPTIONAL, - 'no_ip_check' => $OPTIONAL, - 'get_key' => $OPTIONAL, - 'get_hmac_key' => $OPTIONAL, - 'set_legacy_iv' => $OPTIONAL, - 'write_rc_file' => $OPTIONAL, - 'save_rc_stanza' => $OPTIONAL, - 'positive_output_matches' => $OPTIONAL, - 'negative_output_matches' => $OPTIONAL, - 'insert_rule_before_exec' => $OPTIONAL, - 'insert_rule_while_running' => $OPTIONAL, - 'search_for_rule_after_exit' => $OPTIONAL, - 'rc_positive_output_matches' => $OPTIONAL, - 'rc_negative_output_matches' => $OPTIONAL, - 'mv_and_restore_replay_cache' => $OPTIONAL, - 'server_positive_output_matches' => $OPTIONAL, - 'server_negative_output_matches' => $OPTIONAL, - ); +sub validate_test_hashes() { ### validate test hashes for my $test_hr (@tests) { - my $msg = &get_msg($test_hr); - for my $key (keys %test_keys) { - if ($test_keys{$key} == $REQUIRED) { - die "[*] Missing '$key' element in test hash: '$msg'" - unless defined $test_hr->{$key}; - } else { - $test_hr->{$key} = '' unless defined $test_hr->{$key}; - } - } - for my $key (keys %$test_hr) { - die "[*] Unrecognized key '$key' in test hash: '$msg'" - unless defined $test_keys{$key}; - } + &validate_test_hash($test_hr); } ### make sure test message strings are unique across all tests @@ -5165,6 +5527,32 @@ } } + ### for fwknop/fwknopd commands, prepend LD_LIBRARY_PATH and valgrind args + for my $test_hr (@tests) { + next if $test_hr->{'disable_valgrind'} eq $YES; + if ($test_hr->{'cmdline'} =~ /^$fwknopCmd/) { + my $str = $lib_view_str; + unless ($test_hr->{'disable_valgrind'} eq $YES) { + $str .= " $valgrind_str"; + } + $test_hr->{'cmdline'} = "$str $test_hr->{'cmdline'}"; + } elsif ($test_hr->{'cmdline'} =~ /LD_LIBRARY_PATH/) { + if ($lib_view_cmd =~ /otool/) { + if ($test_hr->{'cmdline'} !~ /DYLD_LIBRARY_PATH/) { + $test_hr->{'cmdline'} + =~ s/(LD_LIBRARY_PATH=\S+)/$1 DYLD_LIBRARY_PATH=$lib_dir/; + } + } + } + if ($test_hr->{'fwknopd_cmdline'} =~ /^$fwknopdCmd/) { + my $str = $lib_view_str; + unless ($test_hr->{'disable_valgrind'} eq $YES) { + $str .= " $valgrind_str"; + } + $test_hr->{'fwknopd_cmdline'} = "$str $test_hr->{'fwknopd_cmdline'}"; + } + } + return; } @@ -5188,6 +5576,8 @@ chmod 0600, $cf{$name} or die "[*] Could not chmod 0600 $cf{$name}"; } + unlink $init_file if -e $init_file; + if ($test_include) { for my $re (split /\s*,\s*/, $test_include) { push @tests_to_include, qr/$re/; @@ -5221,6 +5611,7 @@ unless ($valgrind_path) { print "[-] --enable-valgrind mode requested ", "but valgrind not found, disabling.\n"; + push @tests_to_exclude, qr/$cpan_valgrind_mod/; $enable_valgrind = 0; } } @@ -5253,6 +5644,16 @@ } } close F; + + ### check to see if the Test::Valgrind module is installed + if ($enable_valgrind and $valgrind_path) { + unless (&run_cmd("perl -e 'use $cpan_valgrind_mod'", + $cmd_out_tmp, $curr_test_file) and &find_command('prove')) { + push @tests_to_exclude, qr/$cpan_valgrind_mod/; + } + } else { + push @tests_to_exclude, qr/$cpan_valgrind_mod/; + } } else { push @tests_to_exclude, qr/perl FKO module/; } @@ -5283,6 +5684,18 @@ $sudo_path = &find_command('sudo') unless $sudo_path; $killall_path = &find_command('killall') unless $killall_path; $pgrep_path = &find_command('pgrep') unless $pgrep_path; + $lib_view_cmd = &find_command('ldd') unless $lib_view_cmd; + + ### On Mac OS X look for otool instead of ldd + unless ($lib_view_cmd) { + $lib_view_cmd = &find_command('otool'); + if ($lib_view_cmd) { + $lib_view_str .= " DYLD_LIBRARY_PATH=$lib_dir"; + $lib_view_cmd .= ' -L'; + } else { + $lib_view_cmd = '#'; ### comment out subsequent shell commands + } + } unless ((&find_command('cc') or &find_command('gcc')) and &find_command('make')) { ### disable compilation checks @@ -5316,6 +5729,7 @@ unless ($platform eq $LINUX) { push @tests_to_exclude, qr/NAT/; + push @tests_to_exclude, qr/MASQ/; push @tests_to_exclude, qr/iptables/; } unless ($platform eq $FREEBSD or $platform eq $MACOSX) { @@ -5553,6 +5967,69 @@ return $rv; } +sub compile_execute_fko_wrapper_no_valgrind() { + my $rv = 1; + + unless (-d $fko_wrapper_dir) { + &write_test_file("[-] fko wrapper directory " . + "$fko_wrapper_dir does not exist.\n", $curr_test_file); + return 0; + } + + chdir $fko_wrapper_dir or die $!; + + ### 'make clean' as root + unless (&run_cmd('make clean', "../$cmd_out_tmp", + "../$curr_test_file")) { + chdir '..' or die $!; + return 0; + } + + if ($sudo_path) { + my $username = getpwuid((stat("../$test_suite_path"))[4]); + die "[*] Could not determine ../$test_suite_path owner" + unless $username; + + unless (&run_cmd("$sudo_path -u $username make", + "../$cmd_out_tmp", "../$curr_test_file")) { + unless (&run_cmd('make', "../$cmd_out_tmp", + "../$curr_test_file")) { + chdir '..' or die $!; + return 0; + } + } + + } else { + + unless (&run_cmd('make', "../$cmd_out_tmp", + "../$curr_test_file")) { + chdir '..' or die $!; + return 0; + } + } + + unless (-e 'fko_wrapper' and -e 'run_no_valgrind.sh') { + &write_test_file("[-] fko_wrapper or run_valgrind.sh does not exist.\n", + "../$curr_test_file"); + chdir '..' or die $!; + return 0; + } + + &run_cmd('./run_no_valgrind.sh', + "../$cmd_out_tmp", "../$curr_test_file"); + + chdir '..' or die $!; + + if (&file_find_regex([qr/segmentation\sfault/i, qr/core\sdumped/i], + $MATCH_ANY, $NO_APPEND_RESULTS, $curr_test_file)) { + &write_test_file("[-] crash message found in: $curr_test_file\n", + $curr_test_file); + $rv = 0; + } + + return $rv; +} + sub parse_valgrind_flagged_functions() { my $rv = 1; @@ -5584,6 +6061,7 @@ my %file_scope_flagged_fcns = (); my %file_scope_flagged_fcns_unique = (); my $test_title = ''; + my $is_prove_output = 0; open F, "< $file" or die $!; while () { @@ -5593,9 +6071,18 @@ $valgrind_flagged_fcns_unique{$type}{$1}++; $file_scope_flagged_fcns{"$1 $2"}++; $file_scope_flagged_fcns_unique{$1}++; + } elsif ($is_prove_output) { + ### fko_decrypt_spa_data (/home/mbr/git/fwknop.git/lib/.libs/libfko.so.2.0.0) [fko_encryption.c:264] + if (/\s(\S+)\s\(.*\/libfko\.so\..*?\)\s(.*)/) { + $valgrind_flagged_fcns{$type}{"$1 $2"}++; + $valgrind_flagged_fcns_unique{$type}{$1}++; + $file_scope_flagged_fcns{"$1 $2"}++; + $file_scope_flagged_fcns_unique{$1}++; + } } elsif (/TEST\:\s/) { $test_title = $_; chomp $test_title; + $is_prove_output = 1 if $test_title =~ /Test\:\:Valgrind/; last if $test_title =~ /valgrind\soutput/; } } @@ -5703,11 +6190,11 @@ } if ($test_hr->{'no_ip_check'}) { - return 1 if &run_cmd("LD_LIBRARY_PATH=$lib_dir $fwknopdCmd " . + return 1 if &run_cmd("$lib_view_str $fwknopdCmd " . qq{$conf_args --fw-list | grep -v "# DISABLED" |grep _exp_}, $cmd_out_tmp, $curr_test_file); } else { - return 1 if &run_cmd("LD_LIBRARY_PATH=$lib_dir $fwknopdCmd " . + return 1 if &run_cmd("$lib_view_str $fwknopdCmd " . qq{$conf_args --fw-list | grep -v "# DISABLED" |grep $fake_ip |grep _exp_}, $cmd_out_tmp, $curr_test_file); } @@ -5717,7 +6204,7 @@ sub is_fwknopd_running() { - &run_cmd("LD_LIBRARY_PATH=$lib_dir $fwknopdCmd $default_server_conf_args " . + &run_cmd("$lib_view_str $fwknopdCmd $default_server_conf_args " . "--status", $cmd_out_tmp, $curr_test_file); return 1 if &file_find_regex([qr/Detected\sfwknopd\sis\srunning/i], @@ -5739,31 +6226,31 @@ return; } - &run_cmd("LD_LIBRARY_PATH=$lib_dir $fwknopdCmd " . + &run_cmd("$lib_view_str $fwknopdCmd " . "$default_server_conf_args -K", $cmd_out_tmp, $curr_test_file); - ### look for SIGTERM receipt - my $tries = 0; - if (&file_find_regex( + ### look for fwknopd to be stopped + my $tries = 1; + if (not &is_pid_running($default_pid_file) + and &file_find_regex( [qr/Got\sSIGTERM/], $MATCH_ALL, $NO_APPEND_RESULTS, $server_cmd_tmp)) { &write_test_file("[+] stop_fwknopd() fwknopd received SIGTERM\n", $curr_test_file); } else { - while (not &file_find_regex( - [qr/Got\sSIGTERM/], - $MATCH_ALL, $NO_APPEND_RESULTS, $server_cmd_tmp)) { - - &run_cmd("LD_LIBRARY_PATH=$lib_dir $fwknopdCmd " . - "$default_server_conf_args -K", $cmd_out_tmp, $curr_test_file); - - &write_test_file("[.] stop_fwknopd() looking for fwknopd receiving " . - "SIGTERM, try: $tries\n", + if (&is_pid_running($default_pid_file)) { + while (&is_pid_running($default_pid_file)) { + &write_test_file("[-] stop_fwknopd() " . + "fwknopd still running, try: $tries\n", $curr_test_file); + &run_cmd("$lib_view_str $fwknopdCmd $default_server_conf_args -K", + $cmd_out_tmp, $curr_test_file); + $tries++; + last if $tries == 10; ### should be plenty of tries + sleep 1; + } + } else { + &write_test_file("[-] stop_fwknopd() fwknopd stopped with SIGKILL\n", $curr_test_file); - last unless &is_pid_running($default_pid_file); - $tries++; - last if $tries == 10; ### should be plenty of time - sleep 1; } } @@ -5776,7 +6263,7 @@ if (-e $default_pid_file) { ### don't manually send signal immediately after ### fwknopd wrote 'Got SIGTERM' - sleep 1 if $tries == 0; + sleep 1 if $tries == 1; my $sig_tries = 0; while (&is_pid_running($default_pid_file)) { &write_test_file("[.] Manually sending pid: $pid SIGTERM.\n", @@ -5934,17 +6421,15 @@ -A --Anonymize-results - Prepare anonymized results at: $tarfile - --diff - Compare the results of one test run to - another. By default this compares output - in ${output_dir}.last to $output_dir - --diff-dir1= - Left hand side of diff directory path, - default is: ${output_dir}.last - --diff-dir2= - Right hand side of diff directory path, - default is: $output_dir - --include= - Specify a regex to be used over test - names that must match. - --exclude= - Specify a regex to be used over test - names that must not match. + --enable-all - Enable tests that aren't enabled by + default. This also enables running all + tests under valgrind, so if you need + fast results this can be disabled by also + specifying --disable-valgrind. + --enable-dist-check - Test 'make dist' run. + --enable-profile-coverage - Generate profile coverage stats with an + emphasis on finding functions that the + test suite does not call. --enable-recompile - Recompile fwknop sources and look for compilation warnings. --enable-valgrind - Run every test underneath valgrind. @@ -5963,10 +6448,21 @@ $fuzzing_pkts_file --enable-openssl-checks - Enable tests to verify that Rijndael cipher usage is compatible with openssl. - --enable-all - Enable tests that aren't enabled by - default, except that --enable-valgrind - must also be set if valgrind mode is - desired. + --gdb-test - Run the same command a previous test suite + execution through gdb by specifying the + output/ test file. + --test-limit - Limit the number of executed tests. + --diff - Compare the results of one test run to + another. By default this compares output + in ${output_dir}.last to $output_dir + --diff-dir1= - Left hand side of diff directory path, + default is: ${output_dir}.last + --diff-dir2= - Right hand side of diff directory path, + default is: $output_dir + --include= - Specify a regex to be used over test + names that must match. + --exclude= - Specify a regex to be used over test + names that must not match. --fuzzing-pkts-file - Specify path to fuzzing packet file. --fuzzing-pkts-append - When generating new fuzzing packets, append them to the fuzzing packets file. @@ -5981,12 +6477,15 @@ $fwknopCmd --fwknopd-path= - Path to fwknopd binary, default is: $fwknopdCmd - --libfko-path= - Path to libfko, default is: - $libfko_bin + --lib-dir= - For LD_LIBRARY_PATH, default is: + $lib_dir --valgrind-path= - Specify path to valgrind --valgrind-prev-cov-dir= - Path to previous valgrind-coverage directory (defaults to: "output.last/valgrind-coverage"). + --cmd-verbose= - Set the verbosity level of executed fwknop + commands, default is: + $verbose_str -h --help - Display usage on STDOUT and exit. _HELP_ diff -Nru fwknop-2.5.1/test/tests/basic_operations.pl fwknop-2.6.0/test/tests/basic_operations.pl --- fwknop-2.5.1/test/tests/basic_operations.pl 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/test/tests/basic_operations.pl 2014-01-13 03:29:04.000000000 +0000 @@ -5,10 +5,8 @@ 'function' => \&generic_exec, 'positive_output_matches' => [qr/SYSLOG_IDENTITY/], 'exec_err' => $NO, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} " . + 'cmdline' => "$fwknopdCmd -c $cf{'def'} " . "-a $cf{'def_access'} --dump-config", - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -16,10 +14,8 @@ 'function' => \&generic_exec, 'positive_output_matches' => [qr/ENABLE_PCAP_PROMISC.*\'Y\'/], 'exec_err' => $NO, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args " . + 'cmdline' => "$fwknopdCmd $default_server_conf_args " . "-O $conf_dir/override_fwknopd.conf --dump-config", - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -28,9 +24,7 @@ 'function' => \&generic_exec, 'positive_output_matches' => [qr/Could\snot|Last\sfwknop/i], 'exec_err' => $IGNORE, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd --show-last", - 'fatal' => $NO + 'cmdline' => "$fwknopCmd --show-last", }, { 'category' => 'basic operations', @@ -39,8 +33,7 @@ 'function' => \&generic_exec, 'positive_output_matches' => [qr/could\snot\sopen/i], 'exec_err' => $YES, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A tcp/22 -a $fake_ip " . + 'cmdline' => "$fwknopCmd -A tcp/22 -a $fake_ip " . "-D $loopback_ip --get-key not/there", 'fatal' => $YES }, @@ -51,20 +44,16 @@ 'function' => \&generic_exec, 'positive_output_matches' => [qr/must\suse\sone\sof/i], 'exec_err' => $YES, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -D $loopback_ip", - 'fatal' => $NO + 'cmdline' => "$fwknopCmd -D $loopback_ip", }, { 'category' => 'basic operations', 'subcategory' => 'client', 'detail' => '--allow-ip valid IP', 'function' => \&generic_exec, - 'positive_output_matches' => [qr/Invalid\sallow\sIP\saddress/i], + 'positive_output_matches' => [qr/Invalid\sallow\sIP/i], 'exec_err' => $YES, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A tcp/22 -a invalidIP -D $loopback_ip", - 'fatal' => $NO + 'cmdline' => "$fwknopCmd -A tcp/22 -a invalidIP -D $loopback_ip", }, { 'category' => 'basic operations', @@ -73,9 +62,7 @@ 'function' => \&generic_exec, 'positive_output_matches' => [qr/Invalid\sSPA\saccess\smessage/i], 'exec_err' => $YES, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A invalid/22 -a $fake_ip -D $loopback_ip", - 'fatal' => $NO + 'cmdline' => "$fwknopCmd -A invalid/22 -a $fake_ip -D $loopback_ip", }, { 'category' => 'basic operations', @@ -84,9 +71,7 @@ 'function' => \&generic_exec, 'positive_output_matches' => [qr/Invalid\sSPA\saccess\smessage/i], 'exec_err' => $YES, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A tcp/600001 -a $fake_ip -D $loopback_ip", - 'fatal' => $NO + 'cmdline' => "$fwknopCmd -A tcp/600001 -a $fake_ip -D $loopback_ip", }, { @@ -108,7 +93,6 @@ 'write_rc_file' => [{'name' => 'default', 'vars' => {'KEY' => 'testtest', 'DIGEST_TYPE' => 'MD5'}}], 'positive_output_matches' => [qr/Digest\sType\:\s.*MD5/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -119,7 +103,6 @@ 'write_rc_file' => [{'name' => 'default', 'vars' => {'KEY' => 'testtest', 'DIGEST_TYPE' => 'SHA1'}}], 'positive_output_matches' => [qr/Digest\sType\:\s.*SHA1/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -130,7 +113,6 @@ 'write_rc_file' => [{'name' => 'default', 'vars' => {'KEY' => 'testtest', 'DIGEST_TYPE' => 'SHA256'}}], 'positive_output_matches' => [qr/Digest\sType\:\s.*SHA256/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -141,7 +123,6 @@ 'write_rc_file' => [{'name' => 'default', 'vars' => {'KEY' => 'testtest', 'DIGEST_TYPE' => 'SHA384'}}], 'positive_output_matches' => [qr/Digest\sType\:\s.*SHA384/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -152,7 +133,6 @@ 'write_rc_file' => [{'name' => 'default', 'vars' => {'KEY' => 'testtest', 'DIGEST_TYPE' => 'SHA512'}}], 'positive_output_matches' => [qr/Digest\sType\:\s.*SHA512/], - 'fatal' => $NO }, ### rc tests: spa server proto { @@ -164,7 +144,6 @@ 'write_rc_file' => [{'name' => 'default', 'vars' => {'KEY' => 'testtest', 'SPA_SERVER_PROTO' => 'UDP'}}], 'positive_output_matches' => [qr/protocol:\sudp/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -175,7 +154,6 @@ 'write_rc_file' => [{'name' => 'default', 'vars' => {'KEY' => 'testtest', 'SPA_SERVER_PROTO' => 'TCP'}}], 'positive_output_matches' => [qr/protocol:\stcp/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -186,7 +164,6 @@ 'write_rc_file' => [{'name' => 'default', 'vars' => {'KEY' => 'testtest', 'SPA_SERVER_PROTO' => 'HTTP'}}], 'positive_output_matches' => [qr/protocol:\shttp/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -197,7 +174,6 @@ 'write_rc_file' => [{'name' => 'default', 'vars' => {'KEY' => 'testtest', 'SPA_SERVER_PROTO' => 'TCPRAW'}}], 'positive_output_matches' => [qr/protocol:\stcpraw/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -208,7 +184,6 @@ 'write_rc_file' => [{'name' => 'default', 'vars' => {'KEY' => 'testtest', 'SPA_SERVER_PROTO' => 'ICMP'}}], 'positive_output_matches' => [qr/protocol:\sicmp/], - 'fatal' => $NO }, ### rc tests: spa server port { @@ -220,7 +195,6 @@ 'write_rc_file' => [{'name' => 'default', 'vars' => {'KEY' => 'testtest', 'SPA_SERVER_PORT' => '65421'}}], 'positive_output_matches' => [qr/destination\sport:\s65421/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -231,7 +205,6 @@ 'write_rc_file' => [{'name' => 'default', 'vars' => {'KEY' => 'testtest', 'SPA_SERVER_PORT' => '22'}}], 'positive_output_matches' => [qr/destination\sport:\s22/], - 'fatal' => $NO }, ### rc tests: spa source port { @@ -243,7 +216,6 @@ 'write_rc_file' => [{'name' => 'default', 'vars' => {'KEY' => 'testtest', 'SPA_SOURCE_PORT' => '65421'}}], 'positive_output_matches' => [qr/source\sport:\s65421/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -254,7 +226,6 @@ 'write_rc_file' => [{'name' => 'default', 'vars' => {'KEY' => 'testtest', 'SPA_SOURCE_PORT' => '22'}}], 'positive_output_matches' => [qr/source\sport:\s22/], - 'fatal' => $NO }, ### rc tests: firewall timeout { @@ -266,7 +237,6 @@ 'write_rc_file' => [{'name' => 'default', 'vars' => {'KEY' => 'testtest', 'FW_TIMEOUT' => '1234'}}], 'positive_output_matches' => [qr/Client\sTimeout:\s1234/], - 'fatal' => $NO }, ### rc tests: hmac digest { @@ -279,7 +249,6 @@ 'vars' => {'KEY' => 'testtest', 'HMAC_KEY' => 'hmactest', 'HMAC_DIGEST_TYPE' => 'MD5'}}], 'positive_output_matches' => [qr/HMAC\sType\:\s.*MD5/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -291,7 +260,6 @@ 'vars' => {'KEY' => 'testtest', 'HMAC_KEY' => 'hmactest', 'HMAC_DIGEST_TYPE' => 'SHA1'}}], 'positive_output_matches' => [qr/HMAC\sType\:\s.*SHA1/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -303,7 +271,6 @@ 'vars' => {'KEY' => 'testtest', 'HMAC_KEY' => 'hmactest', 'HMAC_DIGEST_TYPE' => 'SHA256'}}], 'positive_output_matches' => [qr/HMAC\sType\:\s.*SHA256/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -315,7 +282,6 @@ 'vars' => {'KEY' => 'testtest', 'HMAC_KEY' => 'hmactest', 'HMAC_DIGEST_TYPE' => 'SHA384'}}], 'positive_output_matches' => [qr/HMAC\sType\:\s.*SHA384/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -327,7 +293,6 @@ 'vars' => {'KEY' => 'testtest', 'HMAC_KEY' => 'hmactest', 'HMAC_DIGEST_TYPE' => 'SHA512'}}], 'positive_output_matches' => [qr/HMAC\sType\:\s.*SHA512/], - 'fatal' => $NO }, ### rc file saving --save-rc-stanza { @@ -340,7 +305,6 @@ 'vars' => {'KEY' => 'testtest', 'DIGEST_TYPE' => 'SHA1'}}], 'positive_output_matches' => [qr/Digest\sType\:\s.*MD5/], 'rc_positive_output_matches' => [qr/DIGEST_TYPE.*MD5/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -352,7 +316,6 @@ 'vars' => {'KEY' => 'testtest', 'DIGEST_TYPE' => 'MD5'}}], 'positive_output_matches' => [qr/Digest\sType\:\s.*SHA1/], 'rc_positive_output_matches' => [qr/DIGEST_TYPE.*SHA1/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -364,7 +327,6 @@ 'vars' => {'KEY' => 'testtest', 'DIGEST_TYPE' => 'MD5'}}], 'positive_output_matches' => [qr/Digest\sType\:\s.*SHA256/], 'rc_positive_output_matches' => [qr/DIGEST_TYPE.*SHA256/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -376,7 +338,6 @@ 'vars' => {'KEY' => 'testtest', 'DIGEST_TYPE' => 'MD5'}}], 'positive_output_matches' => [qr/Digest\sType\:\s.*SHA384/], 'rc_positive_output_matches' => [qr/DIGEST_TYPE.*SHA384/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -388,7 +349,6 @@ 'vars' => {'KEY' => 'testtest', 'DIGEST_TYPE' => 'MD5'}}], 'positive_output_matches' => [qr/Digest\sType\:\s.*SHA512/], 'rc_positive_output_matches' => [qr/DIGEST_TYPE.*SHA512/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -401,7 +361,6 @@ 'HMAC_DIGEST_TYPE' => 'SHA1'}}], 'positive_output_matches' => [qr/HMAC\sType\:\s.*MD5/], 'rc_positive_output_matches' => [qr/HMAC_DIGEST_TYPE.*MD5/, qw/USE_HMAC.*Y/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -414,7 +373,6 @@ 'HMAC_DIGEST_TYPE' => 'MD5'}}], 'positive_output_matches' => [qr/HMAC\sType\:\s.*SHA1/], 'rc_positive_output_matches' => [qr/HMAC_DIGEST_TYPE.*SHA1/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -427,7 +385,6 @@ 'HMAC_DIGEST_TYPE' => 'MD5'}}], 'positive_output_matches' => [qr/HMAC\sType\:\s.*SHA256/], 'rc_positive_output_matches' => [qr/HMAC_DIGEST_TYPE.*SHA256/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -440,7 +397,6 @@ 'HMAC_DIGEST_TYPE' => 'MD5'}}], 'positive_output_matches' => [qr/HMAC\sType\:\s.*SHA384/], 'rc_positive_output_matches' => [qr/HMAC_DIGEST_TYPE.*SHA384/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -453,7 +409,6 @@ 'HMAC_DIGEST_TYPE' => 'MD5'}}], 'positive_output_matches' => [qr/HMAC\sType\:\s.*SHA512/], 'rc_positive_output_matches' => [qr/HMAC_DIGEST_TYPE.*SHA512/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -466,7 +421,6 @@ 'positive_output_matches' => [qr/protocol:\sudp/], 'rc_positive_output_matches' => [qr/SPA_SERVER_PROTO.*udp/], 'rc_negative_output_matches' => [qr/USE_HMAC/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -479,7 +433,6 @@ 'positive_output_matches' => [qr/protocol:\stcp/], 'rc_positive_output_matches' => [qr/SPA_SERVER_PROTO.*tcp/], 'rc_negative_output_matches' => [qr/USE_HMAC/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -492,7 +445,6 @@ 'positive_output_matches' => [qr/protocol:\shttp/], 'rc_positive_output_matches' => [qr/SPA_SERVER_PROTO.*http/], 'rc_negative_output_matches' => [qr/USE_HMAC/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -505,7 +457,6 @@ 'positive_output_matches' => [qr/protocol:\stcpraw/], 'rc_positive_output_matches' => [qr/SPA_SERVER_PROTO.*tcpraw/], 'rc_negative_output_matches' => [qr/USE_HMAC/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -518,7 +469,6 @@ 'positive_output_matches' => [qr/protocol:\sicmp/], 'rc_positive_output_matches' => [qr/SPA_SERVER_PROTO.*icmp/], 'rc_negative_output_matches' => [qr/USE_HMAC/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -531,7 +481,6 @@ 'positive_output_matches' => [qr/source\sport:\s65421/], 'rc_positive_output_matches' => [qr/SPA_SOURCE_PORT.*65421/], 'rc_negative_output_matches' => [qr/USE_HMAC/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -544,7 +493,6 @@ 'positive_output_matches' => [qr/destination\sport:\s65421/], 'rc_positive_output_matches' => [qr/SPA_SERVER_PORT.*65421/], 'rc_negative_output_matches' => [qr/USE_HMAC/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -557,7 +505,6 @@ 'positive_output_matches' => [qr/Client\sTimeout:\s1234/], 'rc_positive_output_matches' => [qr/FW_TIMEOUT.*1234/], 'rc_negative_output_matches' => [qr/USE_HMAC/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -569,20 +516,18 @@ 'vars' => {'KEY' => 'testtest', 'FW_TIMEOUT' => '30'}}], 'positive_output_matches' => [qr/Client\sTimeout:\s1234/], 'rc_positive_output_matches' => [qr/VERBOSE.*Y/], - 'fatal' => $NO }, { 'category' => 'basic operations', 'subcategory' => 'client save rc file', - 'detail' => '--verbose --verbose', + 'detail' => $verbose_str, 'function' => \&client_rc_file, 'cmdline' => "$client_save_rc_args_no_verbose -n default " . - "--fw-timeout 1234 --verbose --verbose", + "--fw-timeout 1234 $verbose_str", 'save_rc_stanza' => [{'name' => 'default', 'vars' => {'KEY' => 'testtest', 'FW_TIMEOUT' => '30'}}], 'positive_output_matches' => [qr/Client\sTimeout:\s1234/], 'rc_positive_output_matches' => [qr/VERBOSE.*2/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -595,7 +540,6 @@ 'vars' => {'KEY' => 'testtest', 'FW_TIMEOUT' => '30'}}], 'positive_output_matches' => [qr/Client\sTimeout:\s1234/], 'rc_negative_output_matches' => [qr/VERBOSE/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -603,13 +547,12 @@ 'detail' => '--use-hmac --key-gen', 'function' => \&client_rc_file, 'cmdline' => "$client_save_rc_args_no_verbose -n default " . - "--fw-timeout 1234 --verbose --use-hmac --key-gen", + "--fw-timeout 1234 $verbose_str --use-hmac --key-gen", 'save_rc_stanza' => [{'name' => 'default', 'vars' => {'KEY' => 'testtest', 'FW_TIMEOUT' => '30'}}], 'positive_output_matches' => [qr/Wrote.*HMAC.*keys/], - 'rc_positive_output_matches' => [qr/VERBOSE.*Y/, + 'rc_positive_output_matches' => [qr/VERBOSE.*(Y|\d)/, qr/USE_HMAC.*Y/, qr/KEY_BASE64/, qr/HMAC_KEY_BASE64/], - 'fatal' => $NO }, { @@ -617,27 +560,21 @@ 'subcategory' => 'server', 'detail' => 'list current fwknopd fw rules', 'function' => \&generic_exec, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args --fw-list", - 'fatal' => $NO + 'cmdline' => "$fwknopdCmd $default_server_conf_args --fw-list", }, { 'category' => 'basic operations', 'subcategory' => 'server', 'detail' => 'list all current fw rules', 'function' => \&generic_exec, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args --fw-list-all", - 'fatal' => $NO + 'cmdline' => "$fwknopdCmd $default_server_conf_args --fw-list-all", }, { 'category' => 'basic operations', 'subcategory' => 'server', 'detail' => 'flush current firewall rules', 'function' => \&generic_exec, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args --fw-flush", - 'fatal' => $NO + 'cmdline' => "$fwknopdCmd $default_server_conf_args --fw-flush", }, { @@ -645,27 +582,21 @@ 'subcategory' => 'server', 'detail' => 'start', 'function' => \&server_start, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", - 'fatal' => $NO + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", }, { 'category' => 'basic operations', 'subcategory' => 'server', 'detail' => 'stop', 'function' => \&server_stop, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", - 'fatal' => $NO + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", }, { 'category' => 'basic operations', 'subcategory' => 'server', 'detail' => 'write PID', 'function' => \&write_pid, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", - 'fatal' => $NO + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", }, { @@ -673,18 +604,14 @@ 'subcategory' => 'server', 'detail' => '--packet-limit 1 exit', 'function' => \&server_packet_limit, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args --packet-limit 1 $intf_str", - 'fatal' => $NO + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args --packet-limit 1 $intf_str", }, { 'category' => 'basic operations', 'subcategory' => 'server', 'detail' => 'ignore packets < min SPA len (140)', 'function' => \&server_ignore_small_packets, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args --packet-limit 1 $intf_str", - 'fatal' => $NO + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args --packet-limit 1 $intf_str", }, { 'category' => 'basic operations', @@ -692,19 +619,77 @@ 'detail' => '-P bpf filter ignore packet', 'function' => \&server_bpf_ignore_packet, 'cmdline' => $default_client_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args --packet-limit 1 $intf_str " . + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args --packet-limit 1 $intf_str " . qq|-P "udp port $non_std_spa_port"|, - 'fatal' => $NO }, { 'category' => 'basic operations', + 'subcategory' => 'server', + 'detail' => 'invalid iptables INPUT spec', + 'function' => \&generic_exec, + 'cmdline' => "$fwknopdCmd -c $cf{'invalid_ipt_input_chain'} -a $cf{'def_access'} " . + "-d $default_digest_file -p $default_pid_file $intf_str", + 'function' => \&generic_exec, + 'exec_err' => $YES, + }, + { + 'category' => 'basic operations', + 'subcategory' => 'server', + 'detail' => 'invalid iptables INPUT spec (2)', + 'function' => \&generic_exec, + 'cmdline' => "$fwknopdCmd -c $cf{'invalid_ipt_input_chain2'} -a $cf{'def_access'} " . + "-d $default_digest_file -p $default_pid_file $intf_str", + 'function' => \&generic_exec, + 'exec_err' => $YES, + }, + { + 'category' => 'basic operations', + 'subcategory' => 'server', + 'detail' => 'invalid iptables INPUT spec (3)', + 'function' => \&generic_exec, + 'cmdline' => "$fwknopdCmd -c $cf{'invalid_ipt_input_chain3'} -a $cf{'def_access'} " . + "-d $default_digest_file -p $default_pid_file $intf_str", + 'function' => \&generic_exec, + 'exec_err' => $YES, + }, + { + 'category' => 'basic operations', + 'subcategory' => 'server', + 'detail' => 'invalid iptables INPUT spec (4)', + 'function' => \&generic_exec, + 'cmdline' => "$fwknopdCmd -c $cf{'invalid_ipt_input_chain4'} -a $cf{'def_access'} " . + "-d $default_digest_file -p $default_pid_file $intf_str", + 'function' => \&generic_exec, + 'exec_err' => $YES, + }, + { + 'category' => 'basic operations', + 'subcategory' => 'server', + 'detail' => 'invalid iptables INPUT spec (5)', + 'function' => \&generic_exec, + 'cmdline' => "$fwknopdCmd -c $cf{'invalid_ipt_input_chain5'} -a $cf{'def_access'} " . + "-d $default_digest_file -p $default_pid_file $intf_str", + 'function' => \&generic_exec, + 'exec_err' => $YES, + }, + { + 'category' => 'basic operations', + 'subcategory' => 'server', + 'detail' => 'invalid iptables INPUT spec (6)', + 'function' => \&generic_exec, + 'cmdline' => "$fwknopdCmd -c $cf{'invalid_ipt_input_chain6'} -a $cf{'def_access'} " . + "-d $default_digest_file -p $default_pid_file $intf_str", + 'function' => \&generic_exec, + 'exec_err' => $YES, + }, + + { + 'category' => 'basic operations', 'subcategory' => 'client', 'detail' => 'encryption mode CBC', 'function' => \&generic_exec, 'cmdline' => $default_client_args . " --test --encryption-mode CBC", 'positive_output_matches' => [qr/Encryption\sMode\:\s.*CBC/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -713,7 +698,6 @@ 'function' => \&generic_exec, 'cmdline' => $default_client_args . " --test --encryption-mode ECB", 'positive_output_matches' => [qr/Encryption\sMode\:\s.*ECB/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -722,7 +706,6 @@ 'function' => \&generic_exec, 'cmdline' => $default_client_args . " --test --encryption-mode CFB", 'positive_output_matches' => [qr/Encryption\sMode\:\s.*CFB/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -731,7 +714,6 @@ 'function' => \&generic_exec, 'cmdline' => $default_client_args . " --test --encryption-mode PCBC", 'positive_output_matches' => [qr/Invalid\sencryption\smode:\sPCBC/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -740,7 +722,6 @@ 'function' => \&generic_exec, 'cmdline' => $default_client_args . " --test --encryption-mode OFB", 'positive_output_matches' => [qr/Encryption\sMode\:\s.*OFB/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -749,7 +730,6 @@ 'function' => \&generic_exec, 'cmdline' => $default_client_args . " --test --encryption-mode CTR", 'positive_output_matches' => [qr/Encryption\sMode\:\s.*CTR/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -758,7 +738,6 @@ 'function' => \&generic_exec, 'cmdline' => $default_client_args . " --test --encryption-mode \"Asymmetric\"", 'positive_output_matches' => [qr/Must\sspecify\sGPG\srecipient/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -767,7 +746,6 @@ 'function' => \&generic_exec, 'cmdline' => $default_client_args . " --test --encryption-mode legacy", 'positive_output_matches' => [qr/Encryption\sMode\:\s.*legacy/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -776,7 +754,6 @@ 'function' => \&generic_exec, 'cmdline' => $default_client_args . " --test --encryption-mode badmode", 'positive_output_matches' => [qr/Invalid\sencryption\smode:\sbadmode/], - 'fatal' => $NO }, { 'category' => 'basic operations', @@ -785,6 +762,14 @@ 'function' => \&generic_exec, 'cmdline' => $default_client_args . " --test --fd -1", 'positive_output_matches' => [qr/Value\s.*out\sof\srange/], - 'fatal' => $NO + }, + { + 'category' => 'basic operations', + 'subcategory' => 'client', + 'detail' => '--stanza-list', + 'function' => \&generic_exec, + 'cmdline' => $default_client_args . " --stanza-list --rc-file $cf{'rc_stanza_list'}", + 'positive_output_matches' => [qr/The\sfollowing\sstanzas\sare\sconfigured/i, qr/stanza_1/, qr/stanza_2/], + 'negative_output_matches' => [qr/default/], }, ); diff -Nru fwknop-2.5.1/test/tests/build_security.pl fwknop-2.6.0/test/tests/build_security.pl --- fwknop-2.5.1/test/tests/build_security.pl 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/test/tests/build_security.pl 2014-01-13 03:29:04.000000000 +0000 @@ -14,7 +14,6 @@ 'detail' => 'Position Independent Executable (PIE)', 'function' => \&pie_binary, 'binary' => $fwknopCmd, - 'fatal' => $NO }, { 'category' => 'build security', @@ -22,7 +21,6 @@ 'detail' => 'stack protected binary', 'function' => \&stack_protected_binary, 'binary' => $fwknopCmd, - 'fatal' => $NO }, { 'category' => 'build security', @@ -30,7 +28,6 @@ 'detail' => 'fortify source functions', 'function' => \&fortify_source_functions, 'binary' => $fwknopCmd, - 'fatal' => $NO }, { 'category' => 'build security', @@ -38,7 +35,6 @@ 'detail' => 'read-only relocations', 'function' => \&read_only_relocations, 'binary' => $fwknopCmd, - 'fatal' => $NO }, { 'category' => 'build security', @@ -46,7 +42,6 @@ 'detail' => 'immediate binding', 'function' => \&immediate_binding, 'binary' => $fwknopCmd, - 'fatal' => $NO }, ); @@ -65,7 +60,6 @@ 'detail' => 'Position Independent Executable (PIE)', 'function' => \&pie_binary, 'binary' => $fwknopdCmd, - 'fatal' => $NO }, { 'category' => 'build security', @@ -73,7 +67,6 @@ 'detail' => 'stack protected binary', 'function' => \&stack_protected_binary, 'binary' => $fwknopdCmd, - 'fatal' => $NO }, { 'category' => 'build security', @@ -81,7 +74,6 @@ 'detail' => 'fortify source functions', 'function' => \&fortify_source_functions, 'binary' => $fwknopdCmd, - 'fatal' => $NO }, { 'category' => 'build security', @@ -89,7 +81,6 @@ 'detail' => 'read-only relocations', 'function' => \&read_only_relocations, 'binary' => $fwknopdCmd, - 'fatal' => $NO }, { 'category' => 'build security', @@ -97,7 +88,6 @@ 'detail' => 'immediate binding', 'function' => \&immediate_binding, 'binary' => $fwknopdCmd, - 'fatal' => $NO }, ); @@ -116,7 +106,6 @@ 'detail' => 'stack protected binary', 'function' => \&stack_protected_binary, 'binary' => $libfko_bin, - 'fatal' => $NO }, { 'category' => 'build security', @@ -124,7 +113,6 @@ 'detail' => 'fortify source functions', 'function' => \&fortify_source_functions, 'binary' => $libfko_bin, - 'fatal' => $NO }, { 'category' => 'build security', @@ -132,7 +120,6 @@ 'detail' => 'read-only relocations', 'function' => \&read_only_relocations, 'binary' => $libfko_bin, - 'fatal' => $NO }, { 'category' => 'build security', @@ -140,6 +127,5 @@ 'detail' => 'immediate binding', 'function' => \&immediate_binding, 'binary' => $libfko_bin, - 'fatal' => $NO }, ); diff -Nru fwknop-2.5.1/test/tests/code_structure.pl fwknop-2.6.0/test/tests/code_structure.pl --- fwknop-2.5.1/test/tests/code_structure.pl 1970-01-01 00:00:00.000000000 +0000 +++ fwknop-2.6.0/test/tests/code_structure.pl 2014-01-13 03:29:04.000000000 +0000 @@ -0,0 +1,9 @@ + +@code_structure_errstr = ( + { + 'category' => 'code structure', + 'subcategory' => 'error strings', + 'detail' => 'all error codes handled', + 'function' => \&code_structure_fko_error_strings, + }, +); diff -Nru fwknop-2.5.1/test/tests/gpg.pl fwknop-2.6.0/test/tests/gpg.pl --- fwknop-2.5.1/test/tests/gpg.pl 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/test/tests/gpg.pl 2014-01-13 03:29:04.000000000 +0000 @@ -7,7 +7,6 @@ 'detail' => 'pinentry not required', 'function' => \&gpg_pinentry_check, 'cmdline' => $default_client_gpg_args, - 'fatal' => $NO }, { 'category' => 'GPG', @@ -18,7 +17,6 @@ 'fwknopd_cmdline' => $default_server_gpg_args, 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'GPG', @@ -31,7 +29,6 @@ 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_def_key'}, - 'fatal' => $NO }, { 'category' => 'GPG', @@ -44,7 +41,6 @@ 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_gpg_signing_pw'}, - 'fatal' => $NO }, { 'category' => 'GPG', @@ -57,7 +53,6 @@ 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_named_key'}, - 'fatal' => $NO }, { 'category' => 'GPG', @@ -70,7 +65,6 @@ 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_gpg_named_signing_pw'}, - 'fatal' => $NO }, { 'category' => 'GPG', @@ -79,11 +73,10 @@ 'function' => \&spa_cycle, 'cmdline' => "$default_client_gpg_args_no_get_key " . "--rc-file $cf{'rc_gpg_named_signing_pw'} -n testssh2", - 'fwknopd_cmdline' => $default_server_gpg_args, + 'fwknopd_cmdline' => $default_server_gpg_args, 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_gpg_named_signing_pw'}, - 'fatal' => $NO }, { @@ -92,13 +85,11 @@ 'detail' => 'multi gpg-IDs (tcp/22 ssh)', 'function' => \&spa_cycle, 'cmdline' => $default_client_gpg_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir " . - "$valgrind_str $fwknopdCmd -c $cf{'def'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} " . "-a $cf{'multi_gpg_access'} $intf_str " . "-d $default_digest_file -p $default_pid_file", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { @@ -107,13 +98,11 @@ 'detail' => 'iptables - no flush at init', 'function' => \&iptables_no_flush_init_exit, 'cmdline' => $default_client_gpg_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir " . - "$valgrind_str $fwknopdCmd -c $cf{'no_flush_init'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'no_flush_init'} " . "-a $cf{'multi_gpg_access'} $intf_str " . "-d $default_digest_file -p $default_pid_file", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'GPG', @@ -121,13 +110,11 @@ 'detail' => 'iptables - no flush at exit', 'function' => \&iptables_no_flush_init_exit, 'cmdline' => $default_client_gpg_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir " . - "$valgrind_str $fwknopdCmd -c $cf{'no_flush_exit'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'no_flush_exit'} " . "-a $cf{'multi_gpg_access'} $intf_str " . "-d $default_digest_file -p $default_pid_file", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'GPG', @@ -135,13 +122,11 @@ 'detail' => 'iptables - no flush at init or exit', 'function' => \&iptables_no_flush_init_exit, 'cmdline' => $default_client_gpg_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir " . - "$valgrind_str $fwknopdCmd -c $cf{'no_flush_init_or_exit'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'no_flush_init_or_exit'} " . "-a $cf{'multi_gpg_access'} $intf_str " . "-d $default_digest_file -p $default_pid_file", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { @@ -149,48 +134,42 @@ 'subcategory' => 'client+server', 'detail' => 'complete cycle (tcp/23 telnet)', 'function' => \&spa_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A tcp/23 -a $fake_ip -D $loopback_ip --get-key " . - "$local_key_file --verbose --verbose " . + 'cmdline' => "$fwknopCmd -A tcp/23 -a $fake_ip -D $loopback_ip --get-key " . + "$local_key_file $verbose_str " . "--gpg-recipient-key $gpg_server_key " . "--gpg-signer-key $gpg_client_key " . "--gpg-home-dir $gpg_client_home_dir", 'fwknopd_cmdline' => $default_server_gpg_args, 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'GPG', 'subcategory' => 'client+server', 'detail' => 'complete cycle (tcp/9418 git)', 'function' => \&spa_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A tcp/9418 -a $fake_ip -D $loopback_ip --get-key " . - "$local_key_file --verbose --verbose " . + 'cmdline' => "$fwknopCmd -A tcp/9418 -a $fake_ip -D $loopback_ip --get-key " . + "$local_key_file $verbose_str " . "--gpg-recipient-key $gpg_server_key " . "--gpg-signer-key $gpg_client_key " . "--gpg-home-dir $gpg_client_home_dir", 'fwknopd_cmdline' => $default_server_gpg_args, 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'GPG', 'subcategory' => 'client+server', 'detail' => 'complete cycle (tcp/60001)', 'function' => \&spa_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A tcp/60001 -a $fake_ip -D $loopback_ip --get-key " . - "$local_key_file --verbose --verbose " . + 'cmdline' => "$fwknopCmd -A tcp/60001 -a $fake_ip -D $loopback_ip --get-key " . + "$local_key_file $verbose_str " . "--gpg-recipient-key $gpg_server_key " . "--gpg-signer-key $gpg_client_key " . "--gpg-home-dir $gpg_client_home_dir", 'fwknopd_cmdline' => $default_server_gpg_args, 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { @@ -198,16 +177,14 @@ 'subcategory' => 'client+server', 'detail' => 'complete cycle (udp/53 dns)', 'function' => \&spa_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A udp/53 -a $fake_ip -D $loopback_ip --get-key " . - "$local_key_file --verbose --verbose " . + 'cmdline' => "$fwknopCmd -A udp/53 -a $fake_ip -D $loopback_ip --get-key " . + "$local_key_file $verbose_str " . "--gpg-recipient-key $gpg_server_key " . "--gpg-signer-key $gpg_client_key " . "--gpg-home-dir $gpg_client_home_dir", 'fwknopd_cmdline' => $default_server_gpg_args, 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { @@ -218,7 +195,6 @@ 'cmdline' => $default_client_gpg_args, 'fwknopd_cmdline' => $default_server_gpg_args, 'server_positive_output_matches' => [qr/Replay\sdetected\sfrom\ssource\sIP/], - 'fatal' => $NO }, { 'category' => 'GPG', @@ -227,10 +203,8 @@ 'function' => \&replay_detection, 'pkt_prefix' => 'U2FsdGVkX1', 'cmdline' => $default_client_gpg_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", 'server_positive_output_matches' => [qr/Data\sis\snot\sa\svalid\sSPA\smessage\sformat/], - 'fatal' => $NO }, { 'category' => 'GPG', @@ -239,10 +213,8 @@ 'function' => \&replay_detection, 'pkt_prefix' => 'hQ', 'cmdline' => $default_client_gpg_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", 'server_positive_output_matches' => [qr/Data\sis\snot\sa\svalid\sSPA\smessage\sformat/], - 'fatal' => $NO }, { @@ -252,7 +224,6 @@ 'function' => \&altered_non_base64_spa_data, 'cmdline' => $default_client_gpg_args, 'fwknopd_cmdline' => $default_server_gpg_args, - 'fatal' => $NO }, { 'category' => 'GPG', @@ -261,7 +232,6 @@ 'function' => \&altered_base64_spa_data, 'cmdline' => $default_client_gpg_args, 'fwknopd_cmdline' => $default_server_gpg_args, - 'fatal' => $NO }, { 'category' => 'GPG', @@ -270,7 +240,6 @@ 'function' => \&appended_spa_data, 'cmdline' => $default_client_gpg_args, 'fwknopd_cmdline' => $default_server_gpg_args, - 'fatal' => $NO }, { 'category' => 'GPG', @@ -279,7 +248,6 @@ 'function' => \&prepended_spa_data, 'cmdline' => $default_client_gpg_args, 'fwknopd_cmdline' => $default_server_gpg_args, - 'fatal' => $NO }, { 'category' => 'GPG', @@ -290,13 +258,11 @@ 'fwknopd_cmdline' => $default_server_gpg_args, 'positive_output_matches' => [qr/Username:\s*$spoof_user/], 'server_positive_output_matches' => [qr/Username:\s*$spoof_user/], - 'fatal' => $NO }, { 'category' => 'GPG', 'subcategory' => 'server', 'detail' => 'digest cache structure', 'function' => \&digest_cache_structure, - 'fatal' => $NO }, ); diff -Nru fwknop-2.5.1/test/tests/gpg_hmac.pl fwknop-2.6.0/test/tests/gpg_hmac.pl --- fwknop-2.5.1/test/tests/gpg_hmac.pl 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/test/tests/gpg_hmac.pl 2014-01-13 03:29:04.000000000 +0000 @@ -8,7 +8,6 @@ 'detail' => 'pinentry not required', 'function' => \&gpg_pinentry_check, 'cmdline' => $default_client_gpg_args, - 'fatal' => $NO }, { @@ -22,7 +21,20 @@ 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_gpg_hmac_b64_key'}, - 'fatal' => $NO + }, + { + 'category' => 'GPG+HMAC', + 'subcategory' => 'client+server', + 'detail' => 'complete cycle SHA512', + 'function' => \&spa_cycle, + 'cmdline' => $default_client_gpg_args + . " --rc-file $cf{'rc_gpg_hmac_sha512_b64_key'}", + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} " . + "-a $cf{'gpg_hmac_sha512_access'} $intf_str " . + "-d $default_digest_file -p $default_pid_file", + 'fw_rule_created' => $NEW_RULE_REQUIRED, + 'fw_rule_removed' => $NEW_RULE_REMOVED, + 'key_file' => $cf{'rc_gpg_hmac_sha512_b64_key'}, }, { 'category' => 'GPG+HMAC', @@ -35,7 +47,6 @@ 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_gpg_args_hmac_b64_key'}, - 'fatal' => $NO }, { @@ -43,9 +54,8 @@ 'subcategory' => 'client+server', 'detail' => 'complete cycle (tcp/23 telnet)', 'function' => \&spa_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A tcp/23 -a $fake_ip -D $loopback_ip --get-key " . - "$local_key_file --verbose --verbose " . + 'cmdline' => "$fwknopCmd -A tcp/23 -a $fake_ip -D $loopback_ip --get-key " . + "$local_key_file $verbose_str " . "--gpg-recipient-key $gpg_server_key " . "--gpg-signer-key $gpg_client_key " . "--gpg-home-dir $gpg_client_home_dir " . @@ -54,16 +64,14 @@ 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_gpg_hmac_b64_key'}, - 'fatal' => $NO }, { 'category' => 'GPG+HMAC', 'subcategory' => 'client+server', 'detail' => 'complete cycle (tcp/9418 git)', 'function' => \&spa_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A tcp/9418 -a $fake_ip -D $loopback_ip --get-key " . - "$local_key_file --verbose --verbose " . + 'cmdline' => "$fwknopCmd -A tcp/9418 -a $fake_ip -D $loopback_ip --get-key " . + "$local_key_file $verbose_str " . "--gpg-recipient-key $gpg_server_key " . "--gpg-signer-key $gpg_client_key " . "--gpg-home-dir $gpg_client_home_dir " . @@ -72,16 +80,14 @@ 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_gpg_hmac_b64_key'}, - 'fatal' => $NO }, { 'category' => 'GPG+HMAC', 'subcategory' => 'client+server', 'detail' => 'complete cycle (tcp/60001 git)', 'function' => \&spa_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A tcp/60001 -a $fake_ip -D $loopback_ip --get-key " . - "$local_key_file --verbose --verbose " . + 'cmdline' => "$fwknopCmd -A tcp/60001 -a $fake_ip -D $loopback_ip --get-key " . + "$local_key_file $verbose_str " . "--gpg-recipient-key $gpg_server_key " . "--gpg-signer-key $gpg_client_key " . "--gpg-home-dir $gpg_client_home_dir " . @@ -90,16 +96,14 @@ 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_gpg_hmac_b64_key'}, - 'fatal' => $NO }, { 'category' => 'GPG+HMAC', 'subcategory' => 'client+server', 'detail' => 'complete cycle (udp/53 dns)', 'function' => \&spa_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A udp/53 -a $fake_ip -D $loopback_ip --get-key " . - "$local_key_file --verbose --verbose " . + 'cmdline' => "$fwknopCmd -A udp/53 -a $fake_ip -D $loopback_ip --get-key " . + "$local_key_file $verbose_str " . "--gpg-recipient-key $gpg_server_key " . "--gpg-signer-key $gpg_client_key " . "--gpg-home-dir $gpg_client_home_dir " . @@ -108,7 +112,6 @@ 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_gpg_hmac_b64_key'}, - 'fatal' => $NO }, { 'category' => 'GPG+HMAC', @@ -119,7 +122,6 @@ . "--rc-file $cf{'rc_gpg_hmac_b64_key'}", 'fwknopd_cmdline' => $default_server_gpg_args_hmac, 'key_file' => $cf{'rc_gpg_hmac_b64_key'}, - 'fatal' => $NO }, { 'category' => 'GPG+HMAC', @@ -132,7 +134,6 @@ "--rc-file $cf{'rc_gpg_hmac_b64_key'}", 'fwknopd_cmdline' => $default_server_gpg_args_hmac, 'server_positive_output_matches' => [qr/Data\sis\snot\sa\svalid\sSPA\smessage\sformat/], - 'fatal' => $NO }, { 'category' => 'GPG+HMAC', @@ -145,7 +146,6 @@ "--rc-file $cf{'rc_gpg_hmac_b64_key'}", 'fwknopd_cmdline' => $default_server_gpg_args_hmac, 'server_positive_output_matches' => [qr/Data\sis\snot\sa\svalid\sSPA\smessage\sformat/], - 'fatal' => $NO }, ); diff -Nru fwknop-2.5.1/test/tests/gpg_no_pw.pl fwknop-2.6.0/test/tests/gpg_no_pw.pl --- fwknop-2.5.1/test/tests/gpg_no_pw.pl 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/test/tests/gpg_no_pw.pl 2014-01-13 03:29:04.000000000 +0000 @@ -9,7 +9,6 @@ 'fwknopd_cmdline' => $default_server_gpg_args_no_pw, 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'GPG (no pw)', @@ -17,13 +16,11 @@ 'detail' => 'multi gpg-IDs (tcp/22 ssh)', 'function' => \&spa_cycle, 'cmdline' => $default_client_gpg_args_no_pw, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir " . - "$valgrind_str $fwknopdCmd -c $cf{'def'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} " . "-a $cf{'multi_gpg_no_pw_access'} $intf_str " . "-d $default_digest_file -p $default_pid_file", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { @@ -32,13 +29,11 @@ 'detail' => 'iptables - no flush at init', 'function' => \&iptables_no_flush_init_exit, 'cmdline' => $default_client_gpg_args_no_pw, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir " . - "$valgrind_str $fwknopdCmd -c $cf{'no_flush_init'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'no_flush_init'} " . "-a $cf{'multi_gpg_no_pw_access'} $intf_str " . "-d $default_digest_file -p $default_pid_file", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'GPG (no pw)', @@ -46,13 +41,11 @@ 'detail' => 'iptables - no flush at exit', 'function' => \&iptables_no_flush_init_exit, 'cmdline' => $default_client_gpg_args_no_pw, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir " . - "$valgrind_str $fwknopdCmd -c $cf{'no_flush_exit'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'no_flush_exit'} " . "-a $cf{'multi_gpg_no_pw_access'} $intf_str " . "-d $default_digest_file -p $default_pid_file", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'GPG (no pw)', @@ -60,13 +53,11 @@ 'detail' => 'iptables - no flush at init or exit', 'function' => \&iptables_no_flush_init_exit, 'cmdline' => $default_client_gpg_args_no_pw, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir " . - "$valgrind_str $fwknopdCmd -c $cf{'no_flush_init_or_exit'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'no_flush_init_or_exit'} " . "-a $cf{'multi_gpg_no_pw_access'} $intf_str " . "-d $default_digest_file -p $default_pid_file", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { @@ -74,48 +65,42 @@ 'subcategory' => 'client+server', 'detail' => 'complete cycle (tcp/23 telnet)', 'function' => \&spa_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A tcp/23 -a $fake_ip -D $loopback_ip " . - "--gpg-no-signing-pw --verbose --verbose " . + 'cmdline' => "$fwknopCmd -A tcp/23 -a $fake_ip -D $loopback_ip " . + "--gpg-no-signing-pw $verbose_str " . "--gpg-recipient-key $gpg_server_key " . "--gpg-signer-key $gpg_client_key " . "--gpg-home-dir $gpg_client_home_dir_no_pw", 'fwknopd_cmdline' => $default_server_gpg_args_no_pw, 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'GPG (no pw)', 'subcategory' => 'client+server', 'detail' => 'complete cycle (tcp/9418 git)', 'function' => \&spa_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A tcp/9418 -a $fake_ip -D $loopback_ip " . - "--gpg-no-signing-pw --verbose --verbose " . + 'cmdline' => "$fwknopCmd -A tcp/9418 -a $fake_ip -D $loopback_ip " . + "--gpg-no-signing-pw $verbose_str " . "--gpg-recipient-key $gpg_server_key " . "--gpg-signer-key $gpg_client_key " . "--gpg-home-dir $gpg_client_home_dir_no_pw", 'fwknopd_cmdline' => $default_server_gpg_args_no_pw, 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'GPG (no pw)', 'subcategory' => 'client+server', 'detail' => 'complete cycle (tcp/60001)', 'function' => \&spa_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A tcp/60001 -a $fake_ip -D $loopback_ip " . - "--gpg-no-signing-pw --verbose --verbose " . + 'cmdline' => "$fwknopCmd -A tcp/60001 -a $fake_ip -D $loopback_ip " . + "--gpg-no-signing-pw $verbose_str " . "--gpg-recipient-key $gpg_server_key " . "--gpg-signer-key $gpg_client_key " . "--gpg-home-dir $gpg_client_home_dir_no_pw", 'fwknopd_cmdline' => $default_server_gpg_args_no_pw, 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { @@ -123,16 +108,14 @@ 'subcategory' => 'client+server', 'detail' => 'complete cycle (udp/53 dns)', 'function' => \&spa_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A udp/53 -a $fake_ip -D $loopback_ip " . - "--gpg-no-signing-pw --verbose --verbose " . + 'cmdline' => "$fwknopCmd -A udp/53 -a $fake_ip -D $loopback_ip " . + "--gpg-no-signing-pw $verbose_str " . "--gpg-recipient-key $gpg_server_key " . "--gpg-signer-key $gpg_client_key " . "--gpg-home-dir $gpg_client_home_dir_no_pw", 'fwknopd_cmdline' => $default_server_gpg_args_no_pw, 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { @@ -144,7 +127,6 @@ . "--gpg-home-dir $gpg_client_home_dir_no_pw --gpg-no-signing-pw", 'fwknopd_cmdline' => $default_server_gpg_args_no_pw, 'server_positive_output_matches' => [qr/Replay\sdetected\sfrom\ssource\sIP/], - 'fatal' => $NO }, { 'category' => 'GPG (no pw)', @@ -154,10 +136,8 @@ 'pkt_prefix' => 'U2FsdGVkX1', 'cmdline' => "$default_client_gpg_args_no_homedir " . "--gpg-home-dir $gpg_client_home_dir_no_pw --gpg-no-signing-pw", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", 'server_positive_output_matches' => [qr/Data\sis\snot\sa\svalid\sSPA\smessage\sformat/], - 'fatal' => $NO }, { 'category' => 'GPG (no pw)', @@ -167,10 +147,8 @@ 'pkt_prefix' => 'hQ', 'cmdline' => "$default_client_gpg_args_no_homedir " . "--gpg-home-dir $gpg_client_home_dir_no_pw --gpg-no-signing-pw", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", 'server_positive_output_matches' => [qr/Data\sis\snot\sa\svalid\sSPA\smessage\sformat/], - 'fatal' => $NO }, { @@ -181,7 +159,6 @@ 'cmdline' => "$default_client_gpg_args_no_homedir " . "--gpg-home-dir $gpg_client_home_dir_no_pw --gpg-no-signing-pw", 'fwknopd_cmdline' => $default_server_gpg_args_no_pw, - 'fatal' => $NO }, { 'category' => 'GPG (no pw)', @@ -191,7 +168,6 @@ 'cmdline' => "$default_client_gpg_args_no_homedir " . "--gpg-home-dir $gpg_client_home_dir_no_pw --gpg-no-signing-pw", 'fwknopd_cmdline' => $default_server_gpg_args_no_pw, - 'fatal' => $NO }, { 'category' => 'GPG (no pw)', @@ -201,7 +177,6 @@ 'cmdline' => "$default_client_gpg_args_no_homedir " . "--gpg-home-dir $gpg_client_home_dir_no_pw --gpg-no-signing-pw", 'fwknopd_cmdline' => $default_server_gpg_args_no_pw, - 'fatal' => $NO }, { 'category' => 'GPG (no pw)', @@ -211,7 +186,6 @@ 'cmdline' => "$default_client_gpg_args_no_homedir " . "--gpg-home-dir $gpg_client_home_dir_no_pw --gpg-no-signing-pw", 'fwknopd_cmdline' => $default_server_gpg_args_no_pw, - 'fatal' => $NO }, { 'category' => 'GPG (no pw)', @@ -223,6 +197,5 @@ 'fwknopd_cmdline' => $default_server_gpg_args_no_pw, 'positive_output_matches' => [qr/Username:\s*$spoof_user/], 'server_positive_output_matches' => [qr/Username:\s*$spoof_user/], - 'fatal' => $NO }, ); diff -Nru fwknop-2.5.1/test/tests/gpg_no_pw_hmac.pl fwknop-2.6.0/test/tests/gpg_no_pw_hmac.pl --- fwknop-2.5.1/test/tests/gpg_no_pw_hmac.pl 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/test/tests/gpg_no_pw_hmac.pl 2014-01-13 03:29:04.000000000 +0000 @@ -12,20 +12,35 @@ 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_hmac_b64_key'}, - 'fatal' => $NO }, { 'category' => 'GPG (no pw) HMAC', 'subcategory' => 'client+server', - 'detail' => 'gpg args from rc file', + 'detail' => 'complete cycle SHA512', 'function' => \&spa_cycle, 'cmdline' => "$default_client_gpg_args_no_homedir " + . "--gpg-home-dir $gpg_client_home_dir_no_pw " + . "--rc-file $cf{'rc_gpg_hmac_sha512_b64_key'}", + 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir " . + "$valgrind_str $fwknopdCmd -c $cf{'def'} " . + "-a $cf{'gpg_no_pw_hmac_sha512_access'} $intf_str " . + "-d $default_digest_file -p $default_pid_file", + 'fw_rule_created' => $NEW_RULE_REQUIRED, + 'fw_rule_removed' => $NEW_RULE_REMOVED, + 'key_file' => $cf{'rc_gpg_hmac_sha512_b64_key'}, + }, + + { + 'category' => 'GPG (no pw) HMAC', + 'subcategory' => 'client+server', + 'detail' => 'gpg args from rc file', + 'function' => \&spa_cycle, + 'cmdline' => $default_client_args . " --rc-file $cf{'rc_gpg_args_no_pw_hmac_b64_key'}", 'fwknopd_cmdline' => $default_server_gpg_args_no_pw_hmac, 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_gpg_args_no_pw_hmac_b64_key'}, - 'fatal' => $NO }, { @@ -33,9 +48,8 @@ 'subcategory' => 'client+server', 'detail' => 'complete cycle (tcp/23 telnet)', 'function' => \&spa_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A tcp/23 -a $fake_ip -D $loopback_ip --get-key " . - "$local_key_file --verbose --verbose " . + 'cmdline' => "$fwknopCmd -A tcp/23 -a $fake_ip -D $loopback_ip --get-key " . + "$local_key_file $verbose_str " . "--gpg-recipient-key $gpg_server_key " . "--gpg-signer-key $gpg_client_key " . "--gpg-home-dir $gpg_client_home_dir_no_pw " . @@ -44,16 +58,14 @@ 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_hmac_b64_key'}, - 'fatal' => $NO }, { 'category' => 'GPG (no pw) HMAC', 'subcategory' => 'client+server', 'detail' => 'complete cycle (tcp/9418 git)', 'function' => \&spa_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A tcp/9418 -a $fake_ip -D $loopback_ip --get-key " . - "$local_key_file --verbose --verbose " . + 'cmdline' => "$fwknopCmd -A tcp/9418 -a $fake_ip -D $loopback_ip --get-key " . + "$local_key_file $verbose_str " . "--gpg-recipient-key $gpg_server_key " . "--gpg-signer-key $gpg_client_key " . "--gpg-home-dir $gpg_client_home_dir_no_pw " . @@ -62,16 +74,14 @@ 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_hmac_b64_key'}, - 'fatal' => $NO }, { 'category' => 'GPG (no pw) HMAC', 'subcategory' => 'client+server', 'detail' => 'complete cycle (tcp/60001 git)', 'function' => \&spa_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A tcp/60001 -a $fake_ip -D $loopback_ip --get-key " . - "$local_key_file --verbose --verbose " . + 'cmdline' => "$fwknopCmd -A tcp/60001 -a $fake_ip -D $loopback_ip --get-key " . + "$local_key_file $verbose_str " . "--gpg-recipient-key $gpg_server_key " . "--gpg-signer-key $gpg_client_key " . "--gpg-home-dir $gpg_client_home_dir_no_pw " . @@ -80,16 +90,14 @@ 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_hmac_b64_key'}, - 'fatal' => $NO }, { 'category' => 'GPG (no pw) HMAC', 'subcategory' => 'client+server', 'detail' => 'complete cycle (udp/53 dns)', 'function' => \&spa_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A udp/53 -a $fake_ip -D $loopback_ip --get-key " . - "$local_key_file --verbose --verbose " . + 'cmdline' => "$fwknopCmd -A udp/53 -a $fake_ip -D $loopback_ip --get-key " . + "$local_key_file $verbose_str " . "--gpg-recipient-key $gpg_server_key " . "--gpg-signer-key $gpg_client_key " . "--gpg-home-dir $gpg_client_home_dir_no_pw " . @@ -98,7 +106,6 @@ 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_hmac_b64_key'}, - 'fatal' => $NO }, { 'category' => 'GPG (no pw) HMAC', @@ -110,7 +117,6 @@ . "--rc-file $cf{'rc_hmac_b64_key'}", 'fwknopd_cmdline' => $default_server_gpg_args_no_pw_hmac, 'key_file' => $cf{'rc_hmac_b64_key'}, - 'fatal' => $NO }, { 'category' => 'GPG (no pw) HMAC', @@ -123,7 +129,6 @@ . "--rc-file $cf{'rc_hmac_b64_key'}", 'fwknopd_cmdline' => $default_server_gpg_args_no_pw_hmac, 'server_positive_output_matches' => [qr/Data\sis\snot\sa\svalid\sSPA\smessage\sformat/], - 'fatal' => $NO }, { 'category' => 'GPG (no pw) HMAC', @@ -136,6 +141,5 @@ . "--rc-file $cf{'rc_hmac_b64_key'}", 'fwknopd_cmdline' => $default_server_gpg_args_no_pw_hmac, 'server_positive_output_matches' => [qr/Data\sis\snot\sa\svalid\sSPA\smessage\sformat/], - 'fatal' => $NO }, ); diff -Nru fwknop-2.5.1/test/tests/os_compatibility.pl fwknop-2.6.0/test/tests/os_compatibility.pl --- fwknop-2.5.1/test/tests/os_compatibility.pl 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/test/tests/os_compatibility.pl 2014-01-13 03:29:04.000000000 +0000 @@ -11,12 +11,10 @@ 'lAZNE2O1w83mout+oyWSj4payd0yuWckikoZYjc7tSSgHIFikOhTm9CHi8ERe9' . 'jLEYw1wvqE2B7Vvz7XyefNILZdHa+Vx5zYM0o', 'server_positive_output_matches' => [qr/with expire time/], - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'def_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'def_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -29,12 +27,10 @@ 'GsZJPmv2e1U31SMrdgF+o7/f2qRDH2hwPU8XLKS73rXpAhZKVAF/crt00HDmaH0' . 'p+hc3ngPtmE/j0PKeUD+GM81YQPO9NdZu4s', 'server_positive_output_matches' => [qr/with expire time/], - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'def_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'def_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -47,12 +43,26 @@ '46kFmbdXHZCUx5iom9jOtpQnMvZJGex65vV4bSFdVwaoJ/ICkiRHbbzSTZo8qmp' . 'FTLSYWVhTWQddj4j80Ne6GH0h3zXomg9fJU', 'server_positive_output_matches' => [qr/with expire time/], - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'def_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'def_access'} " . + "-d $default_digest_file -p $default_pid_file $intf_str", + 'fw_rule_created' => $NEW_RULE_REQUIRED, + 'fw_rule_removed' => $NEW_RULE_REMOVED, + }, + { + 'category' => 'Rijndael', + 'subcategory' => 'client->server OS compatibility', + 'detail' => 'v2.5.1 FreeBSD-9.2', + 'function' => \&os_compatibility, + 'no_ip_check' => 1, + 'pkt' => + '+2qk0IIjxlblrk+mzHgS65AQQLEhtnYRZBIEzrqyjBY8dqQMCMiCZGSFP4x+tCJ' . + 'y5Fjx+GBM2dqqdBfYfahoDnPWBieljQp5d2awzUxbC1CpLbi3+bMvguPCc3h0gA' . + '0f9jdWj6MlYXYJikyF/SjeuYxKnCfX2BxKI', + 'server_positive_output_matches' => [qr/with expire time/], + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'def_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -65,12 +75,43 @@ 'dejv3UxC/FRlHgJz4UeRkloFCQQ0tkQLx6MSoCQHKPlNxATKsfLL3UfHpKbhRG8' . 'a8S9q8lojKxiWuLZU64h5LXjhH7rR7riyds', 'server_positive_output_matches' => [qr/with expire time/], - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'def_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'def_access'} " . + "-d $default_digest_file -p $default_pid_file $intf_str", + 'fw_rule_created' => $NEW_RULE_REQUIRED, + 'fw_rule_removed' => $NEW_RULE_REMOVED, + }, + { + 'category' => 'Rijndael', + 'subcategory' => 'client->server OS compatibility', + 'detail' => 'v2.5.1 OpenBSD-5.4', + 'function' => \&os_compatibility, + 'no_ip_check' => 1, + 'pkt' => + '86P5MMgWXjAsHf4yPv8oRk9wqB0GFA9wp6u857/VX3kVlugK/D1k7BIjeBBKVM' . + 'RQJ1ZouD3lC1xjNb9KkxXN5MojwUtaCVghY3IUqizQysYHPYwVyJ6INNurXXSF' . + 'pWokVgC+ryT78/PbOoAXKTAg+/tcVbrvCiLmQ', + 'server_positive_output_matches' => [qr/with expire time/], + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'def_access'} " . + "-d $default_digest_file -p $default_pid_file $intf_str", + 'fw_rule_created' => $NEW_RULE_REQUIRED, + 'fw_rule_removed' => $NEW_RULE_REMOVED, + }, + + { + 'category' => 'Rijndael', + 'subcategory' => 'client->server OS compatibility', + 'detail' => 'v2.5.1 OSX-10.9', + 'function' => \&os_compatibility, + 'no_ip_check' => 1, + 'pkt' => + '//I+5NoTIET7LtQJ9BGhoVfBnA9vqgQ8SfvHEMOUpxHKgQa5xLFhKK2ScSXQ80K' . + '3P0/XwJqm6HsAyJrl7eafble0AR5T04PJPFF6ejWAKbTsCh5VYywQ+2W7eBOJuc' . + '8tjjKuESWqOaodALS9PaxLrVIfm6dvmwtHU', + 'server_positive_output_matches' => [qr/with expire time/], + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'def_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { @@ -85,12 +126,10 @@ 'GE3C9u943F+0csrZs+ysKKca+sVBcAKhsTNsMjT9HojVMdk+r9RhJqUa2JJz7f' . 'ZIZauvBrygBVg0yh6o', 'server_positive_output_matches' => [qr/with expire time/], - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'hmac_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'hmac_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'Rijndael+HMAC', @@ -104,12 +143,10 @@ 'mknUjmg4+8maPhus2x7YhoPTMfMZijWKOaMWhX1G0khDqFfGU8GuehpQdwuGdX/' . 'oGZnheeQyczK4pY', 'server_positive_output_matches' => [qr/with expire time/], - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'hmac_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'hmac_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'Rijndael+HMAC', @@ -123,12 +160,27 @@ 'BDo3d0K5I4mhwuWVHyTZUg441+kfm7O8TesDhUoy2ftqTGR3+GHi52/NIVctEAp' . 'WR6NZowCcHElB9E', 'server_positive_output_matches' => [qr/with expire time/], - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'hmac_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'hmac_access'} " . + "-d $default_digest_file -p $default_pid_file $intf_str", + 'fw_rule_created' => $NEW_RULE_REQUIRED, + 'fw_rule_removed' => $NEW_RULE_REMOVED, + }, + { + 'category' => 'Rijndael+HMAC', + 'subcategory' => 'client->server OS compatibility', + 'detail' => 'v2.5.1 FreeBSD9.2', + 'function' => \&os_compatibility, + 'no_ip_check' => 1, + 'pkt' => + '+HtI50Xp3v1TtDw+GBkYCq9cXGC/qgofjPMqAX+uUg0LdQ0nfxCjpJAWoaZX+aC' . + 'oBa9Na64c0JCRxzH9VTDY7oVkK3s+D8jI7pzXAJAE7ffMukCSeKWI3UaubuwsBt' . + 'ku9Mf7Q5XzySHY0QeoQ/3OGd3lSCTLVxNzk/mdIZ94QX/8uOG+qippPa9JyGVp5' . + 'nkKLD+nrVhvEy30', + 'server_positive_output_matches' => [qr/with expire time/], + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'hmac_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'Rijndael+HMAC', @@ -142,11 +194,43 @@ 'YnywR5R9Drrw+hMxpl40HDb1O07xN7WBOSvNgU2vi8MHT7MSZVh02PKRF8aReL' . 'cQTD2sxRsn5tGfehC8', 'server_positive_output_matches' => [qr/with expire time/], - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'hmac_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'hmac_access'} " . + "-d $default_digest_file -p $default_pid_file $intf_str", + 'fw_rule_created' => $NEW_RULE_REQUIRED, + 'fw_rule_removed' => $NEW_RULE_REMOVED, + }, + { + 'category' => 'Rijndael+HMAC', + 'subcategory' => 'client->server OS compatibility', + 'detail' => 'v2.5.1 OpenBSD5.4', + 'function' => \&os_compatibility, + 'no_ip_check' => 1, + 'pkt' => + '+ju0kG0l/Qv833iaX5HaAALB8Oh6z//u2/y7/dSnUdg00tRufyl8j96r8xKQAX' . + 'lK5yUQejiphOsX9U7ZZC9fD1Ks1LaOYXP8Iz7WcZctByENeN09WCcQAWX8Zj0O' . + 'XSv5C0fNf0RqMCD5Q6OEJuLfm26FDqnsE9jmUSRcRyFcsbP3po2Ru5nlHM/a8o' . + '1MDoskdw5VbHMIM6lU', + 'server_positive_output_matches' => [qr/with expire time/], + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'hmac_access'} " . + "-d $default_digest_file -p $default_pid_file $intf_str", + 'fw_rule_created' => $NEW_RULE_REQUIRED, + 'fw_rule_removed' => $NEW_RULE_REMOVED, + }, + { + 'category' => 'Rijndael+HMAC', + 'subcategory' => 'client->server OS compatibility', + 'detail' => 'v2.5.1 OSX-10.9', + 'function' => \&os_compatibility, + 'no_ip_check' => 1, + 'pkt' => + '/yH60IrOqXpcFhwIvPndytkT40DUWwho+yuvVocfRrrOlOY0szD/Xo+veQ+Ubs' . + '7Y/szJ/HViJRg2LjDff2AeKz1cWMn6Zg/l+C8TZBBc5Tmwc8PIIOXwjrNHwCv3' . + 'lc9tRToeAVdYyQfM87OgczI6OP9SgKoKfKA5ouI9eIxOlncDn+9TkShRy0+5G+' . + 'xi2vuV4KU0DYxTRvV4', + 'server_positive_output_matches' => [qr/with expire time/], + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'hmac_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, ); diff -Nru fwknop-2.5.1/test/tests/perl_FKO_module.pl fwknop-2.6.0/test/tests/perl_FKO_module.pl --- fwknop-2.5.1/test/tests/perl_FKO_module.pl 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/test/tests/perl_FKO_module.pl 2014-01-13 03:29:04.000000000 +0000 @@ -5,21 +5,33 @@ 'subcategory' => 'compile/install', 'detail' => 'to: ./FKO', 'function' => \&perl_fko_module_compile_install, - 'fatal' => $NO }, { 'category' => 'perl FKO module', + 'subcategory' => 'make test', + 'detail' => 'run built-in tests', + 'function' => \&perl_fko_module_make_test, + 'positive_output_matches' => [qr/All\stests\ssuccessful/i], + }, + { + 'category' => 'perl FKO module', + 'subcategory' => 'prove t/*.t', + 'detail' => 'Test::Valgrind', + 'function' => \&perl_fko_module_make_test_valgrind, + 'negative_output_matches' => [qr/fko_/i, qr/libfko\.so/], + }, + + { + 'category' => 'perl FKO module', 'subcategory' => 'FUZZING', 'detail' => 'generate invalid SPA pkts', 'function' => \&perl_fko_module_assume_patches_generate_fuzzing_spa_packets, - 'fatal' => $NO }, { 'category' => 'perl FKO module', 'subcategory' => 'FUZZING', 'detail' => 'generate invalid encoded pkts', 'function' => \&perl_fko_module_assume_patches_generate_fuzzing_encoding_spa_packets, - 'fatal' => $NO }, { @@ -27,77 +39,66 @@ 'subcategory' => 'basic ops', 'detail' => 'create/destroy FKO object', 'function' => \&perl_fko_module_new_object, - 'fatal' => $NO }, { 'category' => 'perl FKO module', 'subcategory' => 'basic ops', 'detail' => 'create/destroy 1000 FKO objects', 'function' => \&perl_fko_module_new_objects_1000, - 'fatal' => $NO }, { 'category' => 'perl FKO module', 'subcategory' => 'basic ops', 'detail' => 'libfko version', 'function' => \&perl_fko_module_version, - 'fatal' => $NO }, { 'category' => 'perl FKO module', 'subcategory' => 'basic ops', 'detail' => 'libfko get random data', 'function' => \&perl_fko_module_rand, - 'fatal' => $NO }, { 'category' => 'perl FKO module', 'subcategory' => 'basic ops', 'detail' => 'libfko get/set username', 'function' => \&perl_fko_module_user, - 'fatal' => $NO }, { 'category' => 'perl FKO module', 'subcategory' => 'basic ops', 'detail' => 'libfko timestamp', 'function' => \&perl_fko_module_timestamp, - 'fatal' => $NO }, { 'category' => 'perl FKO module', 'subcategory' => 'basic ops', 'detail' => 'libfko get/set msg types', 'function' => \&perl_fko_module_msg_types, - 'fatal' => $NO }, { 'category' => 'perl FKO module', 'subcategory' => 'basic ops', 'detail' => 'libfko get/set access msgs', 'function' => \&perl_fko_module_access_msgs, - 'fatal' => $NO }, { 'category' => 'perl FKO module', 'subcategory' => 'basic ops', 'detail' => 'libfko get/set NAT access msgs', 'function' => \&perl_fko_module_nat_access_msgs, - 'fatal' => $NO }, { 'category' => 'perl FKO module', 'subcategory' => 'basic ops', 'detail' => 'libfko get/set cmd msgs', 'function' => \&perl_fko_module_cmd_msgs, - 'fatal' => $NO }, { 'category' => 'perl FKO module', 'subcategory' => 'basic ops', 'detail' => 'libfko get/set client timeout', 'function' => \&perl_fko_module_client_timeout, - 'fatal' => $NO }, { 'category' => 'perl FKO module', @@ -105,7 +106,6 @@ 'detail' => 'libfko complete cycle', 'function' => \&perl_fko_module_complete_cycle, 'set_legacy_iv' => $NO, - 'fatal' => $NO }, { 'category' => 'perl FKO module', @@ -113,7 +113,6 @@ 'detail' => 'libfko complete cycle (lIV)', 'function' => \&perl_fko_module_complete_cycle, 'set_legacy_iv' => $YES, - 'fatal' => $NO }, { 'category' => 'perl FKO module', @@ -121,7 +120,6 @@ 'detail' => 'libfko complete cycle', 'function' => \&perl_fko_module_complete_cycle_hmac, 'set_legacy_iv' => $NO, - 'fatal' => $NO }, { @@ -129,21 +127,18 @@ 'subcategory' => 'encrypt/decrypt', 'detail' => 'truncated keys', 'function' => \&perl_fko_module_rijndael_truncated_keys, - 'fatal' => $NO }, { 'category' => 'perl FKO module', 'subcategory' => 'encrypt/decrypt', 'detail' => 'invalid (long) keys', 'function' => \&perl_fko_module_long_keys, - 'fatal' => $NO }, { 'category' => 'perl FKO module', 'subcategory' => 'HMAC encrypt/decrypt', 'detail' => 'invalid (long) keys', 'function' => \&perl_fko_module_long_hmac_keys, - 'fatal' => $NO }, { @@ -152,7 +147,6 @@ 'detail' => 'complete cycle (mod reuse)', 'function' => \&perl_fko_module_complete_cycle_module_reuse, 'set_legacy_iv' => $NO, - 'fatal' => $NO }, { 'category' => 'perl FKO module', @@ -160,7 +154,6 @@ 'detail' => 'key with NULL handling', 'function' => \&perl_fko_module_key_with_null, 'set_legacy_iv' => $NO, - 'fatal' => $NO }, { @@ -169,7 +162,6 @@ 'detail' => 'complete cycle (mod reuse, lIV)', 'function' => \&perl_fko_module_complete_cycle_module_reuse, 'set_legacy_iv' => $YES, - 'fatal' => $NO }, { 'category' => 'perl FKO module', @@ -177,7 +169,6 @@ 'detail' => 'legacy IV REPLPKTS', 'function' => \&perl_fko_module_full_fuzzing_packets, 'set_legacy_iv' => $YES, - 'fatal' => $NO }, { 'category' => 'perl FKO module', @@ -185,7 +176,6 @@ 'detail' => 'non-legacy IV REPLPKTS', 'function' => \&perl_fko_module_full_fuzzing_packets, 'set_legacy_iv' => $NO, - 'fatal' => $NO }, { @@ -193,37 +183,31 @@ 'subcategory' => 'compatibility', 'detail' => 'client FKO -> C server', 'function' => \&perl_fko_module_client_compatibility, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'perl FKO module', 'subcategory' => 'compatibility', 'detail' => 'FKO -> C invalid legacy IV', 'function' => \&perl_fko_module_client_compatibility, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'legacy_iv_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'legacy_iv_access'} " . "-d $default_digest_file -p $default_pid_file " . "$intf_str", 'server_positive_output_matches' => [qr/Decryption failed/], 'fw_rule_created' => $REQUIRE_NO_NEW_RULE, - 'fatal' => $NO }, { 'category' => 'perl FKO module', 'subcategory' => 'compatibility', 'detail' => 'FKO -> C valid legacy IV', 'function' => \&perl_fko_module_client_compatibility, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'legacy_iv_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'legacy_iv_access'} " . "-d $default_digest_file -p $default_pid_file " . "$intf_str", 'set_legacy_iv' => $YES, 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, ); diff -Nru fwknop-2.5.1/test/tests/preliminaries.pl fwknop-2.6.0/test/tests/preliminaries.pl --- fwknop-2.5.1/test/tests/preliminaries.pl 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/test/tests/preliminaries.pl 2014-01-13 03:29:04.000000000 +0000 @@ -4,17 +4,15 @@ 'subcategory' => 'client', 'detail' => 'usage info', 'function' => \&generic_exec, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str $fwknopCmd -h", - 'fatal' => $NO + 'cmdline' => "$fwknopCmd -h", }, { 'category' => 'preliminaries', 'subcategory' => 'client', 'detail' => 'getopt() no such argument', 'function' => \&generic_exec, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str $fwknopCmd --no-such-arg", + 'cmdline' => "$fwknopCmd --no-such-arg", 'exec_err' => $YES, - 'fatal' => $NO }, { 'category' => 'preliminaries', @@ -23,7 +21,6 @@ 'function' => \&generic_exec, 'positive_output_matches' => [qr/test\smode\senabled/], 'cmdline' => "$default_client_args --test", - 'fatal' => $NO }, { @@ -31,8 +28,7 @@ 'subcategory' => 'client', 'detail' => 'expected code version', 'function' => \&expected_code_version, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str $fwknopCmd --version", - 'fatal' => $NO + 'cmdline' => "$fwknopCmd --version", }, { @@ -40,17 +36,15 @@ 'subcategory' => 'server', 'detail' => 'usage info', 'function' => \&generic_exec, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str $fwknopdCmd -h", - 'fatal' => $NO + 'cmdline' => "$fwknopdCmd -h", }, { 'category' => 'preliminaries', 'subcategory' => 'server', 'detail' => 'getopt() no such argument', 'function' => \&generic_exec, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str $fwknopdCmd --no-such-arg", + 'cmdline' => "$fwknopdCmd --no-such-arg", 'exec_err' => $YES, - 'fatal' => $NO }, { @@ -58,16 +52,13 @@ 'subcategory' => 'server', 'detail' => 'expected code version', 'function' => \&expected_code_version, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a " . + 'cmdline' => "$fwknopdCmd -c $cf{'def'} -a " . "$cf{'def_access'} --version", - 'fatal' => $NO }, { 'category' => 'preliminaries', 'detail' => 'collecting system specifics', 'function' => \&specs, 'binary' => $fwknopdCmd, - 'fatal' => $NO }, ); diff -Nru fwknop-2.5.1/test/tests/python_fko.pl fwknop-2.6.0/test/tests/python_fko.pl --- fwknop-2.5.1/test/tests/python_fko.pl 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/test/tests/python_fko.pl 2014-01-13 03:29:04.000000000 +0000 @@ -4,26 +4,22 @@ 'subcategory' => 'compile/install', 'detail' => 'to: ./python_fko/', 'function' => \&python_fko_compile_install, - 'fatal' => $NO }, { 'category' => 'python fko extension', 'subcategory' => 'basic exec', 'detail' => 'import and use fko', 'function' => \&python_fko_basic_exec, - 'fatal' => $NO }, { 'category' => 'python fko extension', 'subcategory' => 'compatibility', 'detail' => 'python->C', 'function' => \&python_fko_client_to_C_server, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_sha512_short_key2_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_sha512_short_key2_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, ); diff -Nru fwknop-2.5.1/test/tests/rijndael.pl fwknop-2.6.0/test/tests/rijndael.pl --- fwknop-2.5.1/test/tests/rijndael.pl 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/test/tests/rijndael.pl 2014-01-13 03:29:04.000000000 +0000 @@ -5,11 +5,33 @@ 'detail' => 'complete cycle (tcp/22 ssh)', 'function' => \&spa_cycle, 'cmdline' => $default_client_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO + }, + { + 'category' => 'Rijndael', + 'subcategory' => 'client+server', + 'detail' => 'short IP 1.1.1.1 (ssh)', + 'function' => \&spa_cycle, + 'cmdline' => "$fwknopCmd -A tcp/22 -a 1.1.1.1 -D $loopback_ip --get-key " . + "$local_key_file --no-save-args $verbose_str", + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", + 'fw_rule_created' => $NEW_RULE_REQUIRED, + 'fw_rule_removed' => $NEW_RULE_REMOVED, + 'no_ip_check' => 1 + }, + { + 'category' => 'Rijndael', + 'subcategory' => 'client+server', + 'detail' => 'long IP 123.123.123.123 (ssh)', + 'function' => \&spa_cycle, + 'cmdline' => "$fwknopCmd -A tcp/22 -a 123.123.123.123 -D $loopback_ip --get-key " . + "$local_key_file --no-save-args $verbose_str", + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", + 'fw_rule_created' => $NEW_RULE_REQUIRED, + 'fw_rule_removed' => $NEW_RULE_REMOVED, + 'no_ip_check' => 1 }, { 'category' => 'Rijndael', @@ -18,11 +40,9 @@ 'function' => \&spa_cycle, 'cmdline' => "echo $local_spa_key | $default_client_args_no_get_key " . "--fd 0", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -31,25 +51,20 @@ 'function' => \&spa_cycle, 'cmdline' => "echo $local_spa_key | $default_client_args_no_get_key " . "--stdin", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'Rijndael', 'subcategory' => 'client+server', 'detail' => 'localhost hostname->IP (tcp/22 ssh)', 'function' => \&spa_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A tcp/22 -a $fake_ip -D localhost --get-key " . - "$local_key_file --no-save-args --verbose --verbose", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", + 'cmdline' => "$fwknopCmd -A tcp/22 -a $fake_ip -D localhost --get-key " . + "$local_key_file --no-save-args $verbose_str", + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -57,32 +72,26 @@ 'detail' => 'rotate digest file', 'function' => \&rotate_digest_file, 'cmdline' => $default_client_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str --rotate-digest-cache", + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str --rotate-digest-cache", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'Rijndael', 'subcategory' => 'client', 'detail' => "--save-packet $tmp_pkt_file", 'function' => \&client_save_spa_pkt, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A tcp/22 -a $fake_ip -D $loopback_ip --get-key " . - "$local_key_file --save-args-file $tmp_args_file --verbose " . - "--verbose --save-packet $tmp_pkt_file", - 'fatal' => $NO + 'cmdline' => "$fwknopCmd -A tcp/22 -a $fake_ip -D $loopback_ip --get-key " . + "$local_key_file --save-args-file $tmp_args_file $verbose_str " . + "--save-packet $tmp_pkt_file", }, { 'category' => 'Rijndael', 'subcategory' => 'client', 'detail' => "--last-cmd", 'function' => \&generic_exec, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd --last-cmd --save-args-file $tmp_args_file " . - "--verbose --verbose", - 'fatal' => $NO + 'cmdline' => "$fwknopCmd --last-cmd --save-args-file $tmp_args_file " . + "$verbose_str", }, { @@ -91,12 +100,10 @@ 'detail' => 'permissions check cycle (tcp/22)', 'function' => \&permissions_check, 'cmdline' => $default_client_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", 'server_positive_output_matches' => [qr/permissions\sshould\sonly\sbe\suser/], 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -105,11 +112,9 @@ 'function' => \&spa_cycle, 'cmdline' => $client_ip_resolve_args, 'no_ip_check' => 1, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { @@ -118,11 +123,9 @@ 'detail' => 'complete cycle MD5 (tcp/22 ssh)', 'function' => \&spa_cycle, 'cmdline' => "$default_client_args -m md5", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { @@ -131,11 +134,9 @@ 'detail' => 'complete cycle SHA1 (tcp/22 ssh)', 'function' => \&spa_cycle, 'cmdline' => "$default_client_args -m sha1", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -143,11 +144,9 @@ 'detail' => 'complete cycle SHA256 (tcp/22 ssh)', 'function' => \&spa_cycle, 'cmdline' => "$default_client_args -m sha256", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -155,11 +154,9 @@ 'detail' => 'complete cycle SHA384 (tcp/22 ssh)', 'function' => \&spa_cycle, 'cmdline' => "$default_client_args -m sha384", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -167,11 +164,9 @@ 'detail' => 'complete cycle SHA512 (tcp/22 ssh)', 'function' => \&spa_cycle, 'cmdline' => "$default_client_args -m sha512", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { @@ -184,13 +179,11 @@ '8GuHEQbyE4TuEbP7zL2DVsTbQv8x3jp8mdHFM0v+9ZUfgZMjuZLBvAa8NnmUdAb' . '/OUvCP5PFDVbLDnZ+JYUFMGexGRwlk5CEKX8KA8R1Xh5xIdbVxWzy1lY1imRQD5' . 'wpIBx/hGB4O2G3mdJSe3w5zxGjE2JNSFKCAZzvgDmfLQM9A+tjMKPk6x', - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'def_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'def_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'server_positive_output_matches' => [qr/with expire time/], 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { @@ -199,8 +192,7 @@ 'detail' => 'iptables - no flush at init', 'function' => \&iptables_no_flush_init_exit, 'cmdline' => $default_client_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'no_flush_init'} -a $cf{'def_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'no_flush_init'} -a $cf{'def_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'server_positive_output_matches' => [ qr/\'\schain exists/, @@ -209,7 +201,6 @@ 'insert_rule_before_exec' => $YES, 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -217,8 +208,7 @@ 'detail' => 'iptables - no flush at exit', 'function' => \&iptables_no_flush_init_exit, 'cmdline' => $default_client_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'no_flush_exit'} -a $cf{'def_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'no_flush_exit'} -a $cf{'def_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'server_positive_output_matches' => [ qr/\'\schain exists/, @@ -228,7 +218,6 @@ 'search_for_rule_after_exit' => $YES, 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -236,8 +225,7 @@ 'detail' => 'iptables - no flush at init or exit', 'function' => \&iptables_no_flush_init_exit, 'cmdline' => $default_client_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'no_flush_init_or_exit'} -a $cf{'def_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'no_flush_init_or_exit'} -a $cf{'def_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'server_positive_output_matches' => [ qr/\'\schain exists/, @@ -247,7 +235,6 @@ 'search_for_rule_after_exit' => $YES, 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO, }, { @@ -258,7 +245,6 @@ 'cmdline' => "$default_client_args -m invaliddigest", 'positive_output_matches' => [qr/Invalid\sdigest\stype/i], 'fw_rule_created' => $REQUIRE_NO_NEW_RULE, - 'fatal' => $NO }, { @@ -266,18 +252,15 @@ 'subcategory' => 'client+server', 'detail' => 'dual usage access key (tcp/80 http)', 'function' => \&spa_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A tcp/80 -a $fake_ip -D $loopback_ip --get-key " . - "$local_key_file --verbose --verbose", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'dual_key_access'} " . + 'cmdline' => "$fwknopCmd -A tcp/80 -a $fake_ip -D $loopback_ip --get-key " . + "$local_key_file $verbose_str", + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'dual_key_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", ### check for the first stanza that does not allow tcp/80 - the ### second stanza allows this 'server_positive_output_matches' => [qr/stanza #1\)\sOne\sor\smore\srequested\sprotocol\/ports\swas\sdenied/], 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -285,19 +268,16 @@ 'detail' => 'create rc file (tcp/22 ssh)', 'function' => \&spa_cycle, 'cmdline' => "$default_client_args --rc-file $tmp_rc_file", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $tmp_rc_file, - 'fatal' => $NO }, { 'category' => 'basic operations', 'subcategory' => 'client', 'detail' => "rc file created", 'function' => \&rc_file_exists, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -306,12 +286,10 @@ 'function' => \&spa_cycle, 'cmdline' => "$default_client_args_no_get_key " . "--rc-file $cf{'rc_def_key'}", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_def_key'}, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -320,13 +298,11 @@ 'function' => \&spa_cycle, 'cmdline' => "$default_client_args_no_get_key " . "--rc-file $cf{'rc_def_b64_key'}", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'base64_key_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'base64_key_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_def_b64_key'}, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -335,12 +311,10 @@ 'function' => \&spa_cycle, 'cmdline' => "$default_client_args_no_get_key " . "--rc-file $cf{'rc_named_key'} -n testssh", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_named_key'}, - 'fatal' => $NO }, ### --key-gen tests @@ -349,30 +323,25 @@ 'subcategory' => 'client', 'detail' => '--key-gen', 'function' => \&generic_exec, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir " . - "$valgrind_str $fwknopCmd --key-gen", + 'cmdline' => "$fwknopCmd --key-gen", 'positive_output_matches' => [qr/^KEY_BASE64\:?\s\S{10}/, qw/HMAC_KEY_BASE64\:?\s\S{10}/], - 'fatal' => $NO }, { 'category' => 'Rijndael', 'subcategory' => 'client', 'detail' => "--key-gen $uniq_keys key uniqueness", 'function' => \&key_gen_uniqueness, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir " . - "$fwknopCmd --key-gen", ### no valgrind string (too slow for 100 client exec's) - 'fatal' => $NO + 'cmdline' => "$fwknopCmd --key-gen", ### no valgrind string (too slow for 100 client exec's) + 'disable_valgrind' => $YES, }, { 'category' => 'Rijndael', 'subcategory' => 'client', 'detail' => '--key-gen to file', 'function' => \&generic_exec, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir " . - "$valgrind_str $fwknopCmd --key-gen --key-gen-file $key_gen_file", + 'cmdline' => "$fwknopCmd --key-gen --key-gen-file $key_gen_file", 'positive_output_matches' => [qr/Wrote.*\skeys/], - 'fatal' => $NO }, ### rc file tests @@ -385,7 +354,6 @@ "--rc-file $cf{'rc_named_key'} -n invalidstanza", 'positive_output_matches' => [qr/Named\sconfiguration.*not\sfound/], 'key_file' => $cf{'rc_named_key'}, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -396,7 +364,6 @@ "--rc-file $cf{'rc_invalid_b64_key'} -n testssh", 'positive_output_matches' => [qr/look\slike\sbase64\-encoded/], 'key_file' => $cf{'rc_invalide_b64_key'}, - 'fatal' => $NO }, { @@ -405,11 +372,9 @@ 'detail' => 'packet aging (past) (tcp/22 ssh)', 'function' => \&spa_cycle, 'cmdline' => "$default_client_args --time-offset-minus 300s", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", 'server_positive_output_matches' => [qr/SPA\sdata\stime\sdifference/], 'fw_rule_created' => $REQUIRE_NO_NEW_RULE, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -417,11 +382,9 @@ 'detail' => 'packet aging (future) (tcp/22 ssh)', 'function' => \&spa_cycle, 'cmdline' => "$default_client_args --time-offset-plus 300s", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", 'server_positive_output_matches' => [qr/SPA\sdata\stime\sdifference/], 'fw_rule_created' => $REQUIRE_NO_NEW_RULE, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -429,13 +392,11 @@ 'detail' => 'invalid SOURCE (tcp/22 ssh)', 'function' => \&spa_cycle, 'cmdline' => $default_client_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'invalid_src_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'invalid_src_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'server_positive_output_matches' => [qr/Fatal\serror\sparsing\sIP\sto\sint/], 'server_exec_err' => $YES, 'fw_rule_created' => $REQUIRE_NO_NEW_RULE, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -443,12 +404,10 @@ 'detail' => 'expired stanza (tcp/22 ssh)', 'function' => \&spa_cycle, 'cmdline' => $default_client_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'exp_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'exp_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'server_positive_output_matches' => [qr/Access\sstanza\shas\sexpired/], 'fw_rule_created' => $REQUIRE_NO_NEW_RULE, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -456,13 +415,11 @@ 'detail' => 'invalid expire date (tcp/22 ssh)', 'function' => \&spa_cycle, 'cmdline' => $default_client_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'invalid_exp_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'invalid_exp_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'server_positive_output_matches' => [qr/invalid\sdate\svalue/], 'fw_rule_created' => $REQUIRE_NO_NEW_RULE, 'server_exec_err' => $YES, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -470,12 +427,10 @@ 'detail' => 'expired epoch stanza (tcp/22 ssh)', 'function' => \&spa_cycle, 'cmdline' => $default_client_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'exp_epoch_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'exp_epoch_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'server_positive_output_matches' => [qr/Access\sstanza\shas\sexpired/], 'fw_rule_created' => $REQUIRE_NO_NEW_RULE, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -483,12 +438,10 @@ 'detail' => 'future expired stanza (tcp/22 ssh)', 'function' => \&spa_cycle, 'cmdline' => $default_client_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'future_exp_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'future_exp_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { @@ -497,12 +450,10 @@ 'detail' => 'OPEN_PORTS (tcp/22 ssh)', 'function' => \&spa_cycle, 'cmdline' => $default_client_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'open_ports_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'open_ports_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -510,12 +461,10 @@ 'detail' => 'OPEN_PORTS mismatch', 'function' => \&spa_cycle, 'cmdline' => $default_client_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'open_ports_mismatch'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'open_ports_mismatch'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'server_positive_output_matches' => [qr/One\s+or\s+more\s+requested/], 'fw_rule_created' => $REQUIRE_NO_NEW_RULE, - 'fatal' => $NO }, ### spoof the source IP on the SPA packet @@ -525,12 +474,10 @@ 'detail' => "udpraw spoof src IP (tcp/22 ssh)", 'function' => \&spa_cycle, 'cmdline' => "$default_client_args -P udpraw -Q $spoof_ip", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'server_positive_output_matches' => [qr/SPA\sPacket\sfrom\sIP\:\s$spoof_ip\s/], - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -538,13 +485,11 @@ 'detail' => "tcpraw spoof src IP (tcp/22 ssh)", 'function' => \&spa_cycle, 'cmdline' => "$default_client_args -P tcpraw -Q $spoof_ip", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'tcp_pcap_filter'} -a $cf{'def_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'tcp_pcap_filter'} -a $cf{'def_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'server_positive_output_matches' => [qr/SPA\sPacket\sfrom\sIP\:\s$spoof_ip\s/], - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -552,13 +497,11 @@ 'detail' => "icmp spoof src IP (tcp/22 ssh)", 'function' => \&spa_cycle, 'cmdline' => "$default_client_args -P icmp -Q $spoof_ip", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'icmp_pcap_filter'} -a $cf{'def_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'icmp_pcap_filter'} -a $cf{'def_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'server_positive_output_matches' => [qr/SPA\sPacket\sfrom\sIP\:\s$spoof_ip\s/], - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -566,13 +509,11 @@ 'detail' => "icmp type/code 8/0 spoof src IP", 'function' => \&spa_cycle, 'cmdline' => "$default_client_args -P icmp --icmp-type 8 --icmp-code 0 -Q $spoof_ip", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'icmp_pcap_filter'} -a $cf{'def_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'icmp_pcap_filter'} -a $cf{'def_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'server_positive_output_matches' => [qr/SPA\sPacket\sfrom\sIP\:\s$spoof_ip\s/], - 'fatal' => $NO }, ### SPA over TCP (not really "single" packet auth since a TCP connection @@ -583,12 +524,10 @@ 'detail' => "SPA over TCP connection", 'function' => \&spa_cycle, 'cmdline' => "$default_client_args -P tcp", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'tcp_server'} -a $cf{'def_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'tcp_server'} -a $cf{'def_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { @@ -597,12 +536,10 @@ 'detail' => 'require user (tcp/22 ssh)', 'function' => \&spa_cycle, 'cmdline' => "SPOOF_USER=$spoof_user $default_client_args", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'require_user_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'require_user_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -611,12 +548,10 @@ 'function' => \&user_mismatch, 'function' => \&spa_cycle, 'cmdline' => $default_client_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'user_mismatch_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'user_mismatch_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'server_positive_output_matches' => [qr/Username\s+in\s+SPA\s+data/], 'fw_rule_created' => $REQUIRE_NO_NEW_RULE, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -624,27 +559,22 @@ 'detail' => 'require src (tcp/22 ssh)', 'function' => \&spa_cycle, 'cmdline' => $default_client_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'require_src_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'require_src_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'Rijndael', 'subcategory' => 'client+server', 'detail' => 'mismatch require src (tcp/22 ssh)', 'function' => \&spa_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A tcp/22 -s -D $loopback_ip --get-key " . - "$local_key_file --verbose --verbose", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'require_src_access'} " . + 'cmdline' => "$fwknopCmd -A tcp/22 -s -D $loopback_ip --get-key " . + "$local_key_file $verbose_str", + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'require_src_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'server_positive_output_matches' => [qr/Got\s0.0.0.0\swhen\svalid\ssource\sIP/], 'fw_rule_created' => $REQUIRE_NO_NEW_RULE, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -652,14 +582,11 @@ 'detail' => 'allow -s (tcp/22 ssh)', 'no_ip_check' => 1, 'function' => \&spa_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A tcp/22 -s -D $loopback_ip --get-key " . - "$local_key_file --verbose --verbose", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", + 'cmdline' => "$fwknopCmd -A tcp/22 -s -D $loopback_ip --get-key " . + "$local_key_file $verbose_str", + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { @@ -668,12 +595,10 @@ 'detail' => 'IP filtering (tcp/22 ssh)', 'function' => \&spa_cycle, 'cmdline' => $default_client_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'no_src_match'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'no_src_match'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'server_positive_output_matches' => [qr/No\saccess\sdata\sfound/], 'fw_rule_created' => $REQUIRE_NO_NEW_RULE, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -681,12 +606,10 @@ 'detail' => 'subnet filtering (tcp/22 ssh)', 'function' => \&spa_cycle, 'cmdline' => $default_client_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'no_subnet_match'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'no_subnet_match'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'server_positive_output_matches' => [qr/No\saccess\sdata\sfound/], 'fw_rule_created' => $REQUIRE_NO_NEW_RULE, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -694,12 +617,10 @@ 'detail' => 'IP+subnet filtering (tcp/22 ssh)', 'function' => \&spa_cycle, 'cmdline' => $default_client_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'no_multi_src'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'no_multi_src'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'server_positive_output_matches' => [qr/No\saccess\sdata\sfound/], 'fw_rule_created' => $REQUIRE_NO_NEW_RULE, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -707,12 +628,10 @@ 'detail' => 'IP match (tcp/22 ssh)', 'function' => \&spa_cycle, 'cmdline' => $default_client_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'ip_src_match'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'ip_src_match'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -720,12 +639,10 @@ 'detail' => 'subnet match (tcp/22 ssh)', 'function' => \&spa_cycle, 'cmdline' => $default_client_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'subnet_src_match'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'subnet_src_match'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -733,12 +650,10 @@ 'detail' => 'multi IP/net match (tcp/22 ssh)', 'function' => \&spa_cycle, 'cmdline' => $default_client_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'multi_src_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'multi_src_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -746,12 +661,10 @@ 'detail' => 'multi access stanzas (tcp/22 ssh)', 'function' => \&spa_cycle, 'cmdline' => $default_client_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'multi_stanza_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'multi_stanza_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -759,12 +672,10 @@ 'detail' => 'bad/good key stanzas (tcp/22 ssh)', 'function' => \&spa_cycle, 'cmdline' => $default_client_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'broken_keys_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'broken_keys_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { @@ -773,12 +684,10 @@ 'detail' => "non-enabled NAT (tcp/22 ssh)", 'function' => \&spa_cycle, 'cmdline' => "$default_client_args -N $internal_nat_host:22", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", 'server_positive_output_matches' => [qr/requested\sNAT\saccess.*not\senabled/i], 'server_conf' => $cf{'def'}, 'fw_rule_created' => $REQUIRE_NO_NEW_RULE, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -786,33 +695,64 @@ 'detail' => "NAT to $internal_nat_host (tcp/22 ssh)", 'function' => \&spa_cycle, 'cmdline' => "$default_client_args -N $internal_nat_host:22", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'nat'} -a $cf{'open_ports_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'nat'} -a $cf{'open_ports_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'server_positive_output_matches' => [ qr/FWKNOP_FORWARD\s.*dport\s22\s/, - qr/to\:$internal_nat_host\:22/i], + qr/\*\/\sto\:$internal_nat_host\:22/i], 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'server_conf' => $cf{'nat'}, - 'fatal' => $NO }, { 'category' => 'Rijndael', 'subcategory' => 'client+server', + 'detail' => "SNAT $internal_nat_host", + 'function' => \&spa_cycle, + 'cmdline' => "$default_client_args -N $internal_nat_host:22", + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'snat'} -a $cf{'open_ports_access'} " . + "-d $default_digest_file -p $default_pid_file $intf_str", + 'server_positive_output_matches' => [ + qr/FWKNOP_FORWARD\s.*dport\s22\s/, + qr/\*\/\sto\:$internal_nat_host\:22/i], + 'no_ip_check' => 1, + 'fw_rule_created' => $NEW_RULE_REQUIRED, + 'fw_rule_removed' => $NEW_RULE_REMOVED, + 'server_conf' => $cf{'snat'}, + }, + { + 'category' => 'Rijndael', + 'subcategory' => 'client+server', + 'detail' => "SNAT MASQUERADE", + 'function' => \&spa_cycle, + 'cmdline' => "$default_client_args -N $internal_nat_host:22", + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'snat_no_translate_ip'} -a $cf{'open_ports_access'} " . + "-d $default_digest_file -p $default_pid_file $intf_str", + 'server_positive_output_matches' => [ + qr/FWKNOP_FORWARD\s.*dport\s22\s/, + qr/\*\/\sto\:$internal_nat_host\:22/i, + qr/MASQUERADE\s.*to\-ports/, + ], + 'no_ip_check' => 1, + 'fw_rule_created' => $NEW_RULE_REQUIRED, + 'fw_rule_removed' => $NEW_RULE_REMOVED, + 'server_conf' => $cf{'snat_no_translate_ip'}, + }, + + { + 'category' => 'Rijndael', + 'subcategory' => 'client+server', 'detail' => "NAT hostname->IP (tcp/22 ssh)", 'function' => \&spa_cycle, 'cmdline' => "$default_client_args -N localhost:22", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'nat'} -a $cf{'open_ports_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'nat'} -a $cf{'open_ports_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'server_positive_output_matches' => [ qr/FWKNOP_FORWARD\s.*dport\s22\s/, - qr/to\:127.0.0.1\:22/i], + qr/\*\/\sto\:127.0.0.1\:22/i], 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'server_conf' => $cf{'nat'}, - 'fatal' => $NO }, { @@ -820,19 +760,16 @@ 'subcategory' => 'client+server', 'detail' => "NAT tcp/80 to $internal_nat_host tcp/22", 'function' => \&spa_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A tcp/80 -a $fake_ip -D $loopback_ip --get-key " . - "$local_key_file --verbose --verbose -N $internal_nat_host:22", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'nat'} -a $cf{'def_access'} " . + 'cmdline' => "$fwknopCmd -A tcp/80 -a $fake_ip -D $loopback_ip --get-key " . + "$local_key_file $verbose_str -N $internal_nat_host:22", + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'nat'} -a $cf{'def_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'server_positive_output_matches' => [ qr/FWKNOP_FORWARD\s.*dport\s22\s/, - qr/to\:$internal_nat_host\:22/i], + qr/\*\/\sto\:$internal_nat_host\:22/i], 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'server_conf' => $cf{'nat'}, - 'fatal' => $NO }, { @@ -842,7 +779,6 @@ 'function' => \&generic_exec, 'exec_err' => $YES, 'cmdline' => "$default_client_args -N 999.1.1.1:22", - 'fatal' => $NO }, { @@ -851,15 +787,13 @@ 'detail' => "force NAT $force_nat_host (tcp/22 ssh)", 'function' => \&spa_cycle, 'cmdline' => $default_client_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'nat'} -a $cf{'force_nat_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'nat'} -a $cf{'force_nat_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'server_positive_output_matches' => [qr/\sto\:$force_nat_host\:22/i], 'server_negative_output_matches' => [qr/\sto\:$internal_nat_host\:22/i], 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'server_conf' => $cf{'nat'}, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -867,35 +801,30 @@ 'detail' => "local NAT $force_nat_host (tcp/22 ssh)", 'function' => \&spa_cycle, 'cmdline' => "$default_client_args --nat-local", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'local_nat'} -a $cf{'force_nat_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'local_nat'} -a $cf{'force_nat_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", - 'server_positive_output_matches' => [qr/to\:$force_nat_host\:22/i, + 'server_positive_output_matches' => [qr/\*\/\sto\:$force_nat_host\:22/i, qr/FWKNOP_INPUT.*dport\s22.*\sACCEPT/], - 'server_negative_output_matches' => [qr/to\:$internal_nat_host\:22/i], + 'server_negative_output_matches' => [qr/\*\/\sto\:$internal_nat_host\:22/i], 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'server_conf' => $cf{'local_nat'}, - 'fatal' => $NO }, { 'category' => 'Rijndael', 'subcategory' => 'client+server', 'detail' => "local NAT hostname->IP (tcp/22 ssh)", 'function' => \&spa_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A tcp/22 -a $fake_ip -D localhost --nat-local " . - "--get-key $local_key_file --no-save-args --verbose --verbose", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'local_nat'} -a $cf{'force_nat_access'} " . + 'cmdline' => "$fwknopCmd -A tcp/22 -a $fake_ip -D localhost --nat-local " . + "--get-key $local_key_file --no-save-args $verbose_str", + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'local_nat'} -a $cf{'force_nat_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", - 'server_positive_output_matches' => [qr/to\:$force_nat_host\:22/i, + 'server_positive_output_matches' => [qr/\*\/\sto\:$force_nat_host\:22/i, qr/FWKNOP_INPUT.*dport\s22.*\sACCEPT/], - 'server_negative_output_matches' => [qr/to\:$internal_nat_host\:22/i], + 'server_negative_output_matches' => [qr/\*\/\sto\:$internal_nat_host\:22/i], 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'server_conf' => $cf{'local_nat'}, - 'fatal' => $NO }, { @@ -904,16 +833,14 @@ 'detail' => "local NAT rand port to tcp/22", 'function' => \&spa_cycle, 'cmdline' => "$default_client_args --nat-local --nat-rand-port", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'local_nat'} -a $cf{'def_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'local_nat'} -a $cf{'def_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", - 'server_positive_output_matches' => [qr/to\:$loopback_ip\:22/i, + 'server_positive_output_matches' => [qr|\s\*\/\sto\:$loopback_ip\:22|i, qr/FWKNOP_INPUT.*dport\s22.*\sACCEPT/], - 'server_negative_output_matches' => [qr/to\:$internal_nat_host\:22/i], + 'server_negative_output_matches' => [qr/\*\/\sto\:$internal_nat_host\:22/i], 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'server_conf' => $cf{'local_nat'}, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -921,8 +848,7 @@ 'detail' => "NAT rand port to tcp/22", 'function' => \&spa_cycle, 'cmdline' => "$default_client_args --nat-rand-port -N $internal_nat_host", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'nat'} -a $cf{'def_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'nat'} -a $cf{'def_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'server_positive_output_matches' => [ qr/FWKNOP_FORWARD.*dport\s22\s.*\sACCEPT/, @@ -931,7 +857,6 @@ 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'server_conf' => $cf{'nat'}, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -939,8 +864,7 @@ 'detail' => "NAT rand port to -N :40001", 'function' => \&spa_cycle, 'cmdline' => "$default_client_args --nat-rand-port -N $internal_nat_host:40001", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'nat'} -a $cf{'def_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'nat'} -a $cf{'def_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'server_positive_output_matches' => [ qr/FWKNOP_FORWARD.*dport\s40001\s.*\sACCEPT/, @@ -949,7 +873,6 @@ 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'server_conf' => $cf{'nat'}, - 'fatal' => $NO }, @@ -958,19 +881,16 @@ 'subcategory' => 'client+server', 'detail' => "local NAT non-FORCE_NAT (tcp/22)", 'function' => \&spa_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A tcp/22 -a $fake_ip -D $loopback_ip --get-key " . - "$local_key_file --verbose --verbose --nat-local --nat-port 80", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'local_nat'} -a $cf{'def_access'} " . + 'cmdline' => "$fwknopCmd -A tcp/22 -a $fake_ip -D $loopback_ip --get-key " . + "$local_key_file $verbose_str --nat-local --nat-port 80", + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'local_nat'} -a $cf{'def_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", - 'server_positive_output_matches' => [qr/to\:$loopback_ip\:22/i, + 'server_positive_output_matches' => [qr|\s\*\/\sto\:$loopback_ip\:22|i, qr/FWKNOP_INPUT.*dport\s22.*\sACCEPT/], - 'server_negative_output_matches' => [qr/to\:$internal_nat_host\:22/i], + 'server_negative_output_matches' => [qr/\*\/\sto\:$internal_nat_host\:22/i], 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'server_conf' => $cf{'local_nat'}, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -978,13 +898,11 @@ 'detail' => 'ECB mode (tcp/22 ssh)', 'function' => \&spa_cycle, 'cmdline' => "$default_client_args -M ecb", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'ecb_mode_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'ecb_mode_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'server_negative_output_matches' => [qr/Decryption\sfailed/i], 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -992,13 +910,11 @@ 'detail' => 'CFB mode (tcp/22 ssh)', 'function' => \&spa_cycle, 'cmdline' => "$default_client_args -M cfb", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'cfb_mode_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'cfb_mode_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'server_negative_output_matches' => [qr/Decryption\sfailed/i], 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -1006,13 +922,11 @@ 'detail' => 'CTR mode (tcp/22 ssh)', 'function' => \&spa_cycle, 'cmdline' => "$default_client_args -M ctr", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'ctr_mode_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'ctr_mode_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'server_negative_output_matches' => [qr/Decryption\sfailed/i], 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -1020,13 +934,11 @@ 'detail' => 'OFB mode (tcp/22 ssh)', 'function' => \&spa_cycle, 'cmdline' => "$default_client_args -M ofb", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'ofb_mode_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'ofb_mode_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'server_negative_output_matches' => [qr/Decryption\sfailed/i], 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { @@ -1035,31 +947,26 @@ 'detail' => 'mode mismatch (tcp/22 ssh)', 'function' => \&spa_cycle, 'cmdline' => "$default_client_args -M ecb", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'def_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'def_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'server_positive_output_matches' => [qr/Decryption\sfailed/i], 'fw_rule_created' => $REQUIRE_NO_NEW_RULE, - 'fatal' => $NO }, ### --pcap-file { 'category' => 'Rijndael', - 'subcategory' => 'client+server', + 'subcategory' => 'server', 'detail' => '--pcap-file processing', 'function' => \&process_pcap_file_directly, 'cmdline' => '', - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'legacy_iv_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'legacy_iv_access'} " . "-d $default_digest_file -p $default_pid_file " . - "--pcap-file $replay_pcap_file --foreground --verbose --verbose " . - "--verbose", + "--pcap-file $replay_pcap_file --foreground $verbose_str --verbose", 'server_positive_output_matches' => [qr/Replay\sdetected/i, qr/candidate\sSPA/, qr/0x0000\:\s+2b/], 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { @@ -1067,70 +974,55 @@ 'subcategory' => 'client+server', 'detail' => 'complete cycle (tcp/23 telnet)', 'function' => \&spa_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A tcp/23 -a $fake_ip -D $loopback_ip --get-key " . - "$local_key_file --verbose --verbose", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", + 'cmdline' => "$fwknopCmd -A tcp/23 -a $fake_ip -D $loopback_ip --get-key " . + "$local_key_file $verbose_str", + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'Rijndael', 'subcategory' => 'client+server', 'detail' => 'complete cycle (tcp/9418 git)', 'function' => \&spa_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A tcp/9418 -a $fake_ip -D $loopback_ip --get-key " . - "$local_key_file --verbose --verbose", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", + 'cmdline' => "$fwknopCmd -A tcp/9418 -a $fake_ip -D $loopback_ip --get-key " . + "$local_key_file $verbose_str", + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'Rijndael', 'subcategory' => 'client+server', 'detail' => 'complete cycle (tcp/60001)', 'function' => \&spa_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A tcp/60001 -a $fake_ip -D $loopback_ip --get-key " . - "$local_key_file --verbose --verbose", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", + 'cmdline' => "$fwknopCmd -A tcp/60001 -a $fake_ip -D $loopback_ip --get-key " . + "$local_key_file $verbose_str", + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'Rijndael', 'subcategory' => 'client+server', 'detail' => 'multi port (tcp/60001,udp/60001)', 'function' => \&spa_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A tcp/60001,udp/60001 -a $fake_ip -D $loopback_ip --get-key " . - "$local_key_file --verbose --verbose", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", + 'cmdline' => "$fwknopCmd -A tcp/60001,udp/60001 -a $fake_ip -D $loopback_ip --get-key " . + "$local_key_file $verbose_str", + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'Rijndael', 'subcategory' => 'client+server', 'detail' => 'multi port (tcp/22,udp/53,tcp/1234)', 'function' => \&spa_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A tcp/22,udp/53,tcp/1234 -a $fake_ip -D $loopback_ip --get-key " . - "$local_key_file --verbose --verbose", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", + 'cmdline' => "$fwknopCmd -A tcp/22,udp/53,tcp/1234 -a $fake_ip -D $loopback_ip --get-key " . + "$local_key_file $verbose_str", + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { @@ -1138,14 +1030,11 @@ 'subcategory' => 'client+server', 'detail' => 'complete cycle (udp/53 dns)', 'function' => \&spa_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A udp/53 -a $fake_ip -D $loopback_ip --get-key " . - "$local_key_file --verbose --verbose", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", + 'cmdline' => "$fwknopCmd -A udp/53 -a $fake_ip -D $loopback_ip --get-key " . + "$local_key_file $verbose_str", + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -1153,13 +1042,11 @@ 'detail' => "-P bpf SPA over port $non_std_spa_port", 'function' => \&spa_cycle, 'cmdline' => "$default_client_args --server-port $non_std_spa_port", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str " . + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str " . qq|-P "udp port $non_std_spa_port"|, 'server_positive_output_matches' => [qr/PCAP\sfilter.*\s$non_std_spa_port/], 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { @@ -1168,12 +1055,10 @@ 'detail' => 'random SPA port (tcp/22 ssh)', 'function' => \&spa_cycle, 'cmdline' => "$default_client_args -r", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str " . + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str " . qq|-P "udp"|, 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { @@ -1183,12 +1068,10 @@ 'function' => \&spa_cycle, 'cmdline' => "SPOOF_USER=$spoof_user LD_LIBRARY_PATH=$lib_dir $valgrind_str " . "$fwknopCmd -A tcp/22 -a $fake_ip -D $loopback_ip --get-key " . - "$local_key_file --verbose --verbose", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", + "$local_key_file $verbose_str", + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", 'positive_output_matches' => [qr/Username:\s*$spoof_user/], 'server_positive_output_matches' => [qr/Username:\s*$spoof_user/], - 'fatal' => $NO }, ### ensure iptables rules are not duplicated for identical (and non-replayed) @@ -1199,9 +1082,7 @@ 'detail' => 'iptables rules not duplicated', 'function' => \&iptables_rules_not_duplicated, 'cmdline' => "$default_client_args --test", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", - 'fatal' => $NO + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", }, { @@ -1209,7 +1090,6 @@ 'subcategory' => 'server', 'detail' => 'digest cache structure', 'function' => \&digest_cache_structure, - 'fatal' => $NO }, ### ipfw only tests @@ -1218,25 +1098,20 @@ 'subcategory' => 'server', 'detail' => 'ipfw active/expire sets not equal', 'function' => \&generic_exec, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'ipfw_active_expire'} -a $cf{'def_access'} " . + 'cmdline' => "$fwknopdCmd -c $cf{'ipfw_active_expire'} -a $cf{'def_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'positive_output_matches' => [qr/Cannot\sset\sidentical\sipfw\sactive\sand\sexpire\ssets/], 'exec_err' => $YES, - 'fatal' => $NO }, { 'category' => 'Rijndael', 'subcategory' => 'client+server', 'detail' => 'localhost hostname->IP spoofed', 'function' => \&spa_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A tcp/22 -a $fake_ip -D localhost --get-key " . - "$local_key_file --no-save-args --verbose --verbose -Q $spoof_ip", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", + 'cmdline' => "$fwknopCmd -A tcp/22 -a $fake_ip -D localhost --get-key " . + "$local_key_file --no-save-args $verbose_str -Q $spoof_ip", + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, ); diff -Nru fwknop-2.5.1/test/tests/rijndael_backwards_compatibility.pl fwknop-2.6.0/test/tests/rijndael_backwards_compatibility.pl --- fwknop-2.5.1/test/tests/rijndael_backwards_compatibility.pl 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/test/tests/rijndael_backwards_compatibility.pl 2014-01-13 03:29:04.000000000 +0000 @@ -11,12 +11,10 @@ 'KPDM+Bu9g0XwmCEVxxg+4jwBwtbCxVt9t5aSR29EVWZ6UAOwLkunK3t4FYBy1tL' . '55krFt+1B2TtNSAH005kyDEZEOIGoY9Q/iU', 'server_positive_output_matches' => [qr/with expire time/], - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'legacy_iv_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'legacy_iv_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -29,12 +27,10 @@ 'Voq3QvBbIwkXJ63/oU+XxvP5R+DBLEnh3e/NHPFK6NB0WT2dujVyVxwBfvvWjIqW' . 'Hhro2tH34nqfTRIpevfLTMx7r+N8ZQ4V8', 'server_positive_output_matches' => [qr/with expire time/], - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'legacy_iv_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'legacy_iv_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -47,12 +43,10 @@ 'Ksk30QvkwHtPhl7I0oDz1bO+2K2JbDbyc0KBBzVNMLgJcuYgEpOXPkX2XhcTsgQ' . 'Vw2/Va/aUjvEvNPtwuipQS6DLTzOw/qy+/g', 'server_positive_output_matches' => [qr/with expire time/], - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'legacy_iv_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'legacy_iv_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -64,12 +58,10 @@ 'Sop/Iy6v+BCn9D+QD7eT7JI6BIoKp14K+8iNgKaNw1BdfgF1XDulpkNEdyG0fXz5' . 'M+GledHfz2d49aYThoQ2Cr8Iw1ycViawY', 'server_positive_output_matches' => [qr/with expire time/], - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'legacy_iv_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'legacy_iv_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -81,13 +73,11 @@ 'Sop/Iy6v+BCn9D+QD7eT7JI6BIoKp14K+8iNgKaNw1BdfgF1XDulpkNEdyG0fXz5' . 'M+GledHfz2d49aYThoQ2Cr8Iw1ycViawY', 'server_positive_output_matches' => [qr/with expire time/], - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'dual_key_legacy_iv_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'dual_key_legacy_iv_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'mv_and_restore_replay_cache' => $YES, - 'fatal' => $NO }, { @@ -100,12 +90,10 @@ 'vQznpnGb05Md4ZgexHZGzZdSwsP8iVtcZdsgCBfeO4Eqs8OaSMjJVF8SQ+Jmhu' . 'XZMcWgMsIzhpprJ7JX41DrWd0OtBnE3rVwsN0', 'server_positive_output_matches' => [qr/with expire time/], - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'legacy_iv_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'legacy_iv_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -117,13 +105,11 @@ 'vQznpnGb05Md4ZgexHZGzZdSwsP8iVtcZdsgCBfeO4Eqs8OaSMjJVF8SQ+Jmhu' . 'XZMcWgMsIzhpprJ7JX41DrWd0OtBnE3rVwsN0', 'server_positive_output_matches' => [qr/with expire time/], - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'dual_key_legacy_iv_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'dual_key_legacy_iv_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'mv_and_restore_replay_cache' => $YES, - 'fatal' => $NO }, ### The SPA packets for the following two tests were generated by @@ -138,12 +124,10 @@ 'bL7PclPqPaGOrDeUCyMERFAkO/InryQUYtNlwnjcQdo15+JewnPj8XMDEtmvM' . 'jBZ7GmmG3WabIHzHcIi1xsBvoAwYCtxOH+GivVA', 'server_positive_output_matches' => [qr/with expire time/], - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'legacy_iv_long_key_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'legacy_iv_long_key_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -156,12 +140,10 @@ 'T2HsgbcGzTHXZAV5kMVTLG0ZM', 'server_positive_output_matches' => [qr/with expire time/, qr/truncating\sencryption\skey/], - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'legacy_iv_long_key2_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'legacy_iv_long_key2_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { @@ -176,11 +158,27 @@ 'QFKZ8mmKwR/5DIO7k3qrXYGxYP0bnHYsih0HIE6CzSHlBGSf' . 'DJR92YhjYtL4Q', 'server_positive_output_matches' => [qr/with expire time/], - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'android_legacy_iv_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'android_legacy_iv_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, + { + 'category' => 'Rijndael', + 'subcategory' => 'Android compatibility', + 'detail' => 'v4.4', + 'function' => \&backwards_compatibility, + 'no_ip_check' => 1, + 'pkt' => + '/Nx+t6S6IDIFoYROp2V29yj9MmHVlrPKNQKuo3DTHa8/EJmu3fkp' . + 'cv4xpiaQ82q2I2u9ia1L3SOrscltFhhNUkFdOguM+fMnd8koQL1a' . + '/gafXo83MiZzxzDmROiJ+qrmB4qnDgp8Vtd/E5ExEOoZggOkroak' . + '/PALo', + 'server_positive_output_matches' => [qr/with expire time/], + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'android_access'} " . + "-d $default_digest_file -p $default_pid_file $intf_str", + 'fw_rule_created' => $NEW_RULE_REQUIRED, + 'fw_rule_removed' => $NEW_RULE_REMOVED, + }, + ); diff -Nru fwknop-2.5.1/test/tests/rijndael_cmd_exec.pl fwknop-2.6.0/test/tests/rijndael_cmd_exec.pl --- fwknop-2.5.1/test/tests/rijndael_cmd_exec.pl 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/test/tests/rijndael_cmd_exec.pl 2014-01-13 03:29:04.000000000 +0000 @@ -8,14 +8,11 @@ 'subcategory' => 'client+server', 'detail' => 'command execution', 'function' => \&spa_cmd_exec_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - qq|$fwknopCmd --server-cmd "echo fwknoptest > $cmd_exec_test_file" | . + 'cmdline' => qq|$fwknopCmd --server-cmd "echo fwknoptest > $cmd_exec_test_file" | . "-a $fake_ip -D $loopback_ip --get-key $local_key_file " . - "--verbose --verbose", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'cmd_access'} " . + "$verbose_str", + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'cmd_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $REQUIRE_NO_NEW_RULE, - 'fatal' => $NO }, ); diff -Nru fwknop-2.5.1/test/tests/rijndael_fuzzing.pl fwknop-2.6.0/test/tests/rijndael_fuzzing.pl --- fwknop-2.5.1/test/tests/rijndael_fuzzing.pl 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/test/tests/rijndael_fuzzing.pl 2014-01-13 03:29:04.000000000 +0000 @@ -22,10 +22,8 @@ 'ptSBJJUZi0tozpKHETp3AgqfzyOy5FNs38aZsV5/sDl3Pt+kF7fTZJ+YLbmYY4yCUz2' . 'ZUYoCaJ7X78ULyJTi5eT7nug', 'server_positive_output_matches' => [qr/Args\scontain\sinvalid\sdata/], - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'legacy_iv_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'legacy_iv_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -49,10 +47,8 @@ '5OD8KiV6qzqLOvN4ULJjvvJJWBZ9qvo/f2Q9Wf67g2KHiwS6EeCINAuMoUw/mNRQMa4' . 'oGnOXu3/DeWHJAwtSeh7EAr4', 'server_positive_output_matches' => [qr/Args\scontain\sinvalid\sdata/], - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'legacy_iv_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'legacy_iv_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -78,10 +74,8 @@ 'qUvY8lkymbwvjelVok7Lvlc06cRhN4zm32D4V05g0vQS3PlX9C+mgph9DeAPVX+D8iZ' . '8lGrxcPSfbCOW61k0MP+q1EhLZkc1qAm5g2+2cLNZcoBNEdh3yj8OTPZJyBVw', 'server_positive_output_matches' => [qr/Args\scontain\sinvalid\sdata/], - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'legacy_iv_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'legacy_iv_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -100,10 +94,8 @@ '8E4lMnq+EbM2XYdhs2alpZ5bovAFojMsYRWwr/BvRO4Um4Fmo9z9sY3DR477TXNYXBR' . 'iGXWxSL4u+AWSSePK3qiiYoRQVw', 'server_positive_output_matches' => [qr/Args\scontain\sinvalid\sdata/], - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'legacy_iv_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'legacy_iv_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -122,10 +114,8 @@ '07zvcT03keUhLE1Uo7Wme1nE7BfTOG5stmIK1UQI85sL52//lDHu+xCqNcL7GUKbVRz' . 'ekw+EUscVvUkrsRcVtSvOm+fCNo', 'server_positive_output_matches' => [qr/Args\scontain\sinvalid\sdata/], - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'legacy_iv_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'legacy_iv_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -154,10 +144,8 @@ 'F0NPQvOT3ZvpeIJnirKP1ZX9gDFinqhuzL7oqktW61e1iwe7KZEdrZV0k2KZwyb8qU5' . 'rPAEnw', 'server_positive_output_matches' => [qr/No\sstanza\sencryption\smode\smatch/], - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'legacy_iv_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'legacy_iv_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -182,10 +170,8 @@ 'tE8QyuOXzOMftI11SUn/LwqD4RMdR21rvLrzR6ZB5eUX2UBpODyzX6n+PJJkTWCuFVT4z1' . 'MKY', 'server_positive_output_matches' => [qr/Args\scontain\sinvalid\sdata/], - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'legacy_iv_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'legacy_iv_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", - 'fatal' => $NO }, { @@ -205,10 +191,8 @@ 'd/diWYKAUvdQ4DydPGlR7mwQa2W+obKpqrsTBz7D4054z6ATAOGpCtifakEVl1XRc2+' . 'hW04WpY8mdUNu9i+PrfPr7/KxqU', 'server_positive_output_matches' => [qr/Args\scontain\sinvalid\sdata/], - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'legacy_iv_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'legacy_iv_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -227,10 +211,8 @@ 'ATvSTpZ+qiaoN0PPfy0+7yM6KlaQIu7bfG5E2a6VJTqTZ1qYz3H7QaJfbAtOD8j' . 'yEkDgP5+f49xrRA', 'server_positive_output_matches' => [qr/Args\scontain\sinvalid\sdata/], - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'disable_aging_nat'} -a $cf{'legacy_iv_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'disable_aging_nat'} -a $cf{'legacy_iv_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", - 'fatal' => $NO }, { @@ -238,36 +220,30 @@ 'subcategory' => 'FUZZING', 'detail' => 'invalid SOURCE access.conf', 'function' => \&generic_exec, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'fuzz_source'} " . + 'cmdline' => "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'fuzz_source'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'positive_output_matches' => [qr/Fatal\sinvalid/], 'exec_err' => $YES, - 'fatal' => $NO }, { 'category' => 'Rijndael', 'subcategory' => 'FUZZING', 'detail' => 'invalid OPEN_PORTS access.conf', 'function' => \&generic_exec, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'fuzz_open_ports'} " . + 'cmdline' => "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'fuzz_open_ports'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'positive_output_matches' => [qr/Fatal\sinvalid/], 'exec_err' => $YES, - 'fatal' => $NO }, { 'category' => 'Rijndael', 'subcategory' => 'FUZZING', 'detail' => 'invalid RESTRICT_PORTS access.conf', 'function' => \&generic_exec, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'fuzz_restrict_ports'} " . + 'cmdline' => "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'fuzz_restrict_ports'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'positive_output_matches' => [qr/Fatal\sinvalid/], 'exec_err' => $YES, - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -275,9 +251,7 @@ 'detail' => 'non-base64 altered SPA data', 'function' => \&altered_non_base64_spa_data, 'cmdline' => $default_client_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", - 'fatal' => $NO + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", }, { 'category' => 'Rijndael', @@ -285,9 +259,7 @@ 'detail' => 'base64 altered SPA data', 'function' => \&altered_base64_spa_data, 'cmdline' => $default_client_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", - 'fatal' => $NO + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", }, { 'category' => 'Rijndael', @@ -295,9 +267,7 @@ 'detail' => 'appended data to SPA pkt', 'function' => \&appended_spa_data, 'cmdline' => $default_client_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", - 'fatal' => $NO + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", }, { 'category' => 'Rijndael', @@ -305,8 +275,12 @@ 'detail' => 'prepended data to SPA pkt', 'function' => \&prepended_spa_data, 'cmdline' => $default_client_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", - 'fatal' => $NO + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", + }, + { + 'category' => 'Rijndael', + 'subcategory' => 'fko-wrapper', + 'detail' => 'multiple libfko calls', + 'function' => \&compile_execute_fko_wrapper_no_valgrind }, ); diff -Nru fwknop-2.5.1/test/tests/rijndael_hmac.pl fwknop-2.6.0/test/tests/rijndael_hmac.pl --- fwknop-2.5.1/test/tests/rijndael_hmac.pl 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/test/tests/rijndael_hmac.pl 2014-01-13 03:29:04.000000000 +0000 @@ -6,7 +6,6 @@ 'function' => \&generic_exec, 'cmdline' => $default_client_hmac_args, 'key_file' => $cf{'rc_hmac_b64_key'}, - 'fatal' => $NO }, { 'category' => 'Rijndael+HMAC', @@ -16,7 +15,6 @@ 'cmdline' => "$default_client_hmac_args --hmac-digest-type invalid", 'positive_output_matches' => [qr/Invalid\shmac\sdigest\stype/i], 'exec_err' => $YES, - 'fatal' => $NO }, { 'category' => 'Rijndael+HMAC', @@ -27,7 +25,6 @@ "--rc-file $cf{'rc_hmac_invalid_type'}", 'positive_output_matches' => [qr/must\sbe\sone\sof/i], 'exec_err' => $YES, - 'fatal' => $NO }, { 'category' => 'Rijndael+HMAC', @@ -38,19 +35,16 @@ "--rc-file $cf{'rc_hmac_equal_keys'}", 'positive_output_matches' => [qr/should\snot\sbe\sidentical/i], 'exec_err' => $YES, - 'fatal' => $NO }, { 'category' => 'Rijndael+HMAC', 'subcategory' => 'server', 'detail' => 'rc file HMAC+encryption keys not equal', 'function' => \&generic_exec, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_equal_keys_access'} " . + 'cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_equal_keys_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'positive_output_matches' => [qr/should\snot\sbe\sidentical/i], 'exec_err' => $YES, - 'fatal' => $NO }, { @@ -58,12 +52,10 @@ 'subcategory' => 'server', 'detail' => 'access file invalid HMAC type arg', 'function' => \&generic_exec, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_invalid_type_access'} " . + 'cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_invalid_type_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'positive_output_matches' => [qr/must\sbe\sone\sof/i], 'exec_err' => $YES, - 'fatal' => $NO }, { @@ -72,13 +64,11 @@ 'detail' => 'complete cycle (tcp/22 ssh)', 'function' => \&spa_cycle, 'cmdline' => $default_client_hmac_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_hmac_b64_key'}, - 'fatal' => $NO }, { 'category' => 'Rijndael+HMAC', @@ -86,12 +76,10 @@ 'detail' => 'replay attack detection', 'function' => \&replay_detection, 'cmdline' => $default_client_hmac_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'key_file' => $cf{'rc_hmac_b64_key'}, 'server_positive_output_matches' => [qr/Replay\sdetected\sfrom\ssource\sIP/], - 'fatal' => $NO }, { 'category' => 'Rijndael+HMAC', @@ -100,12 +88,10 @@ 'function' => \&replay_detection, 'cmdline' => $default_client_hmac_args, 'pkt_prefix' => 'U2FsdGVkX1', - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'key_file' => $cf{'rc_hmac_b64_key'}, 'server_positive_output_matches' => [qr/Data\sis\snot\sa\svalid\sSPA\smessage\sformat/], - 'fatal' => $NO }, { 'category' => 'Rijndael+HMAC', @@ -114,12 +100,24 @@ 'function' => \&replay_detection, 'cmdline' => $default_client_hmac_args, 'pkt_prefix' => 'hQ', - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'key_file' => $cf{'rc_hmac_b64_key'}, 'server_positive_output_matches' => [qr/Args\scontain\sinvalid\sdata/], - 'fatal' => $NO + }, + + { + 'category' => 'Rijndael+HMAC', + 'subcategory' => 'server', + 'detail' => '--pcap-file processing', + 'function' => \&process_pcap_file_directly, + 'cmdline' => '', + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_access'} " . + "-d $default_digest_file -p $default_pid_file " . + "--pcap-file $multi_pkts_pcap_file --foreground $verbose_str " . + "--verbose --verbose --verbose", + 'fw_rule_created' => $NEW_RULE_REQUIRED, + 'fw_rule_removed' => $NEW_RULE_REMOVED, }, { @@ -128,15 +126,13 @@ 'detail' => 'iptables custom input chain', 'function' => \&spa_cycle, 'cmdline' => $default_client_hmac_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'custom_input_chain'} -a $cf{'hmac_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'custom_input_chain'} -a $cf{'hmac_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'server_positive_output_matches' => [qr/FWKNOP_INPUT_TEST\s\(1\sreferences/], 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'server_conf' => $cf{'custom_input_chain'}, 'key_file' => $cf{'rc_hmac_b64_key'}, - 'fatal' => $NO }, { @@ -146,8 +142,7 @@ 'function' => \&spa_cycle, 'cmdline' => $default_client_args . " --get-hmac-key $local_hmac_key_file", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_get_key_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_get_key_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'get_key' => {'file' => $local_key_file, 'key' => 'rijndaelkey'}, @@ -155,7 +150,6 @@ 'key' => 'hmackey'}, 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO }, { @@ -164,13 +158,11 @@ 'detail' => 'iptables - no flush at init', 'function' => \&iptables_no_flush_init_exit, 'cmdline' => $default_client_hmac_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'no_flush_init'} -a $cf{'hmac_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'no_flush_init'} -a $cf{'hmac_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_hmac_b64_key'}, - 'fatal' => $NO }, { 'category' => 'Rijndael+HMAC', @@ -178,13 +170,11 @@ 'detail' => 'iptables - no flush at exit', 'function' => \&iptables_no_flush_init_exit, 'cmdline' => $default_client_hmac_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'no_flush_exit'} -a $cf{'hmac_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'no_flush_exit'} -a $cf{'hmac_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_hmac_b64_key'}, - 'fatal' => $NO }, { 'category' => 'Rijndael+HMAC', @@ -192,13 +182,11 @@ 'detail' => 'iptables - no flush at init or exit', 'function' => \&iptables_no_flush_init_exit, 'cmdline' => $default_client_hmac_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'no_flush_init_or_exit'} -a $cf{'hmac_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'no_flush_init_or_exit'} -a $cf{'hmac_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_hmac_b64_key'}, - 'fatal' => $NO }, { @@ -207,13 +195,11 @@ 'detail' => '-f client timeout', 'function' => \&spa_cycle, 'cmdline' => "$default_client_hmac_args -f 2", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_hmac_b64_key'}, - 'fatal' => $NO }, { 'category' => 'Rijndael+HMAC', @@ -223,7 +209,6 @@ 'cmdline' => qq|$default_client_hmac_args -f "-2"|, 'positive_output_matches' => [qr/timeout\smust\sbe\swithin/], 'exec_err' => $YES, - 'fatal' => $NO }, { @@ -237,13 +222,59 @@ '1Lwzpt5/vYMkmzCr1aXdgBPJVkqMQQZppjkxMApQGbX0MXLPG+aqP9MGWr' . 'mpOVjSY8vW5uc8wOhnNJFtu77jvR7MIDFOkNO16LbLV+IxQOmoJHE2+lUH' . '1nvudMWCORI/tzK/QU5YWFAXbbjFhR6RgvdWfzDhwxAEpNfd5gE', - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'hmac_cygwin_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'hmac_cygwin_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'server_positive_output_matches' => [qr/with expire time/], 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, - 'fatal' => $NO + }, + { + 'category' => 'Rijndael+HMAC', + 'subcategory' => 'Android compatibility', + 'detail' => 'v4.4', + 'function' => \&backwards_compatibility, + 'no_ip_check' => 1, + 'pkt' => + '+8fP34T9Vjs50Yke5tNTz7YnsDbQUcp6zaaJTzVOgRuNXyhiZKL5' . + 'UpaC2neRkqgjSlG6/qJSKXIuXBKR4LFS3rX2ZwrOkfBGKJeXe8S2' . + 'uZex9RjOr/8SwS45Q+Kt3J6QsShXU4cxz09Cv+bi7+08/bGCyVdh' . + 'vYNwogIhEkcqS79+JNR3lSBEBrOY4hoOKRRAYw41yI5cBCdc', + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'disable_aging'} -a $cf{'hmac_android_access'} " . + "-d $default_digest_file -p $default_pid_file $intf_str", + 'server_positive_output_matches' => [qr/with expire time/], + 'fw_rule_created' => $NEW_RULE_REQUIRED, + 'fw_rule_removed' => $NEW_RULE_REMOVED, + }, + + { + + 'category' => 'Rijndael+HMAC', + 'subcategory' => 'client+server', + 'detail' => 'short IP 1.1.1.1 (ssh)', + 'function' => \&spa_cycle, + 'cmdline' => "$fwknopCmd -A tcp/22 -a 1.1.1.1 -D $loopback_ip --rc-file " . + "$cf{'rc_hmac_b64_key'} $verbose_str", + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_access'} " . + "-d $default_digest_file -p $default_pid_file $intf_str", + 'fw_rule_created' => $NEW_RULE_REQUIRED, + 'fw_rule_removed' => $NEW_RULE_REMOVED, + 'key_file' => $cf{'rc_hmac_b64_key'}, + 'no_ip_check' => 1 + }, + { + + 'category' => 'Rijndael+HMAC', + 'subcategory' => 'client+server', + 'detail' => 'long IP 123.123.123.123 (ssh)', + 'function' => \&spa_cycle, + 'cmdline' => "$fwknopCmd -A tcp/22 -a 123.123.123.123 -D $loopback_ip --rc-file " . + "$cf{'rc_hmac_b64_key'} $verbose_str", + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_access'} " . + "-d $default_digest_file -p $default_pid_file $intf_str", + 'fw_rule_created' => $NEW_RULE_REQUIRED, + 'fw_rule_removed' => $NEW_RULE_REMOVED, + 'key_file' => $cf{'rc_hmac_b64_key'}, + 'no_ip_check' => 1 }, { @@ -252,32 +283,26 @@ 'subcategory' => 'client+server', 'detail' => 'complete cycle (tcp/23)', 'function' => \&spa_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A tcp/23 -a $fake_ip -D $loopback_ip --rc-file " . - "$cf{'rc_hmac_b64_key'} --verbose --verbose", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_access'} " . + 'cmdline' => "$fwknopCmd -A tcp/23 -a $fake_ip -D $loopback_ip --rc-file " . + "$cf{'rc_hmac_b64_key'} $verbose_str", + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_hmac_b64_key'}, - 'fatal' => $NO }, { 'category' => 'Rijndael+HMAC', 'subcategory' => 'client+server', 'detail' => 'non-b64 HMAC key (tcp/22 ssh)', 'function' => \&spa_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A tcp/22 -a $fake_ip -D $loopback_ip --rc-file " . - "$cf{'rc_hmac_b64_key2'} --verbose --verbose", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_no_b64_access'} " . + 'cmdline' => "$fwknopCmd -A tcp/22 -a $fake_ip -D $loopback_ip --rc-file " . + "$cf{'rc_hmac_b64_key2'} $verbose_str", + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_no_b64_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_hmac_b64_key2'}, - 'fatal' => $NO }, { @@ -285,48 +310,39 @@ 'subcategory' => 'client+server', 'detail' => 'complete cycle (tcp/9418)', 'function' => \&spa_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A tcp/9418 -a $fake_ip -D $loopback_ip --rc-file " . - "$cf{'rc_hmac_b64_key'} --verbose --verbose", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_access'} " . + 'cmdline' => "$fwknopCmd -A tcp/9418 -a $fake_ip -D $loopback_ip --rc-file " . + "$cf{'rc_hmac_b64_key'} $verbose_str", + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_hmac_b64_key'}, - 'fatal' => $NO }, { 'category' => 'Rijndael+HMAC', 'subcategory' => 'client+server', 'detail' => 'complete cycle (tcp/60001)', 'function' => \&spa_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A tcp/60001 -a $fake_ip -D $loopback_ip --rc-file " . - "$cf{'rc_hmac_b64_key'} --verbose --verbose", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_access'} " . + 'cmdline' => "$fwknopCmd -A tcp/60001 -a $fake_ip -D $loopback_ip --rc-file " . + "$cf{'rc_hmac_b64_key'} $verbose_str", + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_hmac_b64_key'}, - 'fatal' => $NO }, { 'category' => 'Rijndael+HMAC', 'subcategory' => 'client+server', 'detail' => 'multi port (tcp/60001,udp/60001)', 'function' => \&spa_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A tcp/60001,udp/60001 -a $fake_ip -D $loopback_ip --rc-file " . - "$cf{'rc_hmac_b64_key'} --verbose --verbose", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_access'} " . + 'cmdline' => "$fwknopCmd -A tcp/60001,udp/60001 -a $fake_ip -D $loopback_ip --rc-file " . + "$cf{'rc_hmac_b64_key'} $verbose_str", + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_hmac_b64_key'}, - 'fatal' => $NO }, { 'category' => 'Rijndael+HMAC', @@ -334,14 +350,26 @@ 'detail' => 'random SPA port (tcp/22)', 'function' => \&spa_cycle, 'cmdline' => "$default_client_hmac_args -r", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str " . qq|-P "udp"|, 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_hmac_b64_key'}, - 'fatal' => $NO + }, + { + 'category' => 'Rijndael+HMAC', + 'subcategory' => 'client+server', + 'detail' => 'random SPA port (via rc RAND_PORT)', + 'function' => \&spa_cycle, + 'cmdline' => "$fwknopCmd -A tcp/22 -a $fake_ip -D $loopback_ip --rc-file " . + "$cf{'rc_rand_port_hmac_b64_key'} $verbose_str -r", + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_access'} " . + "-d $default_digest_file -p $default_pid_file $intf_str " . + qq|-P "udp"|, + 'fw_rule_created' => $NEW_RULE_REQUIRED, + 'fw_rule_removed' => $NEW_RULE_REMOVED, + 'key_file' => $cf{'rc_rand_port_hmac_b64_key'}, }, { @@ -351,13 +379,11 @@ 'function' => \&spa_cycle, 'cmdline' => "$default_client_args_no_get_key " . "--rc-file $cf{'rc_hmac_simple_key'}", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_simple_keys_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_simple_keys_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_hmac_simple_key'}, - 'fatal' => $NO }, { @@ -367,14 +393,12 @@ 'function' => \&spa_cycle, 'cmdline' => "SPOOF_USER=$spoof_user LD_LIBRARY_PATH=$lib_dir $valgrind_str " . "$fwknopCmd -A tcp/22 -a $fake_ip -D $loopback_ip --rc-file " . - "$cf{'rc_hmac_b64_key'} --verbose --verbose", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_access'} " . + "$cf{'rc_hmac_b64_key'} $verbose_str", + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_hmac_b64_key'}, - 'fatal' => $NO }, { @@ -383,13 +407,11 @@ 'detail' => 'rotate digest file', 'function' => \&rotate_digest_file, 'cmdline' => $default_client_hmac_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str --rotate-digest-cache", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_hmac_b64_key'}, - 'fatal' => $NO }, { 'category' => 'Rijndael+HMAC', @@ -400,17 +422,14 @@ "--save-args-file $tmp_args_file " . "--save-packet $tmp_pkt_file", 'key_file' => $cf{'rc_hmac_b64_key'}, - 'fatal' => $NO }, { 'category' => 'Rijndael+HMAC', 'subcategory' => 'client', 'detail' => "--last-cmd", 'function' => \&generic_exec, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd --last-cmd --save-args-file $tmp_args_file " . - "--verbose --verbose", - 'fatal' => $NO + 'cmdline' => "$fwknopCmd --last-cmd --save-args-file $tmp_args_file " . + "$verbose_str", }, { 'category' => 'Rijndael+HMAC', @@ -418,13 +437,11 @@ 'detail' => 'permissions check cycle (tcp/22)', 'function' => \&permissions_check, 'cmdline' => $default_client_hmac_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_hmac_conf_args $intf_str", + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_hmac_conf_args $intf_str", 'server_positive_output_matches' => [qr/permissions\sshould\sonly\sbe\suser/], 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_hmac_b64_key'}, - 'fatal' => $NO }, { 'category' => 'Rijndael+HMAC', @@ -433,12 +450,10 @@ 'function' => \&spa_cycle, 'cmdline' => $client_ip_resolve_hmac_args, 'no_ip_check' => 1, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_hmac_conf_args $intf_str", + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_hmac_conf_args $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_hmac_b64_key'}, - 'fatal' => $NO }, { 'category' => 'Rijndael+HMAC', @@ -447,13 +462,11 @@ 'function' => \&spa_cycle, 'cmdline' => "$default_client_args_no_get_key --rc-file " . "$cf{'rc_hmac_md5_key'} --hmac-digest-type md5", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_md5_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_md5_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_hmac_md5_key'}, - 'fatal' => $NO }, { 'category' => 'Rijndael+HMAC', @@ -462,13 +475,11 @@ 'function' => \&spa_cycle, 'cmdline' => "$default_client_args_no_get_key --rc-file " . "$cf{'rc_hmac_md5_short_key'} --hmac-digest-type md5", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_md5_short_key_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_md5_short_key_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_hmac_md5_short_key'}, - 'fatal' => $NO }, { 'category' => 'Rijndael+HMAC', @@ -477,13 +488,11 @@ 'function' => \&spa_cycle, 'cmdline' => "$default_client_args_no_get_key --rc-file " . "$cf{'rc_hmac_md5_long_key'} --hmac-digest-type md5", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_md5_long_key_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_md5_long_key_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_hmac_md5_long_key'}, - 'fatal' => $NO }, { @@ -492,13 +501,11 @@ 'detail' => 'complete cycle SHA1 (tcp/22 ssh)', 'function' => \&spa_cycle, 'cmdline' => "$default_client_hmac_args --hmac-digest-type sha1", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_sha1_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_sha1_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_hmac_b64_key'}, - 'fatal' => $NO }, { 'category' => 'Rijndael+HMAC', @@ -507,13 +514,11 @@ 'function' => \&spa_cycle, 'cmdline' => "$default_client_args_no_get_key --rc-file " . "$cf{'rc_hmac_sha1_short_key'} --hmac-digest-type sha1", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_sha1_short_key_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_sha1_short_key_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_hmac_sha1_short_key'}, - 'fatal' => $NO }, { 'category' => 'Rijndael+HMAC', @@ -522,13 +527,11 @@ 'function' => \&spa_cycle, 'cmdline' => "$default_client_args_no_get_key --rc-file " . "$cf{'rc_hmac_sha1_long_key'} --hmac-digest-type sha1", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_sha1_long_key_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_sha1_long_key_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_hmac_sha1_long_key'}, - 'fatal' => $NO }, { @@ -537,12 +540,10 @@ 'detail' => 'complete cycle SHA256 (tcp/22 ssh)', 'function' => \&spa_cycle, 'cmdline' => "$default_client_hmac_args --hmac-digest-type sha256", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_hmac_conf_args $intf_str", + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_hmac_conf_args $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_hmac_b64_key'}, - 'fatal' => $NO }, { 'category' => 'Rijndael+HMAC', @@ -551,13 +552,11 @@ 'function' => \&spa_cycle, 'cmdline' => "$default_client_args_no_get_key --rc-file " . "$cf{'rc_hmac_sha256_short_key'} --hmac-digest-type sha256", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_sha256_short_key_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_sha256_short_key_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_hmac_sha256_short_key'}, - 'fatal' => $NO }, { 'category' => 'Rijndael+HMAC', @@ -566,13 +565,11 @@ 'function' => \&spa_cycle, 'cmdline' => "$default_client_args_no_get_key --rc-file " . "$cf{'rc_hmac_sha256_long_key'} --hmac-digest-type sha256", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_sha256_long_key_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_sha256_long_key_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_hmac_sha256_long_key'}, - 'fatal' => $NO }, { @@ -582,13 +579,11 @@ 'function' => \&spa_cycle, 'cmdline' => "$default_client_args_no_get_key --rc-file " . "$cf{'rc_hmac_sha384_key'} --hmac-digest-type sha384", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_sha384_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_sha384_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_hmac_sha384_key'}, - 'fatal' => $NO }, { @@ -598,13 +593,11 @@ 'function' => \&spa_cycle, 'cmdline' => "$default_client_args_no_get_key --rc-file " . "$cf{'rc_hmac_sha384_short_key'} --hmac-digest-type sha384", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_sha384_short_key_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_sha384_short_key_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_hmac_sha384_short_key'}, - 'fatal' => $NO }, { 'category' => 'Rijndael+HMAC', @@ -613,13 +606,11 @@ 'function' => \&spa_cycle, 'cmdline' => "$default_client_args_no_get_key --rc-file " . "$cf{'rc_hmac_sha384_long_key'} --hmac-digest-type sha384", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_sha384_long_key_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_sha384_long_key_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_hmac_sha384_long_key'}, - 'fatal' => $NO }, { 'category' => 'Rijndael+HMAC', @@ -632,7 +623,6 @@ 'key_file' => $cf{'rc_hmac_sha512_long_key'}, 'positive_output_matches' => [qr/Invalid\sdecoded\skey\slength/], 'exec_err' => $YES, - 'fatal' => $NO }, { @@ -642,13 +632,11 @@ 'function' => \&spa_cycle, 'cmdline' => "$default_client_args_no_get_key --rc-file " . "$cf{'rc_hmac_sha512_key'} --hmac-digest-type sha512", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_sha512_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_sha512_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_hmac_sha512_key'}, - 'fatal' => $NO }, { 'category' => 'Rijndael+HMAC', @@ -657,13 +645,11 @@ 'function' => \&spa_cycle, 'cmdline' => "$default_client_args_no_get_key --rc-file " . "$cf{'rc_hmac_sha512_short_key'} --hmac-digest-type sha512", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_sha512_short_key_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_sha512_short_key_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_hmac_sha512_short_key'}, - 'fatal' => $NO }, { @@ -675,18 +661,68 @@ 'positive_output_matches' => [qr/Invalid\shmac\sdigest\stype/i], 'fw_rule_created' => $REQUIRE_NO_NEW_RULE, 'key_file' => $cf{'rc_hmac_b64_key'}, - 'fatal' => $NO }, { 'category' => 'Rijndael+HMAC', 'subcategory' => 'client+server', + 'detail' => 'digest type mismatch (1)', + 'function' => \&spa_cycle, + 'cmdline' => "$default_client_args_no_get_key --rc-file " . + "$cf{'rc_hmac_sha256_key'}", + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_sha256_digest1_mismatch_access'} " . + "-d $default_digest_file -p $default_pid_file $intf_str", + 'server_positive_output_matches' => [qr/stanza #1\).*\sArgs\scontain\sinvalid\sdata/], + 'fw_rule_created' => $REQUIRE_NO_NEW_RULE, + 'key_file' => $cf{'rc_hmac_sha256_key'}, + }, + { + 'category' => 'Rijndael+HMAC', + 'subcategory' => 'client+server', + 'detail' => 'digest type mismatch (2)', + 'function' => \&spa_cycle, + 'cmdline' => "$default_client_args_no_get_key --rc-file " . + "$cf{'rc_hmac_sha256_key'}", + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_sha256_digest2_mismatch_access'} " . + "-d $default_digest_file -p $default_pid_file $intf_str", + 'server_positive_output_matches' => [qr/stanza #1\).*\sArgs\scontain\sinvalid\sdata/], + 'fw_rule_created' => $REQUIRE_NO_NEW_RULE, + 'key_file' => $cf{'rc_hmac_sha256_key'}, + }, + { + 'category' => 'Rijndael+HMAC', + 'subcategory' => 'client+server', + 'detail' => 'digest type mismatch (3)', + 'function' => \&spa_cycle, + 'cmdline' => "$default_client_args_no_get_key --rc-file " . + "$cf{'rc_hmac_sha256_key'}", + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_sha256_digest3_mismatch_access'} " . + "-d $default_digest_file -p $default_pid_file $intf_str", + 'server_positive_output_matches' => [qr/stanza #1\).*\sArgs\scontain\sinvalid\sdata/], + 'fw_rule_created' => $REQUIRE_NO_NEW_RULE, + 'key_file' => $cf{'rc_hmac_sha256_key'}, + }, + { + 'category' => 'Rijndael+HMAC', + 'subcategory' => 'client+server', + 'detail' => 'digest type mismatch (4)', + 'function' => \&spa_cycle, + 'cmdline' => "$default_client_args_no_get_key --rc-file " . + "$cf{'rc_hmac_sha256_key'}", + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_sha256_digest4_mismatch_access'} " . + "-d $default_digest_file -p $default_pid_file $intf_str", + 'server_positive_output_matches' => [qr/stanza #1\).*\sArgs\scontain\sinvalid\sdata/], + 'fw_rule_created' => $REQUIRE_NO_NEW_RULE, + 'key_file' => $cf{'rc_hmac_sha256_key'}, + }, + + { + 'category' => 'Rijndael+HMAC', + 'subcategory' => 'client+server', 'detail' => 'dual usage access key (tcp/80 http)', 'function' => \&spa_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A tcp/80 -a $fake_ip -D $loopback_ip --rc-file " . - "$cf{'rc_hmac_b64_key'} --verbose --verbose", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_dual_key_access'} " . + 'cmdline' => "$fwknopCmd -A tcp/80 -a $fake_ip -D $loopback_ip --rc-file " . + "$cf{'rc_hmac_b64_key'} $verbose_str", + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_dual_key_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", ### check for the first stanza that does not allow tcp/80 - the ### second stanza allows this @@ -694,7 +730,6 @@ 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_hmac_b64_key'}, - 'fatal' => $NO }, { @@ -704,11 +739,9 @@ 'function' => \&altered_hmac_spa_data, ### alter HMAC itself 'cmdline' => "$default_client_args_no_get_key " . "--rc-file $cf{'rc_hmac_b64_key'}", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'key_file' => $cf{'rc_hmac_b64_key'}, - 'fatal' => $NO }, { 'category' => 'Rijndael+HMAC', @@ -717,11 +750,9 @@ 'function' => \&altered_pkt_hmac_spa_data, ### alter SPA payload 'cmdline' => "$default_client_args_no_get_key " . "--rc-file $cf{'rc_hmac_b64_key'}", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'key_file' => $cf{'rc_hmac_b64_key'}, - 'fatal' => $NO }, { 'category' => 'Rijndael+HMAC', @@ -730,14 +761,12 @@ 'function' => \&spa_cycle, 'cmdline' => "$default_client_args_no_get_key --rc-file " . "$cf{'rc_hmac_b64_key'} -N $internal_nat_host:22", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'server_positive_output_matches' => [qr/requested\sNAT\saccess.*not\senabled/i], 'server_conf' => $cf{'def'}, 'key_file' => $cf{'rc_hmac_b64_key'}, 'fw_rule_created' => $REQUIRE_NO_NEW_RULE, - 'fatal' => $NO }, { 'category' => 'Rijndael+HMAC', @@ -746,17 +775,53 @@ 'function' => \&spa_cycle, 'cmdline' => "$default_client_args_no_get_key --rc-file " . "$cf{'rc_hmac_b64_key'} -N $internal_nat_host:22", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'nat'} -a $cf{'hmac_open_ports_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'nat'} -a $cf{'hmac_open_ports_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'server_positive_output_matches' => [ qr/FWKNOP_FORWARD\s.*dport\s22\s/, - qr/to\:$internal_nat_host\:22/i], + qr/\*\/\sto\:$internal_nat_host\:22/i], 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_hmac_b64_key'}, 'server_conf' => $cf{'nat'}, - 'fatal' => $NO + }, + { + 'category' => 'Rijndael+HMAC', + 'subcategory' => 'client+server', + 'detail' => "SNAT $internal_nat_host", + 'function' => \&spa_cycle, + 'cmdline' => "$default_client_args_no_get_key --rc-file " . + "$cf{'rc_hmac_b64_key'} -N $internal_nat_host:22", + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'snat'} -a $cf{'hmac_open_ports_access'} " . + "-d $default_digest_file -p $default_pid_file $intf_str", + 'server_positive_output_matches' => [ + qr/FWKNOP_FORWARD\s.*dport\s22\s/, + qr/\*\/\sto\:$internal_nat_host\:22/i], + 'no_ip_check' => 1, + 'fw_rule_created' => $NEW_RULE_REQUIRED, + 'fw_rule_removed' => $NEW_RULE_REMOVED, + 'key_file' => $cf{'rc_hmac_b64_key'}, + 'server_conf' => $cf{'snat'}, + }, + { + 'category' => 'Rijndael+HMAC', + 'subcategory' => 'client+server', + 'detail' => "SNAT MASQUERADE", + 'function' => \&spa_cycle, + 'cmdline' => "$default_client_args_no_get_key --rc-file " . + "$cf{'rc_hmac_b64_key'} -N $internal_nat_host:22", + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'snat_no_translate_ip'} -a $cf{'hmac_open_ports_access'} " . + "-d $default_digest_file -p $default_pid_file $intf_str", + 'server_positive_output_matches' => [ + qr/FWKNOP_FORWARD\s.*dport\s22\s/, + qr/\*\/\sto\:$internal_nat_host\:22/i, + qr/MASQUERADE\s.*to\-ports/, + ], + 'no_ip_check' => 1, + 'fw_rule_created' => $NEW_RULE_REQUIRED, + 'fw_rule_removed' => $NEW_RULE_REMOVED, + 'key_file' => $cf{'rc_hmac_b64_key'}, + 'server_conf' => $cf{'snat_no_translate_ip'}, }, { 'category' => 'Rijndael+HMAC', @@ -765,17 +830,15 @@ 'function' => \&spa_cycle, 'cmdline' => "$default_client_args_no_get_key --rc-file " . "$cf{'rc_hmac_b64_key'} -N $internal_nat_host:22", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'custom_nat_chain'} -a $cf{'hmac_open_ports_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'custom_nat_chain'} -a $cf{'hmac_open_ports_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'server_positive_output_matches' => [ qr/FWKNOP_FORWARD_TEST\s.*dport\s22\s/, - qr/to\:$internal_nat_host\:22/i], + qr/\*\/\sto\:$internal_nat_host\:22/i], 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'key_file' => $cf{'rc_hmac_b64_key'}, 'server_conf' => $cf{'custom_nat_chain'}, - 'fatal' => $NO }, { @@ -783,19 +846,16 @@ 'subcategory' => 'client+server', 'detail' => "NAT tcp/80 to $internal_nat_host tcp/22", 'function' => \&spa_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A tcp/80 -a $fake_ip -D $loopback_ip --rc-file " . - "$cf{'rc_hmac_b64_key'} --verbose --verbose -N $internal_nat_host:22", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'nat'} -a $cf{'hmac_open_ports_access'} " . + 'cmdline' => "$fwknopCmd -A tcp/80 -a $fake_ip -D $loopback_ip --rc-file " . + "$cf{'rc_hmac_b64_key'} $verbose_str -N $internal_nat_host:22", + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'nat'} -a $cf{'hmac_open_ports_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'server_positive_output_matches' => [ qr/FWKNOP_FORWARD\s.*dport\s22\s/, - qr/to\:$internal_nat_host\:22/i], + qr/\*\/\sto\:$internal_nat_host\:22/i], 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'server_conf' => $cf{'nat'}, - 'fatal' => $NO }, { @@ -807,7 +867,6 @@ 'cmdline' => "$default_client_args_no_get_key --rc-file " . "$cf{'rc_hmac_b64_key'} -N 999.1.1.1:22", 'key_file' => $cf{'rc_hmac_b64_key'}, - 'fatal' => $NO }, { 'category' => 'Rijndael+HMAC', @@ -817,16 +876,110 @@ 'cmdline' => $default_client_args, 'cmdline' => "$default_client_args_no_get_key --rc-file " . $cf{'rc_hmac_b64_key'}, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'nat'} -a $cf{'hmac_force_nat_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'nat'} -a $cf{'hmac_force_nat_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", - 'server_positive_output_matches' => [qr/\sto\:$force_nat_host\:22/i], - 'server_negative_output_matches' => [qr/\sto\:$internal_nat_host\:22/i], + 'server_positive_output_matches' => [qr/\*\/\sto\:$force_nat_host\:22/i], + 'server_negative_output_matches' => [qr/\*\/\sto\:$internal_nat_host\:22/i], 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'server_conf' => $cf{'nat'}, 'key_file' => $cf{'rc_hmac_b64_key'}, - 'fatal' => $NO + }, + { + 'category' => 'Rijndael+HMAC', + 'subcategory' => 'client+server', + 'detail' => "force SNAT $force_snat_host (tcp/22)", + 'function' => \&spa_cycle, + 'cmdline' => $default_client_args, + 'cmdline' => "$default_client_args_no_get_key --rc-file " . + $cf{'rc_hmac_b64_key'}, + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'snat'} -a $cf{'hmac_force_snat_access'} " . + "-d $default_digest_file -p $default_pid_file $intf_str", + 'server_positive_output_matches' => [qr/DNAT\s.*\*\/\sto\:$force_nat_host2\:22/i, + qr/SNAT\s.*\*\/\sto\:$force_snat_host\:22/], + 'server_negative_output_matches' => [qr/\*\/\sto\:$internal_nat_host\:22/i, + qr/\*\/\sto\:$force_nat_host\:22/i], + 'fw_rule_created' => $NEW_RULE_REQUIRED, + 'fw_rule_removed' => $NEW_RULE_REMOVED, + 'server_conf' => $cf{'snat'}, + 'key_file' => $cf{'rc_hmac_b64_key'}, + }, + { + 'category' => 'Rijndael+HMAC', + 'subcategory' => 'client+server', + 'detail' => "force SNAT $force_snat_host (ipt flush)", + 'function' => \&spa_cycle, + 'cmdline' => $default_client_args, + 'cmdline' => "$default_client_args_no_get_key --rc-file " . + $cf{'rc_hmac_b64_key'}, + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'snat'} -a $cf{'hmac_force_snat_access'} " . + "-d $default_digest_file -p $default_pid_file $intf_str", + 'server_positive_output_matches' => [qr/DNAT\s.*\*\/\sto\:$force_nat_host2\:22/i, + qr/SNAT\s.*\*\/\sto\:$force_snat_host\:22/], + 'server_negative_output_matches' => [qr/\*\/\sto\:$internal_nat_host\:22/i, + qr/\*\/\sto\:$force_nat_host\:22/i], + 'fw_rule_created' => $NEW_RULE_REQUIRED, + 'fw_rule_removed' => $NEW_RULE_REMOVED, + 'server_conf' => $cf{'snat'}, + 'key_file' => $cf{'rc_hmac_b64_key'}, + 'iptables_rm_chains_after_server_start' => $YES, + }, + { + 'category' => 'Rijndael+HMAC', + 'subcategory' => 'client+server', + 'detail' => "force MASQ $force_snat_host (tcp/22)", + 'function' => \&spa_cycle, + 'cmdline' => $default_client_args, + 'cmdline' => "$default_client_args_no_get_key --rc-file " . + $cf{'rc_hmac_b64_key'}, + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'snat_no_translate_ip'} -a $cf{'hmac_force_masq_access'} " . + "-d $default_digest_file -p $default_pid_file $intf_str", + 'server_positive_output_matches' => [qr/DNAT\s.*\*\/\sto\:$force_nat_host2\:22/i, + qr/MASQUERADE\s.*\s$force_nat_host2\s.*\smasq\sports\:\s22/], + 'server_negative_output_matches' => [qr/\*\/\sto\:$internal_nat_host\:22/i, + qr/\*\/\sto\:$force_nat_host\:22/i], + 'fw_rule_created' => $NEW_RULE_REQUIRED, + 'fw_rule_removed' => $NEW_RULE_REMOVED, + 'server_conf' => $cf{'snat_no_translate_ip'}, + 'key_file' => $cf{'rc_hmac_b64_key'}, + }, + { + 'category' => 'Rijndael+HMAC', + 'subcategory' => 'client+server', + 'detail' => "force MASQ $force_snat_host (ipt flush)", + 'function' => \&spa_cycle, + 'cmdline' => $default_client_args, + 'cmdline' => "$default_client_args_no_get_key --rc-file " . + $cf{'rc_hmac_b64_key'}, + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'snat_no_translate_ip'} -a $cf{'hmac_force_masq_access'} " . + "-d $default_digest_file -p $default_pid_file $intf_str", + 'server_positive_output_matches' => [qr/DNAT\s.*\*\/\sto\:$force_nat_host2\:22/i, + qr/MASQUERADE\s.*\s$force_nat_host2\s.*\smasq\sports\:\s22/], + 'server_negative_output_matches' => [qr/\*\/\sto\:$internal_nat_host\:22/i, + qr/\*\/\sto\:$force_nat_host\:22/i], + 'fw_rule_created' => $NEW_RULE_REQUIRED, + 'fw_rule_removed' => $NEW_RULE_REMOVED, + 'server_conf' => $cf{'snat_no_translate_ip'}, + 'key_file' => $cf{'rc_hmac_b64_key'}, + 'iptables_rm_chains_after_server_start' => $YES, + }, + { + 'category' => 'Rijndael+HMAC', + 'subcategory' => 'client+server', + 'detail' => "force NAT (iptables flush)", + 'function' => \&spa_cycle, + 'cmdline' => $default_client_args, + 'cmdline' => "$default_client_args_no_get_key --rc-file " . + $cf{'rc_hmac_b64_key'}, + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'nat'} -a $cf{'hmac_force_nat_access'} " . + "-d $default_digest_file -p $default_pid_file $intf_str", + 'server_positive_output_matches' => [qr/\*\/\sto\:$force_nat_host\:22/i], + 'server_negative_output_matches' => [qr/\*\/\sto\:$internal_nat_host\:22/i], + 'fw_rule_created' => $NEW_RULE_REQUIRED, + 'fw_rule_removed' => $NEW_RULE_REMOVED, + 'server_conf' => $cf{'nat'}, + 'key_file' => $cf{'rc_hmac_b64_key'}, + 'iptables_rm_chains_after_server_start' => $YES, }, { 'category' => 'Rijndael+HMAC', @@ -835,37 +988,68 @@ 'function' => \&spa_cycle, 'cmdline' => "$default_client_args_no_get_key --rc-file " . "$cf{'rc_hmac_b64_key'} --nat-local", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'local_nat'} -a $cf{'hmac_force_nat_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'local_nat'} -a $cf{'hmac_force_nat_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", - 'server_positive_output_matches' => [qr/to\:$force_nat_host\:22/i, + 'server_positive_output_matches' => [qr/\*\/\sto\:$force_nat_host\:22/i, qr/FWKNOP_INPUT.*dport\s22.*\sACCEPT/], - 'server_negative_output_matches' => [qr/to\:$internal_nat_host\:22/i], + 'server_negative_output_matches' => [qr/\*\/\sto\:$internal_nat_host\:22/i], 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'server_conf' => $cf{'local_nat'}, 'key_file' => $cf{'rc_hmac_b64_key'}, - 'fatal' => $NO }, { 'category' => 'Rijndael+HMAC', 'subcategory' => 'client+server', - 'detail' => "local NAT non-FORCE_NAT", + 'detail' => "local NAT (iptables flush)", 'function' => \&spa_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A tcp/22 -a $fake_ip -D $loopback_ip --rc-file " . - "$cf{'rc_hmac_b64_key'} --verbose --verbose --nat-local --nat-port 80", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'local_nat'} -a $cf{'hmac_access'} " . + 'cmdline' => "$default_client_args_no_get_key --rc-file " . + "$cf{'rc_hmac_b64_key'} --nat-local", + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'local_nat'} -a $cf{'hmac_force_nat_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", - 'server_positive_output_matches' => [qr/to\:$loopback_ip\:22/i, + 'server_positive_output_matches' => [qr/\*\/\sto\:$force_nat_host\:22/i, qr/FWKNOP_INPUT.*dport\s22.*\sACCEPT/], - 'server_negative_output_matches' => [qr/to\:$internal_nat_host\:22/i], + 'server_negative_output_matches' => [qr/\*\/\sto\:$internal_nat_host\:22/i], 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'server_conf' => $cf{'local_nat'}, 'key_file' => $cf{'rc_hmac_b64_key'}, - 'fatal' => $NO + 'iptables_rm_chains_after_server_start' => $YES, + }, + { + 'category' => 'Rijndael+HMAC', + 'subcategory' => 'client+server', + 'detail' => "local (non-force) NAT", + 'function' => \&spa_cycle, + 'cmdline' => "$fwknopCmd -A tcp/22 -a $fake_ip -D $loopback_ip --rc-file " . + "$cf{'rc_hmac_b64_key'} $verbose_str --nat-local --nat-port 80", + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'local_nat'} -a $cf{'hmac_access'} " . + "-d $default_digest_file -p $default_pid_file $intf_str", + 'server_positive_output_matches' => [qr|\s\*\/\sto\:$loopback_ip\:22|i, + qr/ACCEPT\s{2}.*\s0\.0\.0\.0\/0\s+tcp\sdpt\:22\s/], + 'server_negative_output_matches' => [qr/\*\/\sto\:$internal_nat_host\:22/i], + 'fw_rule_created' => $NEW_RULE_REQUIRED, + 'fw_rule_removed' => $NEW_RULE_REMOVED, + 'server_conf' => $cf{'local_nat'}, + 'key_file' => $cf{'rc_hmac_b64_key'}, + }, + { + 'category' => 'Rijndael+HMAC', + 'subcategory' => 'client+server', + 'detail' => "local (non-force) NAT (ipt flush)", + 'function' => \&spa_cycle, + 'cmdline' => "$fwknopCmd -A tcp/22 -a $fake_ip -D $loopback_ip --rc-file " . + "$cf{'rc_hmac_b64_key'} $verbose_str --nat-local --nat-port 80", + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'local_nat'} -a $cf{'hmac_access'} " . + "-d $default_digest_file -p $default_pid_file $intf_str", + 'server_positive_output_matches' => [qr|\s\*\/\sto\:$loopback_ip\:22|i, + qr/ACCEPT\s{2}.*\s0\.0\.0\.0\/0\s+tcp\sdpt\:22\s/], + 'server_negative_output_matches' => [qr/\*\/\sto\:$internal_nat_host\:22/i], + 'fw_rule_created' => $NEW_RULE_REQUIRED, + 'fw_rule_removed' => $NEW_RULE_REMOVED, + 'server_conf' => $cf{'local_nat'}, + 'key_file' => $cf{'rc_hmac_b64_key'}, + 'iptables_rm_chains_after_server_start' => $YES, }, { @@ -873,30 +1057,25 @@ 'subcategory' => 'client+server', 'detail' => "local NAT rand port to tcp/22", 'function' => \&spa_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A tcp/22 -a $fake_ip -D $loopback_ip --rc-file " . - "$cf{'rc_hmac_b64_key'} --verbose --verbose --nat-local --nat-rand-port", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'local_nat'} -a $cf{'hmac_access'} " . - "-d $default_digest_file -p $default_pid_file $intf_str", - 'server_positive_output_matches' => [qr/to\:$loopback_ip\:22/i, - qr/FWKNOP_INPUT.*dport\s22.*\sACCEPT/], - 'server_negative_output_matches' => [qr/to\:$internal_nat_host\:22/i], + 'cmdline' => "$fwknopCmd -A tcp/22 -a $fake_ip -D $loopback_ip --rc-file " . + "$cf{'rc_hmac_b64_key'} $verbose_str --nat-local --nat-rand-port", + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'local_nat'} -a $cf{'hmac_access'} " . + "-d $default_digest_file -p $default_pid_file $intf_str", + 'server_positive_output_matches' => [qr|\s\*\/\sto\:$loopback_ip\:22|i, + qr/ACCEPT\s{2}.*\s0\.0\.0\.0\/0\s+tcp\sdpt\:22\s/], + 'server_negative_output_matches' => [qr/\*\/\sto\:$internal_nat_host\:22/i], 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'server_conf' => $cf{'local_nat'}, - 'fatal' => $NO }, { 'category' => 'Rijndael+HMAC', 'subcategory' => 'client+server', 'detail' => "NAT rand port to tcp/22", 'function' => \&spa_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A tcp/22 -a $fake_ip -D $loopback_ip --rc-file " . - "$cf{'rc_hmac_b64_key'} --verbose --verbose --nat-rand-port -N $internal_nat_host", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'nat'} -a $cf{'hmac_access'} " . + 'cmdline' => "$fwknopCmd -A tcp/22 -a $fake_ip -D $loopback_ip --rc-file " . + "$cf{'rc_hmac_b64_key'} $verbose_str --nat-rand-port -N $internal_nat_host", + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'nat'} -a $cf{'hmac_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'server_positive_output_matches' => [ qr/FWKNOP_FORWARD.*dport\s22\s.*\sACCEPT/, @@ -905,18 +1084,15 @@ 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'server_conf' => $cf{'nat'}, - 'fatal' => $NO }, { 'category' => 'Rijndael+HMAC', 'subcategory' => 'client+server', 'detail' => "NAT rand port to -N :40001", 'function' => \&spa_cycle, - 'cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopCmd -A tcp/22 -a $fake_ip -D $loopback_ip --rc-file " . - "$cf{'rc_hmac_b64_key'} --verbose --verbose --nat-rand-port -N $internal_nat_host:40001", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'nat'} -a $cf{'hmac_access'} " . + 'cmdline' => "$fwknopCmd -A tcp/22 -a $fake_ip -D $loopback_ip --rc-file " . + "$cf{'rc_hmac_b64_key'} $verbose_str --nat-rand-port -N $internal_nat_host:40001", + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'nat'} -a $cf{'hmac_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'server_positive_output_matches' => [ qr/FWKNOP_FORWARD.*dport\s40001\s.*\sACCEPT/, @@ -925,7 +1101,6 @@ 'fw_rule_created' => $NEW_RULE_REQUIRED, 'fw_rule_removed' => $NEW_RULE_REMOVED, 'server_conf' => $cf{'nat'}, - 'fatal' => $NO }, { @@ -934,18 +1109,15 @@ 'detail' => 'iptables rules not duplicated', 'function' => \&iptables_rules_not_duplicated, 'cmdline' => "$default_client_hmac_args --test", - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_access'} " . + 'fwknopd_cmdline' => "$fwknopdCmd -c $cf{'def'} -a $cf{'hmac_access'} " . "-d $default_digest_file -p $default_pid_file $intf_str", 'key_file' => $cf{'rc_hmac_b64_key'}, - 'fatal' => $NO }, { 'category' => 'Rijndael+HMAC', 'subcategory' => 'server', 'detail' => 'digest cache structure', 'function' => \&digest_cache_structure, - 'fatal' => $NO }, ); diff -Nru fwknop-2.5.1/test/tests/rijndael_replay_attacks.pl fwknop-2.6.0/test/tests/rijndael_replay_attacks.pl --- fwknop-2.5.1/test/tests/rijndael_replay_attacks.pl 2013-07-26 01:27:58.000000000 +0000 +++ fwknop-2.6.0/test/tests/rijndael_replay_attacks.pl 2014-01-13 03:29:04.000000000 +0000 @@ -5,10 +5,8 @@ 'detail' => 'replay attack detection', 'function' => \&replay_detection, 'cmdline' => $default_client_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", 'server_positive_output_matches' => [qr/Replay\sdetected\sfrom\ssource\sIP/], - 'fatal' => $NO }, { 'category' => 'Rijndael', @@ -17,10 +15,8 @@ 'function' => \&replay_detection, 'pkt_prefix' => 'U2FsdGVkX1', 'cmdline' => $default_client_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", 'server_positive_output_matches' => [qr/Data\sis\snot\sa\svalid\sSPA\smessage\sformat/], - 'fatal' => $NO }, { @@ -30,9 +26,7 @@ 'function' => \&replay_detection, 'pkt_prefix' => 'hQ', 'cmdline' => $default_client_args, - 'fwknopd_cmdline' => "LD_LIBRARY_PATH=$lib_dir $valgrind_str " . - "$fwknopdCmd $default_server_conf_args $intf_str", + 'fwknopd_cmdline' => "$fwknopdCmd $default_server_conf_args $intf_str", 'server_positive_output_matches' => [qr/Args\scontain\sinvalid\sdata/], - 'fatal' => $NO }, );