diff -Nru qscintilla2-2.8.4+dfsg/ChangeLog qscintilla2-2.9+dfsg/ChangeLog --- qscintilla2-2.8.4+dfsg/ChangeLog 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/ChangeLog 2015-04-20 14:38:24.000000000 +0000 @@ -0,0 +1,5016 @@ +2015-04-20 Phil Thompson + + * .hgtags: + Added tag 2.9 for changeset 41ee8162fa81 + [9817b0a7a4f7] [tip] + + * NEWS: + Released as v2.9. + [41ee8162fa81] [2.9] + +2015-04-14 Phil Thompson + + * qt/qsciscintillabase.cpp: + Fixed a problem notifying when focus is lost to another application + widget. + [41734678234e] + +2015-04-06 Phil Thompson + + * qt/qsciscintillabase.cpp: + Fixed a crash when deleting an instance. + [eb936ad1f826] + +2015-04-05 Phil Thompson + + * qt/qsciscintilla.cpp: + Fixed a problem applying a lexer's styles that manifested itself by + the wrong style being applied to line numbers when using a custom + lexer. + [c91009909b8e] + +2015-04-04 Phil Thompson + + * qt/qscintilla_es.qm, qt/qscintilla_es.ts: + Updated Spanish translations from Jaime. + [d94218e7d47d] + + * qt/ScintillaQt.h: + Fixed some header file dependencies. + [f246e863957f] + + * qt/qscintilla_cs.qm, qt/qscintilla_de.qm, qt/qscintilla_de.ts, + qt/qscintilla_es.qm, qt/qscintilla_fr.qm, qt/qscintilla_pt_br.qm: + Updated German translations from Detlev. + [01f3be277e14] + +2015-04-03 Phil Thompson + + * qt/qscintilla_cs.ts, qt/qscintilla_de.ts, qt/qscintilla_es.ts, + qt/qscintilla_fr.ts, qt/qscintilla_pt_br.ts: + Updated the .ts translation files. + [659fb035d1c4] + +2015-04-02 Phil Thompson + + * qt/qsciapis.cpp: + Fixed a problem displaying call-tips when auto-completion is + enabled. + [82ec45421a3d] + + * NEWS, Python/sip/qsciscintilla.sip, + Python/sip/qsciscintillabase.sip, qt/qsciscintilla.h, + qt/qsciscintillabase.h: + Exposed the remaining new features. + [6e84b61268c5] + +2015-04-01 Phil Thompson + + * NEWS, Python/sip/qsciscintilla.sip, + Python/sip/qsciscintillabase.sip, qt/qsciscintilla.cpp, + qt/qsciscintilla.h, qt/qsciscintillabase.h: + Exposing new Scintilla functionality. + [e0965dc46693] + +2015-03-31 Phil Thompson + + * qt/qscilexerverilog.cpp, qt/qscilexerverilog.h: + Enabled the new styling features of QsciLexerVerilog. + [5be65189b15f] + + * NEWS, Python/sip/qscilexercpp.sip, qt/qscilexercpp.cpp, + qt/qscilexercpp.h: + Completed the updates to QsciLexerCPP. + [a8e24b727d82] + + * NEWS, Python/sip/qscilexercpp.sip, Python/sip/qscilexersql.sip, + Python/sip/qscilexerverilog.sip, Python/sip/qscilexervhdl.sip, + Python/sip/qsciscintillabase.sip, qt/qscilexercpp.cpp, + qt/qscilexercpp.h, qt/qscilexersql.cpp, qt/qscilexersql.h, + qt/qscilexerverilog.cpp, qt/qscilexerverilog.h, + qt/qscilexervhdl.cpp, qt/qscilexervhdl.h, qt/qsciscintillabase.h: + Updated existing lexers with new styles. + [768f8ff280e1] + +2015-03-30 Phil Thompson + + * qt/qsciapis.cpp: + Make sure call tips don't include image types. + [d0830816cda4] + + * qt/ScintillaQt.cpp, qt/ScintillaQt.h: + Fixed the horizontal scrollbar issues, particularly with long lines. + [db8501c0803f] + +2015-03-29 Phil Thompson + + * qt/ScintillaQt.cpp: + Updated the paste support. + [42ad3657d52e] + + * qt/ScintillaQt.cpp, qt/ScintillaQt.h, qt/qsciscintillabase.cpp: + Added support for idle processing. + [ff277e910df7] + +2015-03-27 Phil Thompson + + * NEWS: + Updated the NEWS file. + [64766fb4c800] + + * qt/ScintillaQt.cpp, qt/ScintillaQt.h, qt/qsciscintillabase.cpp, + qt/qsciscintillabase.h: + Add support for fine tickers. + [3e9b89430dc0] + +2015-03-26 Phil Thompson + + * Makefile, Python/sip/qsciabstractapis.sip, Python/sip/qsciapis.sip, + Python/sip/qscicommandset.sip, Python/sip/qscilexer.sip, + Python/sip/qscilexeravs.sip, Python/sip/qscilexerbash.sip, + Python/sip/qscilexerbatch.sip, Python/sip/qscilexercmake.sip, + Python/sip/qscilexercoffeescript.sip, Python/sip/qscilexercpp.sip, + Python/sip/qscilexercsharp.sip, Python/sip/qscilexercss.sip, + Python/sip/qscilexercustom.sip, Python/sip/qscilexerd.sip, + Python/sip/qscilexerdiff.sip, Python/sip/qscilexerfortran.sip, + Python/sip/qscilexerfortran77.sip, Python/sip/qscilexerhtml.sip, + Python/sip/qscilexeridl.sip, Python/sip/qscilexerjava.sip, + Python/sip/qscilexerjavascript.sip, Python/sip/qscilexerlua.sip, + Python/sip/qscilexermakefile.sip, Python/sip/qscilexermatlab.sip, + Python/sip/qscilexeroctave.sip, Python/sip/qscilexerpascal.sip, + Python/sip/qscilexerperl.sip, Python/sip/qscilexerpo.sip, + Python/sip/qscilexerpostscript.sip, Python/sip/qscilexerpov.sip, + Python/sip/qscilexerproperties.sip, Python/sip/qscilexerpython.sip, + Python/sip/qscilexerruby.sip, Python/sip/qscilexerspice.sip, + Python/sip/qscilexersql.sip, Python/sip/qscilexertcl.sip, + Python/sip/qscilexertex.sip, Python/sip/qscilexerverilog.sip, + Python/sip/qscilexervhdl.sip, Python/sip/qscilexerxml.sip, + Python/sip/qscilexeryaml.sip, Python/sip/qscimacro.sip, + Python/sip/qscimod3.sip, Python/sip/qscimod4.sip, + Python/sip/qscimod5.sip, Python/sip/qscimodcommon.sip, + Python/sip/qsciscintilla.sip, Python/sip/qsciscintillabase.sip, + build.py, designer-Qt3/designer.pro, designer- + Qt3/qscintillaplugin.cpp, example-Qt3/application.cpp, example- + Qt3/application.h, example-Qt3/application.pro, example- + Qt3/fileopen.xpm, example-Qt3/fileprint.xpm, example- + Qt3/filesave.xpm, example-Qt3/main.cpp, lib/README, lib/README.doc, + lib/qscintilla.dxy, qt/InputMethod.cpp, qt/ListBoxQt.cpp, + qt/PlatQt.cpp, qt/SciClasses.cpp, qt/SciClasses.h, + qt/ScintillaQt.cpp, qt/ScintillaQt.h, qt/qsciabstractapis.cpp, + qt/qsciabstractapis.h, qt/qsciapis.cpp, qt/qsciapis.h, + qt/qscicommandset.cpp, qt/qscicommandset.h, qt/qscilexer.cpp, + qt/qscilexer.h, qt/qscilexeravs.cpp, qt/qscilexeravs.h, + qt/qscilexerbash.cpp, qt/qscilexerbash.h, qt/qscilexerbatch.cpp, + qt/qscilexerbatch.h, qt/qscilexercmake.cpp, qt/qscilexercmake.h, + qt/qscilexercoffeescript.cpp, qt/qscilexercoffeescript.h, + qt/qscilexercpp.cpp, qt/qscilexercpp.h, qt/qscilexercsharp.cpp, + qt/qscilexercsharp.h, qt/qscilexercss.cpp, qt/qscilexercss.h, + qt/qscilexercustom.cpp, qt/qscilexercustom.h, qt/qscilexerd.cpp, + qt/qscilexerd.h, qt/qscilexerdiff.cpp, qt/qscilexerdiff.h, + qt/qscilexerfortran.cpp, qt/qscilexerfortran.h, + qt/qscilexerfortran77.cpp, qt/qscilexerfortran77.h, + qt/qscilexerhtml.cpp, qt/qscilexerhtml.h, qt/qscilexeridl.cpp, + qt/qscilexeridl.h, qt/qscilexerjava.cpp, qt/qscilexerjava.h, + qt/qscilexerjavascript.cpp, qt/qscilexerjavascript.h, + qt/qscilexerlua.cpp, qt/qscilexerlua.h, qt/qscilexermakefile.cpp, + qt/qscilexermakefile.h, qt/qscilexermatlab.cpp, + qt/qscilexermatlab.h, qt/qscilexeroctave.cpp, qt/qscilexeroctave.h, + qt/qscilexerpascal.cpp, qt/qscilexerpascal.h, qt/qscilexerperl.cpp, + qt/qscilexerperl.h, qt/qscilexerpo.cpp, qt/qscilexerpo.h, + qt/qscilexerpostscript.cpp, qt/qscilexerpostscript.h, + qt/qscilexerpov.cpp, qt/qscilexerpov.h, qt/qscilexerproperties.cpp, + qt/qscilexerproperties.h, qt/qscilexerpython.cpp, + qt/qscilexerpython.h, qt/qscilexerruby.cpp, qt/qscilexerruby.h, + qt/qscilexerspice.cpp, qt/qscilexerspice.h, qt/qscilexersql.cpp, + qt/qscilexersql.h, qt/qscilexertcl.cpp, qt/qscilexertcl.h, + qt/qscilexertex.cpp, qt/qscilexertex.h, qt/qscilexerverilog.cpp, + qt/qscilexerverilog.h, qt/qscilexervhdl.cpp, qt/qscilexervhdl.h, + qt/qscilexerxml.cpp, qt/qscilexerxml.h, qt/qscilexeryaml.cpp, + qt/qscilexeryaml.h, qt/qscimacro.cpp, qt/qscimacro.h, + qt/qsciprinter.cpp, qt/qsciscintilla.cpp, qt/qsciscintilla.h, + qt/qsciscintillabase.cpp, qt/qsciscintillabase.h, qt/qscistyle.cpp, + qt/qscistyledtext.h: + Removed all support for Qt3 and PyQt3. + [b33b2f06716e] + + * Python/configure-old.py, Python/configure.py, designer- + Qt4Qt5/designer.pro, example-Qt4Qt5/application.pro, + qt/ScintillaQt.cpp, qt/ScintillaQt.h, qt/qscintilla.pro: + The updated code now compiles. + [35d05076c62f] + + * cocoa/InfoBar.h, cocoa/InfoBar.mm, cocoa/InfoBarCommunicator.h, + cocoa/PlatCocoa.h, cocoa/PlatCocoa.mm, cocoa/QuartzTextLayout.h, + cocoa/QuartzTextStyle.h, cocoa/ScintillaCocoa.h, + cocoa/ScintillaCocoa.mm, cocoa/ScintillaFramework/ScintillaFramework + .xcodeproj/project.pbxproj, cocoa/ScintillaTest/AppController.h, + cocoa/ScintillaTest/ScintillaTest.xcodeproj/project.pbxproj, + cocoa/ScintillaView.h, cocoa/ScintillaView.mm, + cocoa/checkbuildosx.sh, cppcheck.suppress, doc/ScintillaDoc.html, + doc/ScintillaDownload.html, doc/ScintillaHistory.html, + doc/index.html, gtk/Converter.h, gtk/PlatGTK.cxx, + gtk/ScintillaGTK.cxx, gtk/deps.mak, gtk/makefile, + include/Platform.h, include/SciLexer.h, include/Scintilla.h, + include/Scintilla.iface, lexers/LexAbaqus.cxx, lexers/LexAsm.cxx, + lexers/LexBash.cxx, lexers/LexBasic.cxx, lexers/LexBibTeX.cxx, + lexers/LexCPP.cxx, lexers/LexCmake.cxx, lexers/LexCoffeeScript.cxx, + lexers/LexDMAP.cxx, lexers/LexDMIS.cxx, lexers/LexECL.cxx, + lexers/LexEScript.cxx, lexers/LexForth.cxx, lexers/LexFortran.cxx, + lexers/LexGui4Cli.cxx, lexers/LexHTML.cxx, lexers/LexHaskell.cxx, + lexers/LexHex.cxx, lexers/LexKix.cxx, lexers/LexLua.cxx, + lexers/LexMarkdown.cxx, lexers/LexMatlab.cxx, lexers/LexModula.cxx, + lexers/LexMySQL.cxx, lexers/LexOthers.cxx, lexers/LexPS.cxx, + lexers/LexPerl.cxx, lexers/LexRegistry.cxx, lexers/LexRuby.cxx, + lexers/LexRust.cxx, lexers/LexSQL.cxx, lexers/LexScriptol.cxx, + lexers/LexSpecman.cxx, lexers/LexTCL.cxx, lexers/LexTCMD.cxx, + lexers/LexTxt2tags.cxx, lexers/LexVHDL.cxx, lexers/LexVerilog.cxx, + lexers/LexVisualProlog.cxx, lexlib/Accessor.cxx, lexlib/Accessor.h, + lexlib/CharacterCategory.cxx, lexlib/CharacterSet.cxx, + lexlib/LexAccessor.h, lexlib/LexerBase.cxx, lexlib/LexerModule.cxx, + lexlib/LexerModule.h, lexlib/LexerNoExceptions.cxx, + lexlib/LexerSimple.cxx, lexlib/LexerSimple.h, + lexlib/PropSetSimple.cxx, lexlib/SparseState.h, lexlib/StringCopy.h, + lexlib/StyleContext.cxx, lexlib/StyleContext.h, lexlib/SubStyles.h, + lexlib/WordList.cxx, lexlib/WordList.h, lib/README.doc, + qt/qscintilla.pro, scripts/GenerateCaseConvert.py, + scripts/GenerateCharacterCategory.py, scripts/HFacer.py, + scripts/HeaderOrder.txt, scripts/LexGen.py, + scripts/ScintillaData.py, src/AutoComplete.cxx, src/AutoComplete.h, + src/CallTip.cxx, src/CaseConvert.cxx, src/CaseFolder.cxx, + src/Catalogue.cxx, src/CellBuffer.cxx, src/CellBuffer.h, + src/CharClassify.cxx, src/ContractionState.cxx, + src/ContractionState.h, src/Decoration.cxx, src/Decoration.h, + src/Document.cxx, src/Document.h, src/EditModel.cxx, + src/EditModel.h, src/EditView.cxx, src/EditView.h, src/Editor.cxx, + src/Editor.h, src/ExternalLexer.cxx, src/ExternalLexer.h, + src/FontQuality.h, src/Indicator.cxx, src/Indicator.h, + src/KeyMap.cxx, src/KeyMap.h, src/LineMarker.cxx, src/LineMarker.h, + src/MarginView.cxx, src/MarginView.h, src/Partitioning.h, + src/PerLine.cxx, src/PerLine.h, src/PositionCache.cxx, + src/PositionCache.h, src/RESearch.cxx, src/RESearch.h, + src/ScintillaBase.cxx, src/ScintillaBase.h, src/Selection.cxx, + src/Selection.h, src/SplitVector.h, src/Style.cxx, src/Style.h, + src/UniConversion.cxx, src/UniConversion.h, src/ViewStyle.cxx, + src/ViewStyle.h, src/XPM.cxx, src/XPM.h, test/XiteQt.py, + test/XiteWin.py, test/lexTests.py, test/simpleTests.py, + test/unit/LICENSE_1_0.txt, test/unit/README, + test/unit/SciTE.properties, test/unit/catch.hpp, test/unit/makefile, + test/unit/test.mak, test/unit/testCellBuffer.cxx, + test/unit/testCharClassify.cxx, test/unit/testContractionState.cxx, + test/unit/testDecoration.cxx, test/unit/testPartitioning.cxx, + test/unit/testRunStyles.cxx, test/unit/testSparseState.cxx, + test/unit/testSplitVector.cxx, test/unit/testUnicodeFromUTF8.cxx, + test/unit/unitTest.cxx, version.txt, win32/HanjaDic.cxx, + win32/HanjaDic.h, win32/PlatWin.cxx, win32/PlatWin.h, + win32/SciLexer.vcxproj, win32/ScintRes.rc, win32/ScintillaWin.cxx, + win32/deps.mak, win32/makefile, win32/scintilla.mak: + Added the initial import of Scintilla v3.5.4. + [025db9484942] + + * lib/GPL_EXCEPTION.TXT, lib/GPL_EXCEPTION_ADDENDUM.TXT, + lib/LICENSE.GPL2, lib/LICENSE.GPL3, lib/OPENSOURCE-NOTICE.TXT, + qt/qscintilla_ru.qm, qt/qscintilla_ru.ts: + Merged the 2.8-maint branch into the default. + [efe1067a091a] + +2015-03-19 Phil Thompson + + * qt/qsciscintilla.cpp: + Fixed QsciScintilla::clearMarginText(). + [885b972e38df] <2.8-maint> + +2015-02-14 Phil Thompson + + * Makefile, Python/configure.py: + Installing into a virtual env should now work. The internal build + system supports sip5. + [62d128cc92de] <2.8-maint> + +2015-02-08 Phil Thompson + + * Python/configure.py: + Use sip5 if available. + [6f5e4b0dae8f] <2.8-maint> + +2015-01-02 Phil Thompson + + * Python/configure.py, lib/LICENSE.commercial.short, lib/LICENSE.gpl, + lib/LICENSE.gpl.short, qt/InputMethod.cpp: + Updated the copyright notices. + [50b9b459dc48] <2.8-maint> + + * Python/configure-old.py: + Fixed configure-old.py for previews. + [7ff9140391e4] <2.8-maint> + +2014-12-22 Phil Thompson + + * build.py, lib/LICENSE.GPL3, lib/LICENSE.commercial.short, + lib/LICENSE.gpl, lib/LICENSE.gpl.short: + More license tweaks. + [f3e84d697877] <2.8-maint> + + * build.py, lib/GPL_EXCEPTION.TXT, lib/GPL_EXCEPTION_ADDENDUM.TXT, + lib/LICENSE.GPL2, lib/LICENSE.gpl.short, lib/OPENSOURCE-NOTICE.TXT, + lib/README.doc: + Aligned the GPL licensing with Qt. + [aa58ba575cac] <2.8-maint> + +2014-12-21 Phil Thompson + + * lib/LICENSE.commercial: + Updated the commercial license to v4.0. + [fd91beaa78dd] <2.8-maint> + +2014-11-16 Phil Thompson + + * build.py: + A source package now includes a full ChangeLog. + [ba92c1d5c839] <2.8-maint> + +2014-09-11 Phil Thompson + + * .hgtags: + Added tag 2.8.4 for changeset e18756e8cf86 + [e7f7a594518d] <2.8-maint> + + * .hgignore, NEWS: + Released as v2.8.4. + [e18756e8cf86] [2.8.4] <2.8-maint> + +2014-09-04 Phil Thompson + + * NEWS: + Updated the NEWS file. + [e4e3562b54cb] <2.8-maint> + +2014-09-03 Phil Thompson + + * Python/sip/qsciscintilla.sip, Python/sip/qsciscintillabase.sip, + qt/qscintilla.pro, qt/qsciscintilla.cpp, qt/qsciscintilla.h, + qt/qsciscintillabase.h: + Added the missing SCI_SETHOTSPOTSINGLELINE to QsciScintillaBase. + Added resetHotspotForegroundColor(), resetHotspotBackgroundColor(), + setHotspotForegroundColor(), setHotspotBackgroundColor(), + setHotspotUnderline() and setHotspotWrap() to QsciScintilla. + [2da018f7e48c] <2.8-maint> + +2014-07-31 Phil Thompson + + * qt/qsciscintilla.cpp: + Attempted to improve the auto-indentation behaviour so that the + indentation of a line is maintained if a new line has been inserted + above by pressing enter at the start of the line. + [aafc4a7247fb] <2.8-maint> + +2014-07-11 Phil Thompson + + * Python/configure.py: + Fixed the installation of the .api file. + [aae8494847ff] <2.8-maint> + +2014-07-10 Phil Thompson + + * Python/configure.py, designer-Qt4Qt5/designer.pro, + qt/qscintilla.pro: + Fixes to work around QTBUG-39300. Fix when building with a + configuration file. + [1051e8c260fd] <2.8-maint> + +2014-07-03 Phil Thompson + + * .hgtags: + Added tag 2.8.3 for changeset e9cb8530f97f + [bb531051c8f3] <2.8-maint> + + * NEWS: + Released as v2.8.3. + [e9cb8530f97f] [2.8.3] <2.8-maint> + +2014-07-01 Phil Thompson + + * Python/configure.py: + Fixed a cut-and-paste bug in configure.py. + [5f7c4c6c9a29] <2.8-maint> + + * Python/configure.py: + Updated to the latest build system boilerplate. + [ee0b9a647e7a] <2.8-maint> + +2014-06-30 Phil Thompson + + * Makefile, Python/configure.py: + Updates to the build system and the latest boilerplate configure.py. + [8485111172c7] <2.8-maint> + +2014-06-19 Phil Thompson + + * qt/qscilexercoffeescript.cpp, qt/qscintilla.pro, + qt/qscintilla_cs.qm, qt/qscintilla_de.qm, qt/qscintilla_de.ts, + qt/qscintilla_es.qm, qt/qscintilla_es.ts, qt/qscintilla_fr.qm, + qt/qscintilla_pt_br.qm, qt/qscintilla_ru.qm, qt/qscintilla_ru.ts: + Updated CoffeeScript keywords and German translations from Detlev. + Updated Spanish translations from Jaime. Removed the Russian + translations as none were current. + [978fe16935c4] <2.8-maint> + +2014-06-15 Phil Thompson + + * qt/qscintilla_cs.ts, qt/qscintilla_de.ts, qt/qscintilla_es.ts, + qt/qscintilla_fr.ts, qt/qscintilla_pt_br.ts, qt/qscintilla_ru.ts: + Updated the translation source files. + [440ab56f1863] <2.8-maint> + +2014-06-09 Phil Thompson + + * Python/sip/qscilexercoffeescript.sip, Python/sip/qscimodcommon.sip, + Python/sip/qsciscintillabase.sip: + Added QsciLexerCoffeeScript to the Python bindings. + [36a6e2123a69] <2.8-maint> + + * qt/qscilexercoffeescript.h: + QsciLexerCoffeeScript property setters are no longer virtual slots. + [eef97550eb16] <2.8-maint> + + * qt/qscilexercoffeescript.cpp, qt/qscilexercoffeescript.h, + qt/qscintilla.pro: + Added the QsciLexerCoffeeScript class. + [0cf56e9cd32a] <2.8-maint> + +2014-06-03 Phil Thompson + + * Python/configure.py: + Fixes for Python v2.6. + [9b7b5393f228] <2.8-maint> + +2014-06-01 Phil Thompson + + * Python/configure.py: + Fixed a regression in configure.py when using the -n or -o options. + [f7b1c9821894] <2.8-maint> + +2014-05-29 Phil Thompson + + * qt/PlatQt.cpp, qt/qsciscintillabase.cpp: + Fixes for Qt3. + [4d0a54024b52] <2.8-maint> + + * qt/PlatQt.cpp, qt/qscilexer.cpp, qt/qscintilla.pro, + qt/qsciscintilla.cpp, qt/qscistyle.cpp: + Font sizes are now handled as floating point values rather than + integers. + [ea017cc2b198] <2.8-maint> + +2014-05-26 Phil Thompson + + * .hgtags: + Added tag 2.8.2 for changeset 5aab3ae01e0e + [6cc6eec7c440] <2.8-maint> + + * NEWS: + Released as v2.8.2. + [5aab3ae01e0e] [2.8.2] <2.8-maint> + + * Python/sip/qsciscintillabase.sip: + Updated the sub-class converter code. + [9b276dae576d] <2.8-maint> + + * Makefile: + Internal build system fixes. + [b29b24829b0b] <2.8-maint> + +2014-05-24 Phil Thompson + + * Makefile, Python/configure.py: + Fixed some build regressions with PyQt4. + [175b657ad031] <2.8-maint> + +2014-05-18 Phil Thompson + + * Makefile: + Updates to the top-level Makefile for the latest Android tools. + [405fb3eb5473] <2.8-maint> + +2014-05-17 Phil Thompson + + * Makefile: + Added the PyQt4 against Qt5 on the iPhone simulator build target. + [c31ae5795eec] <2.8-maint> + +2014-05-16 Phil Thompson + + * Makefile, Python/configure.py: + Use the PyQt .sip files in sysroot when cross-compiling. + [5d8e8b8ddfe5] <2.8-maint> + + * Makefile, Python/configure.py: + Replaced pyqt_sip_flags with pyqt_disabled_features in the + configuration file. + [f209403c183b] <2.8-maint> + +2014-05-15 Phil Thompson + + * Makefile, Python/sip/qscimod5.sip: + The PyQt5 bindings now run on the iOS simulator. + [056871b18335] <2.8-maint> + + * Makefile, Python/configure.py: + Building the Python bindings for the iOS simulator now works. + [9dfcea4447b8] <2.8-maint> + + * Makefile: + Updated the main Makefile for the Qt v5.2 iOS support. + [a619fd411878] <2.8-maint> + +2014-05-14 Phil Thompson + + * Python/configure.py: + Don't create the .api file if it isn't going to be installed. + [79db1145e882] <2.8-maint> + +2014-05-12 Phil Thompson + + * Python/configure.py: + Added the --sysroot, --no-sip-files and --no-qsci-api options to + configure.py. + [10642d7deba9] <2.8-maint> + +2014-05-05 Phil Thompson + + * Makefile: + Updated the internal build system for the combined iOS/Android Qt + installation. + [9097d3096b70] <2.8-maint> + +2014-05-04 Phil Thompson + + * qt/qscintilla_de.qm, qt/qscintilla_de.ts: + Updated German translations from Detlev. + [d4f631ee3aaf] <2.8-maint> + + * qt/qscintilla_es.qm, qt/qscintilla_es.ts: + Updated Spanish translations from Jaime Seuma. + [51350008c8a4] <2.8-maint> + +2014-04-30 Phil Thompson + + * qt/qscintilla_cs.ts, qt/qscintilla_de.ts, qt/qscintilla_es.ts, + qt/qscintilla_fr.ts, qt/qscintilla_pt_br.ts, qt/qscintilla_ru.ts: + Updated the .ts files. + [4c5f88b22952] <2.8-maint> + +2014-04-29 Phil Thompson + + * Python/sip/qscilexerpo.sip, Python/sip/qscimodcommon.sip, + qt/qscilexerpo.cpp, qt/qscilexerpo.h, qt/qscintilla.pro: + Added the QsciLexerPO class. + [d42e44550d80] <2.8-maint> + + * Python/sip/qscilexeravs.sip, Python/sip/qscimodcommon.sip, + qt/qscilexeravs.cpp, qt/qscilexeravs.h, qt/qscintilla.pro: + Added the QsciLexerAVS class. + [ed6edb6ec205] <2.8-maint> + +2014-04-27 Phil Thompson + + * Python/configure.py: + Fixes for the refactored configure.py. + [21b9fa66338e] <2.8-maint> + + * Python/configure.py: + Initial refactoring of configure.py so that it is implemented as + configurable (and reusable) boilerplate. + [615d75a88db9] <2.8-maint> + +2014-04-24 Phil Thompson + + * Python/sip/qsciscintilla.sip, qt/qscintilla.pro, + qt/qsciscintilla.cpp, qt/qsciscintilla.h: + setEnabled() now implements the expected visual effects. + [3e4254394b08] <2.8-maint> + +2014-03-22 Phil Thompson + + * Python/configure.py: + Fixed the handling of the --pyqt-sip-flags option. Restored the + specification of the Python library directory for Windows. + [3ea496d62b9f] <2.8-maint> + + * Python/configure.py, qt/features/qscintilla2.prf, qt/qscintilla.pro: + Added the --pyqt-sip-flags to configure.py to avoid having to + introspect PyQt. Fixed the .prf file for OS/X. Tweaks to + configure.py so that a configuration file will use the same names as + PyQt5. + [77ff3a21d00a] <2.8-maint> + +2014-03-21 Phil Thompson + + * Makefile, lib/README.doc, qt/qscintilla.pro: + Changes to the .pro file to build a static library without having to + edit it. + [f82637449276] <2.8-maint> + +2014-03-17 Phil Thompson + + * qt/PlatQt.cpp, qt/qsciscintillabase.cpp: + Fixed building against Qt v5.0.x. + [d68e28068b67] <2.8-maint> + +2014-03-14 Phil Thompson + + * .hgtags: + Added tag 2.8.1 for changeset 6bb7ab27c958 + [dfd473e8336b] <2.8-maint> + + * NEWS: + Released as v2.8.1. + [6bb7ab27c958] [2.8.1] <2.8-maint> + + * qt/SciClasses.cpp: + Fixed the display of UTF-8 call tips. + [3f0ca7ba60a0] <2.8-maint> + +2014-03-12 Phil Thompson + + * qsci/api/python/Python-3.4.api: + Added the .api file for Python v3.4. + [3db067b6dcec] <2.8-maint> + +2014-03-05 Phil Thompson + + * qt/PlatQt.cpp: + Revised attempt at the outline of alpha rectangles in case Qt ignore + the alpha of the pen. + [86ab8898503e] <2.8-maint> + + * qt/PlatQt.cpp: + Fixed the setting of the pen when drawing alpha rectangles. + [3f4ff2e8aca3] <2.8-maint> + +2014-02-09 Phil Thompson + + * Python/configure.py: + The Python module now has the correct install name on OS/X. + [eec8c704418a] <2.8-maint> + +2014-02-04 Phil Thompson + + * qt/qscicommand.cpp, qt/qscicommand.h, qt/qsciscintillabase.cpp, + qt/qsciscintillabase.h: + Fixed a problem entering non-ASCII characters that clashed with + Scintilla's SCK_* values. Key_Enter, Key_Backtab, Key_Super_L, + Key_Super_R and Key_Menu are now valid QsciCommand keys. + [94aec4f075df] <2.8-maint> + +2014-01-31 Phil Thompson + + * qt/qsciscintilla.cpp: + Make sure the editor is active after a selection of a user list + entry. + [e0f2106777d0] <2.8-maint> + +2014-01-23 Phil Thompson + + * qt/SciClasses.cpp: + On Linux, single clicking on an item in an auto-completion list now + just selects the itemm (rather than inserting the item) to be + consistent with other platforms. + [d916bbbf6517] <2.8-maint> + + * qt/qsciscintillabase.cpp: + Fix the handling of the auto-completion list when losing focus. + [a67b51ac8611] <2.8-maint> + +2014-01-22 Phil Thompson + + * qt/InputMethod.cpp, qt/qsciscintillabase.cpp: + Fixed building against Qt4. + [bf0a5f984fc1] <2.8-maint> + +2014-01-19 Phil Thompson + + * NEWS: + Updated the NEWS file. + [da2a76da712e] <2.8-maint> + +2014-01-18 Phil Thompson + + * qt/InputMethod.cpp: + Another attempt to fix input events on losing focus. + [6de3ab62fade] <2.8-maint> + + * lib/README.doc: + Added the qmake integration section to the docs. + [2918e4760c36] <2.8-maint> + +2014-01-07 Phil Thompson + + * Makefile: + Added Android to the internal build system. + [3be74b3e89e9] <2.8-maint> + +2014-01-06 Phil Thompson + + * qt/InputMethod.cpp, qt/qsciscintillabase.cpp: + Newlines can now be entered on iOS. + [8d23447dbd4d] <2.8-maint> + +2014-01-05 Phil Thompson + + * qt/InputMethod.cpp: + See if we can detect a input methdo event generated when losing + focus and not to clear the selection. + [8e4216289efe] <2.8-maint> + +2014-01-04 Phil Thompson + + * Python/sip/qsciprinter.sip: + The Python bindings now respect the PyQt_Printer feature. + [c3106f715803] <2.8-maint> + +2014-01-03 Phil Thompson + + * qt/qsciscintillabase.cpp, qt/qsciscintillabase.h: + Added support for software input panels with Qt v5. + [d4499b61ff04] <2.8-maint> + + * qt/qsciscintilla.cpp: + Disable input methods when read-only (rather than non-UTF8) to be + consistent with Qt. + [f8817d4a47e3] <2.8-maint> + + * qt/qscintilla.pro, qt/qsciprinter.h: + Fixed the .pro file so that QT_NO_PRINTER is set properly and + removed the workaround. + [b5a6709d814a] <2.8-maint> + +2014-01-02 Phil Thompson + + * qt/PlatQt.cpp: + Finally fixed buffered drawing on retina displays. + [f8d23103df70] <2.8-maint> + + * qt/PlatQt.cpp, qt/qsciscintillabase.cpp: + Fixes for buffered drawing on retina displays. (Not yet correct, but + close.) + [a3b36be44112] <2.8-maint> + + * Makefile: + Changed the build system for the example on the iOS simulator so + that qmake is only used to generate the .xcodeproj file. + [179dbf5ba385] <2.8-maint> + + * Makefile: + Added the building of the example to the main Makefile. + [aec2ac3ac591] <2.8-maint> + + * Makefile: + Added iOS simulator targets to the build system. + [72af8241b261] <2.8-maint> + + * Makefile, build.py, lib/LICENSE.GPL2, lib/LICENSE.GPL3, + lib/LICENSE.commercial.short, lib/LICENSE.gpl.short, + qt/InputMethod.cpp: + Updated copyright notices. + [f21e016499fe] <2.8-maint> + + * qt/MacPasteboardMime.cpp, qt/qsciprinter.cpp, qt/qsciprinter.h, + qt/qsciscintillabase.cpp: + Fixes for building for iOS. + [46d25e648b4a] <2.8-maint> + +2013-12-31 Phil Thompson + + * Python/configure.py, build.py, designer-Qt4Qt5/designer.pro, + example-Qt4Qt5/application.pro, lib/README.doc, + qt/features/qscintilla2.prf, qt/qscintilla.pro: + Implemented the qscintilla2.prf feature file and updated everything + to use it. + [c3bfef1a55ad] <2.8-maint> + +2013-12-29 Phil Thompson + + * qt/ScintillaQt.h: + Added some additional header file dependencies. + [7ec67eced9de] <2.8-maint> + +2013-12-21 Phil Thompson + + * qt/MacPasteboardMime.cpp, qt/ScintillaQt.cpp: + Fixes for building against Qt3. + [f25cbda736fd] <2.8-maint> + +2013-12-16 Phil Thompson + + * designer-Qt4Qt5/designer.pro, example-Qt4Qt5/application.pro: + Updated the plugin and example .pro files to work around the qmake + incompatibilities introduced in Qt v5.2.0. + [a14729b2702d] <2.8-maint> + +2013-12-15 Phil Thompson + + * qt/qsciscintillabase.cpp: + Fixed the previous fix. + [6c322fa1b20f] <2.8-maint> + +2013-12-14 Phil Thompson + + * qt/PlatQt.cpp, qt/qsciscintillabase.cpp: + Backed out the attempted fix for retina displays at it needs more + work. As a workaround buffered writes are disabled if a retina + display is detected. + [a1f648d1025e] <2.8-maint> + +2013-12-13 Phil Thompson + + * qt/qscintilla.pro: + Enabled exceptions in the .pro file. + [6e07131f6741] <2.8-maint> + +2013-12-12 Phil Thompson + + * qt/PlatQt.cpp: + Create pixmaps for buffered drawing using the same pixel ratio as + the actual device. + [f4f706006071] <2.8-maint> + +2013-12-09 Phil Thompson + + * qt/qscilexeroctave.cpp: + Updated the keywords defined for the Octave lexer. + [9ccf1c74f266] <2.8-maint> + +2013-12-06 Phil Thompson + + * qt/ScintillaQt.cpp: + More scrollbar fixes. + [194a2142c9b6] <2.8-maint> + +2013-12-05 Phil Thompson + + * qt/ScintillaQt.cpp, qt/qscintilla.pro: + Fixes to the scrollbar visibility handling. + [5e8a96258ab0] <2.8-maint> + +2013-12-04 Phil Thompson + + * qt/PlatQt.cpp: + Fixed the implementation of SurfaceImpl::LogPixelsY() (even though + it is never called). + [9ef0387cfc08] <2.8-maint> + +2013-11-08 Phil Thompson + + * .hgtags: + Added tag 2.8 for changeset 562785a5f685 + [fc52bfaa75c4] + + * NEWS: + Released as v2.8. + [562785a5f685] [2.8] + +2013-11-05 Phil Thompson + + * qt/qscintilla_es.qm, qt/qscintilla_es.ts: + Updated Spanish translations from Jaime Seuma. + [e7a128a28157] + +2013-11-04 Phil Thompson + + * NEWS, Python/sip/qsciscintillabase.sip, qt/ScintillaQt.cpp, + qt/qscilexerpascal.cpp, qt/qsciscintillabase.h: + Added support for the new v3.3.6 features to the low-level API. + [e553c1263387] + + * Makefile, NEWS, cocoa/Framework.mk, cocoa/InfoBar.mm, + cocoa/PlatCocoa.mm, cocoa/SciTest.mk, cocoa/ScintillaCocoa.h, + cocoa/ScintillaCocoa.mm, cocoa/ScintillaFramework/ScintillaFramework + .xcodeproj/project.pbxproj, cocoa/ScintillaView.h, + cocoa/ScintillaView.mm, cocoa/checkbuildosx.sh, cocoa/common.mk, + doc/ScintillaDoc.html, doc/ScintillaDownload.html, + doc/ScintillaHistory.html, doc/index.html, gtk/PlatGTK.cxx, + gtk/ScintillaGTK.cxx, gtk/makefile, include/ILexer.h, + include/Platform.h, include/SciLexer.h, include/Scintilla.h, + include/Scintilla.iface, lexers/LexCPP.cxx, + lexers/LexCoffeeScript.cxx, lexers/LexOthers.cxx, + lexers/LexPascal.cxx, lexers/LexPerl.cxx, lexers/LexRust.cxx, + lexers/LexSQL.cxx, lexers/LexVisualProlog.cxx, + lexlib/StyleContext.h, lexlib/SubStyles.h, lexlib/WordList.cxx, + lib/README.doc, qt/qscintilla.pro, src/Catalogue.cxx, + src/Document.cxx, src/Editor.cxx, src/ScintillaBase.cxx, + src/ScintillaBase.h, src/ViewStyle.cxx, src/ViewStyle.h, + test/XiteQt.py, test/simpleTests.py, version.txt, win32/PlatWin.cxx, + win32/ScintRes.rc, win32/ScintillaWin.cxx, win32/makefile, + win32/scintilla.mak: + Merged Scintilla v3.3.6. + [ada0941dec52] + +2013-10-07 Phil Thompson + + * qt/qscintilla_de.qm, qt/qscintilla_de.ts: + Updated German translations from Detlev. + [6c0af6af651c] + + * Makefile, build.py, qt/MacPasteboardMime.cpp, qt/qscintilla.pro, + qt/qsciscintillabase.cpp: + Reinstated support for rectangular selections on OS/X for Qt v5.2 + and later. + [dbfdf7be4793] + +2013-10-04 Phil Thompson + + * qt/qscintilla_cs.ts, qt/qscintilla_de.ts, qt/qscintilla_es.ts, + qt/qscintilla_fr.ts, qt/qscintilla_pt_br.ts, qt/qscintilla_ru.ts: + Updated the translation source files. + [7ed4bf7ed4e7] + + * qt/qscilexercpp.cpp: + Added missing descriptions to the C++ lexer settings. + [55d7627bb129] + +2013-10-01 Phil Thompson + + * designer-Qt4Qt5/designer.pro, example-Qt4Qt5/application.pro: + Fixed the building of the Designer plugin and the example for OS/X. + [a67f71b06d3c] + + * NEWS, Python/sip/qsciscintillabase.sip, qt/InputMethod.cpp, + qt/qsciscintilla.h, qt/qsciscintillabase.h: + Added the remaining non-provisional Scintilla v3.3.5 features to the + low-level API. + [4e8d0b46ebc0] + + * qt/qscintilla_cs.ts, qt/qscintilla_de.ts, qt/qscintilla_es.ts, + qt/qscintilla_fr.ts, qt/qscintilla_pt_br.ts, qt/qscintilla_ru.ts: + Updated the translation source files. + [4beefc0d95ec] + + * NEWS, Python/sip/qscilexercpp.sip, Python/sip/qsciscintillabase.sip, + qt/qscilexercpp.cpp, qt/qscilexercpp.h, qt/qsciscintillabase.h: + Updated the lexers for Scintilla v3.3.5. + [fc901a2a491f] + +2013-09-30 Phil Thompson + + * Python/configure-old.py, Python/configure.py, README, + cocoa/InfoBar.mm, cocoa/PlatCocoa.mm, cocoa/ScintillaCocoa.h, + cocoa/ScintillaCocoa.mm, cocoa/ScintillaFramework/ScintillaFramework + .xcodeproj/project.pbxproj, cocoa/ScintillaTest/AppController.mm, + cocoa/ScintillaTest/English.lproj/MainMenu.xib, + cocoa/ScintillaView.h, cocoa/ScintillaView.mm, cppcheck.suppress, + delbin.bat, designer-Qt4Qt5/designer.pro, doc/Lexer.txt, + doc/ScintillaDoc.html, doc/ScintillaDownload.html, + doc/ScintillaHistory.html, doc/ScintillaRelated.html, + doc/ScintillaToDo.html, doc/index.html, example- + Qt4Qt5/application.pro, gtk/Converter.h, gtk/PlatGTK.cxx, + gtk/ScintillaGTK.cxx, gtk/deps.mak, gtk/makefile, include/Face.py, + include/HFacer.py, include/ILexer.h, include/Platform.h, + include/SciLexer.h, include/Scintilla.h, include/Scintilla.iface, + lexers/LexA68k.cxx, lexers/LexAU3.cxx, lexers/LexAVE.cxx, + lexers/LexAda.cxx, lexers/LexAsm.cxx, lexers/LexAsn1.cxx, + lexers/LexBash.cxx, lexers/LexBullant.cxx, lexers/LexCOBOL.cxx, + lexers/LexCPP.cxx, lexers/LexCoffeeScript.cxx, lexers/LexConf.cxx, + lexers/LexCrontab.cxx, lexers/LexCsound.cxx, lexers/LexD.cxx, + lexers/LexECL.cxx, lexers/LexForth.cxx, lexers/LexGAP.cxx, + lexers/LexGui4Cli.cxx, lexers/LexHTML.cxx, lexers/LexHaskell.cxx, + lexers/LexInno.cxx, lexers/LexKVIrc.cxx, lexers/LexLaTeX.cxx, + lexers/LexLisp.cxx, lexers/LexLout.cxx, lexers/LexLua.cxx, + lexers/LexMMIXAL.cxx, lexers/LexMPT.cxx, lexers/LexMSSQL.cxx, + lexers/LexMatlab.cxx, lexers/LexModula.cxx, lexers/LexMySQL.cxx, + lexers/LexNsis.cxx, lexers/LexOpal.cxx, lexers/LexOthers.cxx, + lexers/LexPO.cxx, lexers/LexPerl.cxx, lexers/LexPowerShell.cxx, + lexers/LexPython.cxx, lexers/LexR.cxx, lexers/LexRuby.cxx, + lexers/LexSTTXT.cxx, lexers/LexScriptol.cxx, lexers/LexSpice.cxx, + lexers/LexTCMD.cxx, lexers/LexYAML.cxx, lexlib/Accessor.cxx, + lexlib/Accessor.h, lexlib/CharacterCategory.cxx, + lexlib/CharacterCategory.h, lexlib/CharacterSet.cxx, + lexlib/LexAccessor.h, lexlib/LexerBase.cxx, lexlib/LexerModule.cxx, + lexlib/LexerNoExceptions.cxx, lexlib/LexerNoExceptions.h, + lexlib/LexerSimple.cxx, lexlib/OptionSet.h, + lexlib/PropSetSimple.cxx, lexlib/PropSetSimple.h, + lexlib/StyleContext.cxx, lexlib/StyleContext.h, lexlib/SubStyles.h, + lexlib/WordList.cxx, lexlib/WordList.h, lib/README.doc, + qt/ScintillaQt.cpp, qt/ScintillaQt.h, qt/qscintilla.pro, + qt/qsciscintillabase.cpp, scripts/Face.py, scripts/FileGenerator.py, + scripts/GenerateCaseConvert.py, + scripts/GenerateCharacterCategory.py, scripts/HFacer.py, + scripts/LexGen.py, scripts/ScintillaData.py, src/AutoComplete.cxx, + src/AutoComplete.h, src/CallTip.cxx, src/CallTip.h, + src/CaseConvert.cxx, src/CaseConvert.h, src/CaseFolder.cxx, + src/CaseFolder.h, src/Catalogue.cxx, src/CellBuffer.cxx, + src/CellBuffer.h, src/ContractionState.cxx, src/Decoration.cxx, + src/Decoration.h, src/Document.cxx, src/Document.h, src/Editor.cxx, + src/Editor.h, src/ExternalLexer.cxx, src/FontQuality.h, + src/Indicator.cxx, src/KeyMap.cxx, src/KeyMap.h, src/LexGen.py, + src/LineMarker.cxx, src/LineMarker.h, src/Partitioning.h, + src/PerLine.cxx, src/PerLine.h, src/PositionCache.cxx, + src/PositionCache.h, src/RESearch.cxx, src/RESearch.h, + src/RunStyles.cxx, src/RunStyles.h, src/SVector.h, + src/ScintillaBase.cxx, src/ScintillaBase.h, src/Selection.cxx, + src/SplitVector.h, src/Style.cxx, src/Style.h, + src/UniConversion.cxx, src/UniConversion.h, src/UnicodeFromUTF8.h, + src/ViewStyle.cxx, src/ViewStyle.h, src/XPM.cxx, src/XPM.h, + test/README, test/ScintillaCallable.py, test/XiteQt.py, + test/XiteWin.py, test/examples/x.lua, test/examples/x.lua.styled, + test/examples/x.pl, test/examples/x.pl.styled, test/examples/x.rb, + test/examples/x.rb.styled, test/lexTests.py, + test/performanceTests.py, test/simpleTests.py, + test/unit/testCharClassify.cxx, test/unit/testContractionState.cxx, + test/unit/testPartitioning.cxx, test/unit/testRunStyles.cxx, + test/unit/testSplitVector.cxx, version.txt, win32/PlatWin.cxx, + win32/PlatWin.h, win32/ScintRes.rc, win32/ScintillaWin.cxx, + win32/deps.mak, win32/makefile, win32/scintilla.mak, + win32/scintilla_vc6.mak: + Initial merge of Scintilla v3.3.5. + [40933b62f5ed] + +2013-09-14 Phil Thompson + + * Python/configure-ng.py, Python/configure.py, designer- + Qt4/designer.pro, designer-Qt4/qscintillaplugin.cpp, designer- + Qt4/qscintillaplugin.h: + Merged the 2.7-maint branch with the trunk. + [7288d97c54b0] + +2013-08-17 Phil Thompson + + * Python/sip/qsciscintillabase.sip: + Fixed a missing const in the .sip files. + [8b0425b87953] <2.7-maint> + +2013-06-27 Phil Thompson + + * NEWS, Python/configure-old.py, Python/configure.py, + Python/sip/qsciscintillabase.sip, designer-Qt4Qt5/designer.pro, + example-Qt4Qt5/application.pro, qt/InputMethod.cpp, + qt/qscintilla.pro, qt/qsciscintilla.cpp, qt/qsciscintilla.h, + qt/qsciscintillabase.cpp, qt/qsciscintillabase.h: + Added support for input methods. + [b97af619044b] <2.7-maint> + +2013-06-16 Phil Thompson + + * .hgtags: + Added tag 2.7.2 for changeset 9ecd14550589 + [2b1f187f29c6] <2.7-maint> + + * NEWS: + Released as v2.7.2. + [9ecd14550589] [2.7.2] <2.7-maint> + +2013-06-12 Phil Thompson + + * Python/configure.py: + Fixed a configure.py bug. + [cb062c6f9189] <2.7-maint> + +2013-05-07 Phil Thompson + + * Makefile, Python/configure.py: + Fixes for the PyQt5 support. + [0714ef531ead] <2.7-maint> + + * Makefile, NEWS, Python/configure.py, Python/sip/qscimod5.sip, + lib/README.doc: + Added support for building against PyQt5. + [c982ff1b86f7] <2.7-maint> + +2013-05-05 Phil Thompson + + * build.py: + Changed the format of the name of a snapshot to match other + packages. + [d1f87bbc8377] <2.7-maint> + +2013-05-04 Phil Thompson + + * qt/PlatQt.cpp: + Significantly improved the performance of measuring the width of + text so that very long lines (100,000 characters) can be handled. + [5c88dc344f69] <2.7-maint> + +2013-04-08 Phil Thompson + + * Python/configure.py: + configure.py now issues a more explicit error message if QtCore + cannot be imported. + [4d0097b1ff05] <2.7-maint> + + * Python/configure.py: + Fixed a qmake warning message from configure.py. + [2363c96edeb0] <2.7-maint> + +2013-04-02 Phil Thompson + + * qt/qsciscintilla.cpp, qt/qsciscintilla.h: + The default EOL mode on OS/X is now EolUnix. Clarified the + documentation for EolMode. + [a436460d0300] <2.7-maint> + +2013-03-15 Phil Thompson + + * Python/configure.py: + Further fixes for configure.py. + [78fa6fef2c76] <2.7-maint> + +2013-03-13 Phil Thompson + + * qt/qscilexer.h: + Clarified the description of QSciLexer::description(). + [688b482379e3] <2.7-maint> + + * Python/configure.py: + Fixed the last (trivial) change. + [0a3494ba669a] <2.7-maint> + +2013-03-12 Phil Thompson + + * Python/configure.py: + configure.py now gives the user more information about the copy of + sip being used. + [5c3be581d62b] <2.7-maint> + +2013-03-07 Phil Thompson + + * Python/configure.py: + On OS/X configure.py will explicitly set the qmake spec to macx-g++ + (Qt4) or macx-clang (Qt5) if the default might be macx-xcode. Added + the --spec option to configure.py. + [36a9bf2fbebd] <2.7-maint> + +2013-03-05 Phil Thompson + + * Python/configure.py: + Minor cosmetic tweaks to configure.py. + [296cd10747b7] <2.7-maint> + + * qt/PlatQt.cpp, qt/SciClasses.cpp, qt/qscicommandset.cpp, + qt/qscintilla.pro, qt/qsciscintillabase.cpp: + Removed the remaining uses of Q_WS_* for Qt v5. + [7fafd5c09eea] <2.7-maint> + +2013-03-01 Phil Thompson + + * .hgtags: + Added tag 2.7.1 for changeset 2583dc3dbc8d + [0674c291eab4] <2.7-maint> + + * NEWS: + Released as v2.7.1. + [2583dc3dbc8d] [2.7.1] <2.7-maint> + +2013-02-28 Phil Thompson + + * lexlib/CharacterSet.h: + Re-applied a fix to the underlying code thay got lost when Scintilla + v3.23 was merged. + [ee9eeec7d796] <2.7-maint> + +2013-02-26 Phil Thompson + + * qt/qsciapis.cpp: + A fix for the regression introduced with the previous fix. + [154428cebb5e] <2.7-maint> + +2013-02-19 Phil Thompson + + * NEWS, qt/qsciapis.cpp, qt/qscintilla.pro: + Fixed an autocompletion bug where there are entries Foo.* and + FooBar. + [620d72d86980] <2.7-maint> + +2013-02-06 Phil Thompson + + * Python/configure.py: + configure.py fixes for Linux. + [031b5b767926] <2.7-maint> + + * Python/configure.py: + Added the --sip-incdir and --pyqt-sipdir options to configure.py and + other fixes for building on Windows. + [517a3d0243fd] <2.7-maint> + + * Makefile, NEWS: + Updated the NEWS file. + [eb00e08e1950] <2.7-maint> + + * Makefile, Python/configure.py: + Fixed configure.py for Qt5. + [7ddb5bf2030c] <2.7-maint> + + * Python/configure-ng.py, Python/configure-old.py, + Python/configure.py, build.py, lib/README.doc: + Completed configure-ng.py and renamed it configure.py. The old + configure.py is now called configure-old.py. + [8d58b2899080] <2.7-maint> + +2013-02-05 Phil Thompson + + * Python/configure-ng.py: + configure-ng.py now uses -fno-exceptions on Linux and OS/X. + configure-ng.py now hides unneeded symbols on Linux. + [391e4f56b009] <2.7-maint> + + * Python/configure-ng.py: + configure-ng.py will now install the .sip and .api files. + [e228d58a670c] <2.7-maint> + + * Python/configure-ng.py: + configure-ng.py will now create a Makefile that will build the + Python module. + [cb47ace62a70] <2.7-maint> + +2013-02-02 Phil Thompson + + * qt/qsciglobal.h: + Use Q_OS_WIN for compatibility for Qt5. + [da752cf4510a] <2.7-maint> + +2013-01-29 Phil Thompson + + * designer-Qt4Qt5/designer.pro, example-Qt4Qt5/application.pro: + Use macx rather than mac in the .pro files. + [ee818a367df7] <2.7-maint> + +2012-12-21 Phil Thompson + + * Python/configure-ng.py, Python/configure.py, designer- + Qt4Qt5/designer.pro, example-Qt4Qt5/application.pro, lib/README.doc, + qt/qscintilla.pro: + Various OS/X fixes so that setting DYLD_LIBRARY_PATH isn't + necessary. + [e7854b8b01e3] <2.7-maint> + +2012-12-19 Phil Thompson + + * build.py, designer-Qt4/designer.pro, designer- + Qt4/qscintillaplugin.cpp, designer-Qt4/qscintillaplugin.h, designer- + Qt4Qt5/designer.pro, designer-Qt4Qt5/qscintillaplugin.cpp, designer- + Qt4Qt5/qscintillaplugin.h, lib/README.doc: + Updated the Designer plugin for Qt5. + [77f575c87ebb] <2.7-maint> + +2012-12-08 Phil Thompson + + * .hgtags: + Added tag 2.7 for changeset 9bab1e7b02e3 + [5600138109ce] + + * NEWS: + Released as v2.7. + [9bab1e7b02e3] [2.7] + +2012-12-07 Phil Thompson + + * qt/qscintilla_es.qm, qt/qscintilla_es.ts: + Updated Spanish translations from Jaime. + [b188c942422c] + + * NEWS: + Updated the NEWS file regarding Qt v5-rc1. + [be9e6b928921] + +2012-12-02 Phil Thompson + + * qt/qsciscintilla.cpp: + A final(?) fix for scroll bars and annotations. + [378f28e5b4b2] + + * Python/configure-ng.py: + More build system changes. + [f53fc8743ff1] + +2012-11-29 Phil Thompson + + * Python/configure-ng.py: + More configure script changes. + [434c9b3185a5] + + * Python/configure-ng.py: + More work on the new configure script. + [3a044732b799] + + * qt/qscintilla_cs.qm, qt/qscintilla_de.qm, qt/qscintilla_de.ts, + qt/qscintilla_es.qm, qt/qscintilla_fr.qm, qt/qscintilla_pt_br.qm, + qt/qscintilla_ru.qm: + Updated German translations from Detlev. + [9dab221845ca] + +2012-11-28 Phil Thompson + + * Python/configure-ng.py, build.py: + Added the start of the SIP v5 compatible build script. + [781d2af60cfc] + +2012-11-27 Phil Thompson + + * Python/configure.py: + Fixed the handling of the 'linux' platform in the Python bindings. + [835d5e3be69e] + +2012-11-26 Phil Thompson + + * qt/qsciscintilla.cpp, qt/qsciscintillabase.cpp, + qt/qsciscintillabase.h: + Worked around Scintilla bugs related to scroll bars and annotations. + [edc190ecc6fc] + + * qt/qscintilla_cs.ts, qt/qscintilla_de.ts, qt/qscintilla_es.ts, + qt/qscintilla_fr.ts, qt/qscintilla_pt_br.ts, qt/qscintilla_ru.ts: + Updated the translation files. + [ec754f87a735] + + * NEWS, Python/sip/qscilexercss.sip, qt/qscilexercss.cpp, + qt/qscilexercss.h: + Updated the CSS lexer for Scintilla v3.23. + [011fba6d668d] + + * qt/qscilexercpp.h: + Fixed a couple of documentation typos. + [7c2d04c76bd6] + + * NEWS, Python/sip/qscilexercpp.sip, qt/qscilexercpp.cpp, + qt/qscilexercpp.h: + Updated the C++ lexer for Scintilla v3.23. + [ad93ee355639] + +2012-11-24 Phil Thompson + + * Python/sip/qscilexercpp.sip, qt/qscilexercpp.cpp, qt/qscilexercpp.h: + Updated the styles for the C++ lexer. + [153429503998] + +2012-11-23 Phil Thompson + + * NEWS, Python/sip/qsciscintilla.sip, qt/PlatQt.cpp, + qt/qsciscintilla.cpp, qt/qsciscintilla.h: + Added CallTipsPosition, callTipsPosition() and + setCallTipsPosition(). + [7e5602869fee] + + * NEWS, Python/sip/qsciscintilla.sip, qt/qsciscintilla.h: + Added SquigglePixmapIndicator to QsciScintilla::IndicatorStyle. + [ad98a5396151] + + * NEWS, Python/sip/qsciscintilla.sip, qt/qsciscintilla.cpp, + qt/qsciscintilla.h: + Added WrapFlagInMargin to QsciScintilla::WrapVisualFlag. + [a38c75c45fb3] + + * NEWS, qt/PlatQt.cpp, qt/qsciscintilla.cpp, qt/qscistyle.cpp: + Created a back door to pass the Qt weight of a font avoiding lossy + conversions between Qt weights and Scintilla weights. The default + behaviour is now SC_CASEINSENSITIVEBEHAVIOUR_IGNORECASE which is a + change but reflects what people really expect. + [78ce86e97ad3] + +2012-11-21 Phil Thompson + + * NEWS, Python/sip/qsciscintillabase.sip, qt/qsciscintillabase.h: + Updated the constants from Scintilla v3.23. + [a3a0768af999] + + * NEWS, Python/configure.py, include/Platform.h, lib/README.doc, + qt/ListBoxQt.cpp, qt/ListBoxQt.h, qt/PlatQt.cpp, qt/SciClasses.cpp, + qt/ScintillaQt.cpp, qt/qscintilla.pro, src/ExternalLexer.h, + src/XPM.cxx, src/XPM.h: + Updated the platform support so that it compiles (but untested). + [abae8e56a6ea] + +2012-11-20 Phil Thompson + + * cocoa/InfoBar.h, cocoa/InfoBar.mm, cocoa/PlatCocoa.h, + cocoa/PlatCocoa.mm, cocoa/QuartzTextStyle.h, + cocoa/QuartzTextStyleAttribute.h, cocoa/ScintillaCocoa.h, + cocoa/ScintillaCocoa.mm, + cocoa/ScintillaFramework/English.lproj/InfoPlist.strings, cocoa/Scin + tillaFramework/ScintillaFramework.xcodeproj/project.pbxproj, + cocoa/ScintillaTest/AppController.mm, + cocoa/ScintillaTest/English.lproj/InfoPlist.strings, + cocoa/ScintillaTest/ScintillaTest.xcodeproj/project.pbxproj, + cocoa/ScintillaView.h, cocoa/ScintillaView.mm, + cocoa/checkbuildosx.sh, delbin.bat, delcvs.bat, + doc/ScintillaDoc.html, doc/ScintillaDownload.html, + doc/ScintillaHistory.html, doc/ScintillaRelated.html, + doc/ScintillaToDo.html, doc/annotations.png, doc/index.html, + doc/styledmargin.png, gtk/PlatGTK.cxx, gtk/ScintillaGTK.cxx, + gtk/makefile, include/Face.py, include/ILexer.h, include/Platform.h, + include/SciLexer.h, include/Scintilla.h, include/Scintilla.iface, + include/ScintillaWidget.h, lexers/LexAVS.cxx, lexers/LexAda.cxx, + lexers/LexAsm.cxx, lexers/LexBash.cxx, lexers/LexBasic.cxx, + lexers/LexCPP.cxx, lexers/LexCSS.cxx, lexers/LexCoffeeScript.cxx, + lexers/LexD.cxx, lexers/LexECL.cxx, lexers/LexFortran.cxx, + lexers/LexHTML.cxx, lexers/LexLua.cxx, lexers/LexMMIXAL.cxx, + lexers/LexMPT.cxx, lexers/LexNsis.cxx, lexers/LexOScript.cxx, + lexers/LexOthers.cxx, lexers/LexPO.cxx, lexers/LexPascal.cxx, + lexers/LexPerl.cxx, lexers/LexRuby.cxx, lexers/LexSQL.cxx, + lexers/LexScriptol.cxx, lexers/LexSpice.cxx, lexers/LexTADS3.cxx, + lexers/LexTCL.cxx, lexers/LexTCMD.cxx, lexers/LexVHDL.cxx, + lexers/LexVisualProlog.cxx, lexers/LexYAML.cxx, + lexlib/CharacterSet.h, lexlib/LexAccessor.h, + lexlib/PropSetSimple.cxx, macosx/ExtInput.cxx, macosx/ExtInput.h, + macosx/PlatMacOSX.cxx, macosx/PlatMacOSX.h, + macosx/QuartzTextLayout.h, macosx/QuartzTextStyle.h, + macosx/QuartzTextStyleAttribute.h, + macosx/SciTest/English.lproj/InfoPlist.strings, + macosx/SciTest/English.lproj/main.xib, macosx/SciTest/Info.plist, + macosx/SciTest/SciTest.xcode/project.pbxproj, + macosx/SciTest/SciTest_Prefix.pch, macosx/SciTest/main.cpp, + macosx/SciTest/version.plist, macosx/ScintillaCallTip.cxx, + macosx/ScintillaCallTip.h, macosx/ScintillaListBox.cxx, + macosx/ScintillaListBox.h, macosx/ScintillaMacOSX.cxx, + macosx/ScintillaMacOSX.h, macosx/TCarbonEvent.cxx, + macosx/TCarbonEvent.h, macosx/TRect.h, macosx/TView.cxx, + macosx/TView.h, macosx/deps.mak, macosx/makefile, + src/AutoComplete.cxx, src/AutoComplete.h, src/CallTip.cxx, + src/CallTip.h, src/Catalogue.cxx, src/CellBuffer.cxx, + src/CellBuffer.h, src/CharClassify.cxx, src/CharClassify.h, + src/Decoration.cxx, src/Document.cxx, src/Document.h, + src/Editor.cxx, src/Editor.h, src/ExternalLexer.h, + src/FontQuality.h, src/Indicator.cxx, src/Indicator.h, + src/LexGen.py, src/LineMarker.cxx, src/LineMarker.h, + src/PerLine.cxx, src/PerLine.h, src/PositionCache.cxx, + src/PositionCache.h, src/RESearch.cxx, src/RunStyles.cxx, + src/SciTE.properties, src/ScintillaBase.cxx, src/ScintillaBase.h, + src/SplitVector.h, src/Style.cxx, src/Style.h, + src/UniConversion.cxx, src/UniConversion.h, src/ViewStyle.cxx, + src/ViewStyle.h, src/XPM.cxx, src/XPM.h, test/README, + test/examples/x.cxx, test/examples/x.cxx.styled, test/lexTests.py, + test/simpleTests.py, test/unit/makefile, + test/unit/testCharClassify.cxx, test/unit/testRunStyles.cxx, tgzsrc, + version.txt, win32/CheckD2D.cxx, win32/PlatWin.cxx, win32/PlatWin.h, + win32/ScintRes.rc, win32/ScintillaWin.cxx, win32/makefile, + win32/scintilla.mak, win32/scintilla_vc6.mak, zipsrc.bat: + Initial merge of Scintilla v3.23. + [b116f361ac01] + + * example-Qt4/application.pro, example-Qt4/application.qrc, example- + Qt4/images/copy.png, example-Qt4/images/cut.png, example- + Qt4/images/new.png, example-Qt4/images/open.png, example- + Qt4/images/paste.png, example-Qt4/images/save.png, example- + Qt4/main.cpp, example-Qt4/mainwindow.cpp, example-Qt4/mainwindow.h: + Merged the 2.6 maintenance branch with the trunk. + [0bf4f7453c68] + +2012-11-14 Phil Thompson + + * Makefile, example-Qt4Qt5/application.pro, qt/qsciscintillabase.cpp: + Fixed the linking of the example on OS/X. + [e1d1f43fae71] <2.6-maint> + +2012-11-12 Phil Thompson + + * Makefile, qt/PlatQt.cpp, qt/qscimacro.cpp, qt/qsciscintilla.cpp, + qt/qscistyle.cpp: + Removed all calls that are deprecated in Qt5. The build system now + supports cross-compilation to the Raspberry Pi. + [afef9d2b3ab1] <2.6-maint> + +2012-11-02 Phil Thompson + + * qt/qscilexersql.h: + Added comments to the QsciLexerSQL documentation stating that + additional keywords must be defined using lower case. + [79a9274b77c3] <2.6-maint> + +2012-10-09 Phil Thompson + + * NEWS, lib/ed.py, qt/qsciscintilla.cpp, qt/qsciscintilla.h: + Added a replace option to the test editor's find commands. Finished + implementing findFirstInSelection(). + [80df6cc89bae] <2.6-maint> + + * lib/ed.py: + Added the Find, Find in Selection and Find Next actions to the test + editor. + [4aad56aedbea] <2.6-maint> + +2012-10-03 Phil Thompson + + * lib/ed.py: + Added an internal copy of the hackable Python test editor. + [a67a6fe99937] <2.6-maint> + +2012-09-27 Phil Thompson + + * lib/gen_python3_api.py, qsci/api/python/Python-3.3.api: + Fixed the gen_python3_api.py script to be able to exclude module + hierachies. Added the API file for Python v3.3. + [06bbb2d1c227] <2.6-maint> + +2012-09-22 Phil Thompson + + * qt/ListBoxQt.cpp: + Fixed a problem building against versions of Qt4 prior to v4.7. + [7bf93d60a50b] <2.6-maint> + +2012-09-18 Phil Thompson + + * NEWS, Python/sip/qsciscintilla.sip, qt/qsciscintilla.cpp, + qt/qsciscintilla.h: + Added setOverwriteMode() and overwriteMode() to QsciScintilla. + [1affc53d2d88] <2.6-maint> + +2012-09-14 Phil Thompson + + * qt/qsciscintillabase.cpp: + Disable the use of QMacPasteboardMime for Qt v5-beta1. + [a6625d5928c6] <2.6-maint> + +2012-08-24 Phil Thompson + + * qt/qscilexerperl.cpp, qt/qscilexerperl.h: + Fixed auto-indentation for Perl. + [5eb1d97f95d6] <2.6-maint> + +2012-08-13 Phil Thompson + + * lexlib/CharacterSet.h: + Removed an incorrect assert() in the main Scintilla code. + [1aaf5e09d4b2] <2.6-maint> + +2012-08-09 Phil Thompson + + * NEWS, Python/sip/qsciscintilla.sip, qt/qscintilla.pro, + qt/qsciscintilla.cpp, qt/qsciscintilla.h: + Added QsciScintilla::wordAtLineIndex(). + [0c5d77aef4f7] <2.6-maint> + +2012-07-19 Phil Thompson + + * qt/qscintilla.pro, qt/qsciscintillabase.cpp: + Fixed key handling on Linux with US international layout which + generates non-ASCII sequences for quote characters. + [061ab2c5bea3] <2.6-maint> + +2012-06-20 Phil Thompson + + * .hgtags: + Added tag 2.6.2 for changeset f9d3d982c20f + [a5bb033cd9e0] <2.6-maint> + + * NEWS: + Released as v2.6.2. + [f9d3d982c20f] [2.6.2] <2.6-maint> + +2012-06-19 Phil Thompson + + * qt/qsciscintillabase.cpp: + Fixed pasting of text in UTF8 mode (and hopefully Latin1 mode as + well). + [6df653daef18] <2.6-maint> + + * qt/qsciscintillabase.cpp: + Rectangular selections are now always encoded as plain/text with an + explicit, and separate, marker to indicate that it is rectangular. + [012a0b2ca89f] <2.6-maint> + +2012-06-09 Phil Thompson + + * qt/qsciscintillabase.cpp: + Used the Mac method of marking rectangular selections as the '\0' + Scintilla hack just doesn't work with Qt. + [75020a35b5eb] <2.6-maint> + + * qt/qscintilla.pro: + Bumped the library version number. + [12f21729e254] <2.6-maint> + +2012-06-07 Phil Thompson + + * qt/qsciscintillabase.cpp: + Improved the support for rectangular selections and the + interoperability with other Scintilla based editors. + [a42942b57fb7] <2.6-maint> + + * qt/qsciscintillabase.cpp: + Fixed the middle button pasting of rectangular selections. + [db58aa6c6d7d] <2.6-maint> + + * qt/qscidocument.cpp: + Fixed a bug that seemed to mean the initial EOL mode was always + UNIX. + [88561cd29a60] <2.6-maint> + + * qt/qsciscintillabase.cpp: + Line endings are properly translated when dropping text. + [d21994584e87] <2.6-maint> + +2012-06-04 Phil Thompson + + * Makefile, qt/qsciprinter.h: + The Python bindings now build against Qt5. + [ff2a74e5aec2] <2.6-maint> + +2012-04-04 Phil Thompson + + * Makefile, NEWS, build.py, example-Qt4/application.pro, example- + Qt4/application.qrc, example-Qt4/images/copy.png, example- + Qt4/images/cut.png, example-Qt4/images/new.png, example- + Qt4/images/open.png, example-Qt4/images/paste.png, example- + Qt4/images/save.png, example-Qt4/main.cpp, example- + Qt4/mainwindow.cpp, example-Qt4/mainwindow.h, example- + Qt4Qt5/application.pro, example-Qt4Qt5/application.qrc, example- + Qt4Qt5/images/copy.png, example-Qt4Qt5/images/cut.png, example- + Qt4Qt5/images/new.png, example-Qt4Qt5/images/open.png, example- + Qt4Qt5/images/paste.png, example-Qt4Qt5/images/save.png, example- + Qt4Qt5/main.cpp, example-Qt4Qt5/mainwindow.cpp, example- + Qt4Qt5/mainwindow.h, lib/LICENSE.GPL2, lib/LICENSE.GPL3, + lib/LICENSE.commercial.short, lib/LICENSE.gpl.short, lib/README, + lib/README.doc, lib/qscintilla.dxy, qt/PlatQt.cpp, + qt/qscintilla.pro: + Ported to Qt v5. + [ff3710487c3e] <2.6-maint> + +2012-04-02 Phil Thompson + + * qt/qsciapis.cpp: + Worked around an obscure Qt (or compiler) bug when handling call + tips. + [e6c7edcfdfb9] <2.6-maint> + +2012-03-04 Phil Thompson + + * Python/sip/qscilexer.sip, Python/sip/qscilexerbash.sip, + Python/sip/qscilexerbatch.sip, Python/sip/qscilexercpp.sip, + Python/sip/qscilexercss.sip, Python/sip/qscilexerd.sip, + Python/sip/qscilexerdiff.sip, Python/sip/qscilexerhtml.sip, + Python/sip/qscilexermakefile.sip, Python/sip/qscilexerperl.sip, + Python/sip/qscilexerpov.sip, Python/sip/qscilexerproperties.sip, + Python/sip/qscilexertex.sip, Python/sip/qscilexerverilog.sip, + qt/qscilexer.h, qt/qscilexerbash.h, qt/qscilexerbatch.h, + qt/qscilexercpp.h, qt/qscilexercss.h, qt/qscilexerd.h, + qt/qscilexerdiff.h, qt/qscilexerhtml.h, qt/qscilexermakefile.h, + qt/qscilexerperl.h, qt/qscilexerpov.h, qt/qscilexerproperties.h, + qt/qscilexertex.h, qt/qscilexerverilog.h: + QSciLexer::wordCharacters() is now part of the public API. + [933ef6a11ee6] <2.6-maint> + +2012-02-23 Phil Thompson + + * qt/qscilexercpp.h: + Updated the documentation for QsciLexerCpp::keywords() so that it + describes which sets are supported. + [4e0cb0250dad] <2.6-maint> + +2012-02-21 Phil Thompson + + * qt/qscintilla.pro, src/Document.cxx: + Some Scintilla fixes for the SCI_NAMESPACE support. + [611ffd016585] <2.6-maint> + +2012-02-10 Phil Thompson + + * .hgtags: + Added tag 2.6.1 for changeset 47d8fdf44946 + [aa843f471972] <2.6-maint> + + * NEWS: + Updated the NEWS file. Released as v2.6.1. + [47d8fdf44946] [2.6.1] <2.6-maint> + +2012-01-26 Phil Thompson + + * qt/qsciscintilla.cpp: + Don't implement shortcut overrides for the standard context menu + shortcuts. Instead leave it to the check against bound keys. + [e8ccaf398640] <2.6-maint> + +2012-01-19 Phil Thompson + + * qt/qsciapis.cpp: + APIs now allow for whitespace between the end of a word and the + opening parenthesis of the argument list. + [b09b25f38411] <2.6-maint> + +2012-01-11 Phil Thompson + + * qt/SciClasses.cpp: + Fixed the handling of auto-completion lists on Windows. + [131138b43c85] <2.6-maint> + +2011-12-07 Phil Thompson + + * Python/sip/qscicommandset.sip, qt/qscicommandset.cpp, + qt/qscicommandset.h, qt/qscintilla.pro: + Improved the Qt v3 port so that the signatures don't need to be + changed. Bumped the .so version number. + [3171bb05b1d8] <2.6-maint> + +2011-12-06 Phil Thompson + + * Makefile, NEWS, Python/sip/qscicommandset.sip, include/Platform.h, + qt/ListBoxQt.cpp, qt/qscicommandset.cpp, qt/qscicommandset.h, + qt/qsciscintilla.cpp, qt/qsciscintilla.h, src/XPM.cxx: + Fixed building against Qt v3. + [74df75a62f5c] <2.6-maint> + +2011-11-21 Phil Thompson + + * NEWS, include/Platform.h, qt/ListBoxQt.cpp, qt/ListBoxQt.h, + qt/PlatQt.cpp, qt/SciClasses.cpp, qt/SciClasses.h, + qt/SciNamespace.h, qt/ScintillaQt.cpp, qt/ScintillaQt.h, + qt/qscintilla.pro, qt/qsciscintilla.h, qt/qsciscintillabase.cpp, + qt/qsciscintillabase.h: + Added support for SCI_NAMESPACE to allow all internal Scintilla + classes to be placed in the Scintilla namespace. + [ab7857131e35] <2.6-maint> + +2011-11-11 Phil Thompson + + * .hgtags: + Added tag 2.6 for changeset 8b119c4f69d0 + [1a5dd31e773e] + + * NEWS, lib/README.doc: + Updated the NEWS file. Updated the introductory documentation. + Released as v2.6. + [8b119c4f69d0] [2.6] + +2011-11-07 Phil Thompson + + * NEWS, Python/sip/qscicommandset.sip, Python/sip/qsciscintilla.sip, + qt/qscicommandset.cpp, qt/qscicommandset.h, qt/qsciscintilla.cpp, + qt/qsciscintilla.h: + Added QsciCommandSet::boundTo(). Ordinary keys and those bound to + commands now override any shortcuts. + [ba98bc555aca] + +2011-10-28 Phil Thompson + + * qt/qscintilla_de.qm, qt/qscintilla_de.ts: + More updated German translations from Detlev. + [9ff20df1997b] + +2011-10-27 Phil Thompson + + * qt/qscintilla_cs.qm, qt/qscintilla_de.qm, qt/qscintilla_de.ts, + qt/qscintilla_es.qm, qt/qscintilla_es.ts, qt/qscintilla_fr.qm, + qt/qscintilla_pt_br.qm, qt/qscintilla_ru.qm: + Updated Spanish translations from Jaime. Updated German translations + from Detlev. + [4903315d96b1] + +2011-10-23 Phil Thompson + + * Python/sip/qscicommand.sip: + Fixed SelectAll in the Python bindings. + [b6f0a46e0eac] + + * qt/ScintillaQt.cpp, qt/qsciscintillabase.cpp: + Fixed drag and drop (specifically so that copying works on OS/X + again). + [6ab90cb63b2b] + +2011-10-22 Phil Thompson + + * qt/PlatQt.cpp: + Fixed a display bug with kerned fonts. + [a746e319d9cd] + + * qt/qsciscintilla.cpp: + The foreground and background colours of selected text are now taken + from the application palette. + [7f6c34ad8d27] + + * NEWS: + Updated the NEWS file. + [1717c6d59b12] + + * Python/sip/qsciscintilla.sip, qt/qscicommand.h, + qt/qscicommandset.cpp, qt/qscintilla_cs.ts, qt/qscintilla_de.ts, + qt/qscintilla_es.ts, qt/qscintilla_fr.ts, qt/qscintilla_pt_br.ts, + qt/qscintilla_ru.ts, qt/qsciscintilla.cpp, qt/qsciscintilla.h: + Renamed QsciCommand::SelectDocument to SelectAll. Added + QsciScintilla::createStandardContextMenu(). + [c42fa7e83b07] + +2011-10-21 Phil Thompson + + * qt/qscintilla_cs.ts, qt/qscintilla_de.ts, qt/qscintilla_es.ts, + qt/qscintilla_fr.ts, qt/qscintilla_pt_br.ts, qt/qscintilla_ru.ts: + Updated the .ts files. + [92d0b6ddf371] + + * qt/qscicommandset.cpp: + Completed the OS/X specific key bindings. + [964fa889b807] + +2011-10-20 Phil Thompson + + * qt/qscicommandset.cpp, qt/qsciscintillabase.cpp: + Fixed the support for SCMOD_META. Started to add the correct OS/X + key bindings as the default. + [0073fa86a5a0] + + * Python/sip/qscicommand.sip, qt/qscicommand.h, qt/qscicommandset.cpp: + All available commands are now defined in the standard command set. + [7c7b81b55f0e] + + * Python/sip/qscicommand.sip, qt/qscicommand.h: + Completed the QsciCommand::Command documentation. Added the members + to QsciCommand.Command in the Python bindings. + [0ca6ff576c21] + +2011-10-18 Phil Thompson + + * NEWS, Python/sip/qscicommandset.sip, qt/qscicommand.h, + qt/qscicommandset.cpp, qt/qscicommandset.h: + Added QsciCommandSet::find(). + [e75565018b90] + + * NEWS, Python/sip/qscicommand.sip, Python/sip/qsciscintilla.sip, + Python/sip/qsciscintillabase.sip, qt/qscicommand.cpp, + qt/qscicommand.h, qt/qscicommandset.cpp, qt/qsciscintilla.cpp, + qt/qsciscintilla.h, qt/qsciscintillabase.h: + Added Command, command() and execute() to QsciCommand. Backed out + the high level support for moving the selection up and down. + [4852ee57353e] + +2011-10-17 Phil Thompson + + * qt/qscilexersql.cpp: + Fix for the changed fold at else property in the SQL lexer. + [e65a458cd9d8] + + * NEWS, Python/sip/qscilexerpython.sip, qt/qscilexerpython.cpp, + qt/qscilexerpython.h: + Added highlightSubidentifiers() and setHighlightSubidentifiers() to + the Python lexer. + [b397695bc2ab] + + * NEWS, Python/sip/qscilexercpp.sip, qt/qscilexercpp.cpp, + qt/qscilexercpp.h: + Added support for triple quoted strings to the C++ lexer. + [687d04948c5d] + + * NEWS, Python/sip/qsciscintilla.sip, + Python/sip/qsciscintillabase.sip, qt/qsciscintilla.cpp, + qt/qsciscintilla.h, qt/qsciscintillabase.h: + Added low level support for identifiers, scrolling to the start and + end. Added low and hight level support for moving the selection up + and down. + [3ac1ccfad039] + + * NEWS, Python/sip/qsciscintilla.sip, + Python/sip/qsciscintillabase.sip, qt/qsciscintilla.cpp, + qt/qsciscintilla.h, qt/qsciscintillabase.h: + Added low and high level support for margin options. + [f3cd3244cecd] + +2011-10-14 Phil Thompson + + * NEWS, Python/sip/qsciscintilla.sip, + Python/sip/qsciscintillabase.sip, qt/qsciscintilla.cpp, + qt/qsciscintilla.h, qt/qsciscintillabase.h: + Updated the brace matching support to handle indicators. + [7e4a4d3529a8] + + * NEWS, Python/sip/qsciscintillabase.sip, qt/qsciscintillabase.h: + Added SCI_SETEMPTYSELECTION. + [879b97c676a4] + + * NEWS, Python/sip/qsciscintilla.sip, + Python/sip/qsciscintillabase.sip, qt/qsciscintilla.cpp, + qt/qsciscintilla.h, qt/qsciscintillabase.h: + Updated the support for indicators. + [b3643569a827] + + * NEWS, Python/sip/qsciscintillabase.sip, qt/qsciscintillabase.h: + Added SCI_MARKERSETBACKSELECTED and SCI_MARKERENABLEHIGHLIGHT. + [7127ee82d128] + + * NEWS, Python/sip/qsciscintilla.sip, + Python/sip/qsciscintillabase.sip, qt/qsciscintilla.cpp, + qt/qsciscintilla.h, qt/qsciscintillabase.cpp, + qt/qsciscintillabase.h: + Added low and high-level support for RGBA images (ie. QImage). + [7707052913ef] + +2011-10-13 Phil Thompson + + * NEWS, Python/sip/qscilexerlua.sip, qt/qscilexerlua.cpp, + qt/qscilexerlua.h: + Updated the Lua lexer. + [710e50d5692c] + + * NEWS, Python/sip/qscilexerperl.sip, qt/qscilexerperl.cpp, + qt/qscilexerperl.h: + Updated the Perl lexer. + [6d16e2e9354b] + +2011-10-11 Phil Thompson + + * Python/configure.py, cocoa/ScintillaCallTip.h, + cocoa/ScintillaCallTip.mm, cocoa/ScintillaListBox.h, + cocoa/ScintillaListBox.mm, cocoa/res/info_bar_bg.png, + cocoa/res/mac_cursor_busy.png, cocoa/res/mac_cursor_flipped.png, + macosx/SciTest/English.lproj/InfoPlist.strings, + macosx/SciTest/English.lproj/main.nib/classes.nib, + macosx/SciTest/English.lproj/main.nib/info.nib, + macosx/SciTest/English.lproj/main.nib/objects.xib, + macosx/SciTest/English.lproj/main.xib, qt/ListBoxQt.cpp, + qt/ListBoxQt.h, qt/PlatQt.cpp, qt/qscintilla.pro, src/XPM.cxx, + src/XPM.h: + Some fixes left over from the merge of v2.29. Added support for RGBA + images so that the merged version compiles. + [16c6831c337f] + + * cocoa/InfoBar.mm, cocoa/PlatCocoa.h, cocoa/PlatCocoa.mm, + cocoa/QuartzTextLayout.h, cocoa/QuartzTextStyle.h, + cocoa/QuartzTextStyleAttribute.h, cocoa/ScintillaCocoa.h, + cocoa/ScintillaCocoa.mm, cocoa/ScintillaFramework/ScintillaFramework + .xcodeproj/project.pbxproj, cocoa/ScintillaTest/AppController.mm, + cocoa/ScintillaTest/ScintillaTest.xcodeproj/project.pbxproj, + cocoa/ScintillaView.h, cocoa/ScintillaView.mm, doc/SciCoding.html, + doc/ScintillaDoc.html, doc/ScintillaDownload.html, + doc/ScintillaHistory.html, doc/ScintillaRelated.html, + doc/ScintillaToDo.html, doc/index.html, gtk/PlatGTK.cxx, + gtk/ScintillaGTK.cxx, gtk/makefile, include/Platform.h, + include/SciLexer.h, include/Scintilla.h, include/Scintilla.iface, + lexers/LexAU3.cxx, lexers/LexCOBOL.cxx, lexers/LexCPP.cxx, + lexers/LexConf.cxx, lexers/LexHTML.cxx, lexers/LexInno.cxx, + lexers/LexLua.cxx, lexers/LexMagik.cxx, lexers/LexMarkdown.cxx, + lexers/LexMatlab.cxx, lexers/LexModula.cxx, lexers/LexOthers.cxx, + lexers/LexPerl.cxx, lexers/LexPowerPro.cxx, lexers/LexPython.cxx, + lexers/LexSQL.cxx, lexers/LexTeX.cxx, lexers/LexVHDL.cxx, + lexers/LexVerilog.cxx, lexlib/Accessor.cxx, lexlib/CharacterSet.h, + lexlib/PropSetSimple.cxx, lexlib/SparseState.h, + lexlib/StyleContext.h, lexlib/WordList.cxx, macosx/PlatMacOSX.cxx, + macosx/PlatMacOSX.h, macosx/SciTest/SciTest.xcode/project.pbxproj, + macosx/ScintillaMacOSX.h, macosx/makefile, src/CallTip.cxx, + src/ContractionState.cxx, src/ContractionState.h, + src/Decoration.cxx, src/Document.cxx, src/Document.h, + src/Editor.cxx, src/Editor.h, src/Indicator.cxx, src/Indicator.h, + src/KeyMap.cxx, src/KeyMap.h, src/LexGen.py, src/LineMarker.cxx, + src/LineMarker.h, src/PerLine.cxx, src/PositionCache.cxx, + src/PositionCache.h, src/RESearch.cxx, src/RunStyles.cxx, + src/RunStyles.h, src/ScintillaBase.cxx, src/Style.cxx, src/Style.h, + src/ViewStyle.cxx, src/ViewStyle.h, src/XPM.cxx, src/XPM.h, + test/XiteMenu.py, test/XiteWin.py, test/examples/x.html, + test/examples/x.html.styled, test/performanceTests.py, + test/simpleTests.py, test/unit/testContractionState.cxx, + test/unit/testRunStyles.cxx, version.txt, win32/PlatWin.cxx, + win32/ScintRes.rc, win32/ScintillaWin.cxx, win32/scintilla.mak: + Merged Scintilla v2.29. + [750c2c3cef72] + + * Merged the v2.5 maintenance branch back into the trunk. + [eab39863675f] + +2011-06-24 Phil Thompson + + * qt/qscilexer.cpp, qt/qscilexerbash.cpp, qt/qscilexerbatch.cpp, + qt/qscilexercmake.cpp, qt/qscilexercpp.cpp, qt/qscilexercsharp.cpp, + qt/qscilexercss.cpp, qt/qscilexerd.cpp, qt/qscilexerfortran77.cpp, + qt/qscilexerhtml.cpp, qt/qscilexerjavascript.cpp, + qt/qscilexerlua.cpp, qt/qscilexermakefile.cpp, + qt/qscilexermatlab.cpp, qt/qscilexerpascal.cpp, + qt/qscilexerperl.cpp, qt/qscilexerpostscript.cpp, + qt/qscilexerpov.cpp, qt/qscilexerproperties.cpp, + qt/qscilexerpython.cpp, qt/qscilexerruby.cpp, qt/qscilexerspice.cpp, + qt/qscilexersql.cpp, qt/qscilexertcl.cpp, qt/qscilexerverilog.cpp, + qt/qscilexervhdl.cpp, qt/qscilexerxml.cpp, qt/qscilexeryaml.cpp: + Changed the default fonts for MacOS so that they are larger and + similar to the Windows defaults. + [9c37c180ba8d] <2.5-maint> + + * build.py: + Fixed the build system for MacOS as the development platform. + [3352479980c5] <2.5-maint> + +2011-05-13 Phil Thompson + + * lib/README.doc: + Updated the licensing information in the main documentation. + [d31c561e0b7c] <2.5-maint> + + * lib/LICENSE.GPL2, lib/LICENSE.GPL3, lib/LICENSE.gpl.short: + Removed some out of date links from the license information. Updated + the dates of some copyright notices. + [a84451464396] <2.5-maint> + +2011-05-10 Phil Thompson + + * Makefile, Python/sip/qsciscintilla.sip, qt/qsciscintilla.cpp, + qt/qsciscintilla.h: + Added the optional posix flag to QsciScintilla::findFirst(). + [ad6064227d06] <2.5-maint> + +2011-04-29 Phil Thompson + + * Python/configure.py, qt/qscintilla.pro, qt/qsciscintilla.cpp, + qt/qscistyle.cpp, qt/qscistyle.h, qt/qscistyledtext.cpp, + qt/qscistyledtext.h: + Fixed problems with QsciStyle and QsciStyledText when used with more + than one QsciScintilla instance. + [8bac389fb7ae] <2.5-maint> + +2011-04-22 Phil Thompson + + * qt/qsciglobal.h: + Changed the handling of QT_BEGIN_NAMESPACE etc. as it isn't defined + in early versions of Qt v4. + [595c8c6cdfd2] <2.5-maint> + +2011-04-17 Phil Thompson + + * .hgtags: + Added tag 2.5.1 for changeset c8648c2c0c7f + [298153b3d40e] <2.5-maint> + + * NEWS: + Released as v2.5.1. + [c8648c2c0c7f] [2.5.1] <2.5-maint> + +2011-04-16 Phil Thompson + + * qt/qscintilla_de.ts, qt/qscintilla_es.ts: + Updated translations from Detlev and Jaime. + [9436bea546c9] <2.5-maint> + +2011-04-14 Phil Thompson + + * qt/qscintilla_cs.qm, qt/qscintilla_de.qm, qt/qscintilla_es.qm, + qt/qscintilla_fr.qm, qt/qscintilla_pt_br.qm, qt/qscintilla_ru.qm: + Updated the compiled translation files. + [c5d39aca8f51] <2.5-maint> + +2011-04-13 Phil Thompson + + * Python/sip/qscilexermatlab.sip, Python/sip/qscilexeroctave.sip, + Python/sip/qscimodcommon.sip: + Added Python bindings for QsciLexerMatlab abd QsciLexerOctave. + [22d0ed0fab2a] <2.5-maint> + + * NEWS, qt/qscilexermatlab.cpp, qt/qscilexermatlab.h, + qt/qscilexeroctave.cpp, qt/qscilexeroctave.h, qt/qscintilla.pro, + qt/qscintilla_cs.ts, qt/qscintilla_de.ts, qt/qscintilla_es.ts, + qt/qscintilla_fr.ts, qt/qscintilla_pt_br.ts, qt/qscintilla_ru.ts: + Added QsciLexerMatlab and QsciLexerOctave. + [40d3053334de] <2.5-maint> + +2011-04-09 Phil Thompson + + * Merged the font strategy fix from the trunk. + [d270e1b107d2] <2.5-maint> + + * NEWS: + Updated the NEWS file. + [8f32ff4cdd1f] <2.5-maint> + +2011-04-07 Phil Thompson + + * qt/PlatQt.cpp, qt/qscintilla.pro: + Fixed the handling of the font quality setting so that the default + behavior (particularly on Windows) is the same as earlier versions. + [87ae98d2674b] + +2011-03-29 Phil Thompson + + * .hgtags: + Added tag 2.5 for changeset 9d94a76f783e + [e4807fd91f6c] + + * NEWS: + Released as v2.5. + [9d94a76f783e] [2.5] + +2011-03-28 Phil Thompson + + * NEWS, Python/configure.py: + Added support for the protected-is-public hack to configure.py. + [beee52b8e10a] + +2011-03-27 Phil Thompson + + * qt/PlatQt.cpp: + Fixed an OS/X build problem. + [ac7f1d3c9abe] + +2011-03-26 Phil Thompson + + * NEWS, Python/sip/qsciscintilla.sip, qt/qsciscintilla.cpp, + qt/qsciscintilla.h: + Added replaceSelectedText() to QsciScintilla. + [3c00a19d6571] + +2011-03-25 Phil Thompson + + * Python/configure.py, Python/sip/qsciapis.sip, + Python/sip/qscilexer.sip, Python/sip/qscilexercustom.sip, + Python/sip/qscimod4.sip, Python/sip/qsciprinter.sip, + Python/sip/qsciscintilla.sip, Python/sip/qscistyle.sip, + qt/qsciapis.cpp, qt/qsciapis.h, qt/qscilexercustom.cpp, + qt/qscilexercustom.h, qt/qsciscintilla.cpp, qt/qsciscintilla.h, + qt/qscistyle.cpp, qt/qscistyle.h: + Went through the API making sure all optional arguments had + consistent and meaningful names. Enabled keyword support in the + Python bindings. + [d60fa45e40b7] + +2011-03-23 Phil Thompson + + * qt/qscintilla_de.qm, qt/qscintilla_de.ts, qt/qscintilla_es.qm, + qt/qscintilla_es.ts: + Updated German translations from Detlev. Updated Spanish + translations from Jaime. + [f64c97749375] + +2011-03-21 Phil Thompson + + * lexers/LexModula.cxx, lexlib/SparseState.h, qt/qscintilla_cs.ts, + qt/qscintilla_de.ts, qt/qscintilla_es.ts, qt/qscintilla_fr.ts, + qt/qscintilla_pt_br.ts, qt/qscintilla_ru.ts, + test/unit/testSparseState.cxx, vcbuild/SciLexer.dsp: + Updated the translation files. Updated the repository for the new + and removed Scintilla v2.25 files. + [6eb77ba7c57c] + + * NEWS, Python/sip/qscilexercpp.sip, Python/sip/qsciscintillabase.sip, + qt/qscilexercpp.cpp, qt/qscilexercpp.h, qt/qscintilla.pro, + qt/qsciscintillabase.h: + Added support for raw string to the C++ lexer. + [f83112ced877] + + * NEWS, cocoa/Framework.mk, cocoa/PlatCocoa.mm, + cocoa/ScintillaCocoa.mm, cocoa/ScintillaFramework/ScintillaFramework + .xcodeproj/project.pbxproj, cocoa/ScintillaTest/AppController.mm, + cocoa/ScintillaView.h, cocoa/ScintillaView.mm, + doc/ScintillaDownload.html, doc/ScintillaHistory.html, + doc/ScintillaRelated.html, doc/index.html, gtk/PlatGTK.cxx, + gtk/makefile, include/Platform.h, include/SciLexer.h, + include/Scintilla.iface, lexers/LexAsm.cxx, lexers/LexBasic.cxx, + lexers/LexCPP.cxx, lexers/LexD.cxx, lexers/LexFortran.cxx, + lexers/LexOthers.cxx, lexlib/CharacterSet.h, lib/README.doc, + macosx/SciTest/main.cpp, src/AutoComplete.cxx, src/Catalogue.cxx, + src/Document.cxx, src/Editor.cxx, src/LexGen.py, test/unit/makefile, + version.txt, win32/PlatWin.cxx, win32/ScintRes.rc, + win32/scintilla.mak, win32/scintilla_vc6.mak: + Merged Scintilla v2.25. + [e01dec109182] + +2011-03-14 Phil Thompson + + * qt/qscintilla_es.qm, qt/qscintilla_es.ts: + Updated Spanish translations from Jaime Seuma. + [b83a3ca4f3e6] + +2011-03-12 Phil Thompson + + * qt/qscintilla_de.qm, qt/qscintilla_de.ts: + Updated German translations from Detlev. + [e5729134a47b] + +2011-03-11 Phil Thompson + + * qt/qscintilla_cs.ts, qt/qscintilla_de.ts, qt/qscintilla_es.ts, + qt/qscintilla_fr.ts, qt/qscintilla_pt_br.ts, qt/qscintilla_ru.ts: + Updated the translation source files. + [51e8ee8b1ba9] + + * NEWS, Python/sip/qscilexercpp.sip, qt/qscilexercpp.cpp, + qt/qscilexercpp.h: + Added support for the inactive styles of QsciLexerCPP. + [59b566d322af] + + * qt/qscilexercpp.cpp, qt/qscilexercpp.h: + Inlined all existing property getters in QsciLexerCPP. + [1117e5105e5e] + +2011-03-10 Phil Thompson + + * qt/qsciscintilla.cpp: + Fixed QsciScintilla::setContractedFolds() so that it actually + updates the display to show the new state. + [5079f59a0103] + + * NEWS, Python/sip/qscilexerhtml.sip, qt/qscilexerhtml.cpp, + qt/qscilexerhtml.h: + Updated QsciLexerHTML. + [0707f4bc7855] + + * NEWS, Python/sip/qscilexerproperties.sip, + qt/qscilexerproperties.cpp, qt/qscilexerproperties.h: + Updated QsciLexerProperties. + [1dfe5e2d4913] + + * NEWS, Python/sip/qscilexerpython.sip, Python/sip/qscilexerruby.sip, + Python/sip/qscilexersql.sip, Python/sip/qscilexertcl.sip, + Python/sip/qscilexertex.sip, qt/qscilexerpython.cpp, + qt/qscilexerpython.h, qt/qscilexerruby.h, qt/qscilexersql.h, + qt/qscilexertcl.h, qt/qscilexertex.cpp, qt/qscilexertex.h: + Updated QsciLexerPython. + [bc96868a1a6f] + + * NEWS, Python/sip/qscilexerruby.sip, Python/sip/qscilexersql.sip, + Python/sip/qscilexertcl.sip, Python/sip/qscilexertex.sip, + qt/qscilexerruby.cpp, qt/qscilexerruby.h, qt/qscilexersql.h, + qt/qscilexertcl.h, qt/qscilexertex.h: + The new lexer property setters are no longer virtual slots. + [c3e88383e8d3] + + * qt/qscilexersql.cpp, qt/qscilexersql.h: + Restored the default behaviour of setFoldCompact() for QsciLexerSQL. + [c74aef0f7eb4] + + * NEWS, Python/sip/qscilexertcl.sip, qt/qscilexersql.h, + qt/qscilexertcl.cpp, qt/qscilexertcl.h: + Updated QsciLexerTCL. + [43a150bb40d5] + + * NEWS, Python/sip/qscilexertex.sip, qt/qscilexertex.cpp, + qt/qscilexertex.h: + Updated QsciLexerTeX. + [1457935cee44] + + * qt/qscintilla_cs.qm, qt/qscintilla_de.qm, qt/qscintilla_de.ts, + qt/qscintilla_es.qm, qt/qscintilla_fr.qm, qt/qscintilla_pt_br.qm, + qt/qscintilla_ru.qm: + Updated German translations from Detlev. + [ad4a4bd4855b] + +2011-03-08 Phil Thompson + + * qt/qscintilla_cs.ts, qt/qscintilla_de.ts, qt/qscintilla_es.ts, + qt/qscintilla_fr.ts, qt/qscintilla_pt_br.ts, qt/qscintilla_ru.ts: + Updated the .ts translation files. + [8d70033d07e2] + + * NEWS, Python/sip/qscilexersql.sip, qt/qscilexersql.cpp, + qt/qscilexersql.h: + Updated QsciLexerSQL. + [8bc79d109c88] + + * NEWS, Python/sip/qscilexercss.sip, qt/qscilexercss.cpp, + qt/qscilexercss.h: + Updated QsciLexerCSS. + [f3adcb31b1a9] + + * NEWS, Python/sip/qscilexerd.sip, qt/qscilexerd.cpp, qt/qscilexerd.h: + Updated QsciLexerD. + [82d8a6561943] + + * Python/sip/qscilexerlua.sip, qt/qscilexerlua.cpp, qt/qscilexerlua.h: + Updated QsciLexerLua. + [103f5881c642] + + * NEWS, Python/sip/qsciscintillabase.sip, qt/ScintillaQt.cpp, + qt/qsciscintillabase.h: + Added support for the QsciScintillaBase::SCN_HOTSPOTRELEASECLICK() + signal. + [1edd56e105cd] + + * Python/sip/qsciscintillabase.sip, qt/qsciscintillabase.h: + Added low-level support for SCLEX_MARKDOWN, SCLEX_TXT2TAGS and + SCLEX_A68K. + [de92a613cea7] + + * Python/sip/qsciscintillabase.sip, qt/qscicommand.cpp, + qt/qsciscintilla.cpp, qt/qsciscintillabase.h: + Added support for SCMOD_SUPER as the Qt Meta key modifier. + [24e745cddeea] + + * NEWS, Python/sip/qsciscintillabase.sip, qt/ScintillaQt.cpp, + qt/qsciscintilla.cpp, qt/qsciscintilla.h, qt/qsciscintillabase.h: + Updated the QsciScintillaBase::SCN_UPDATEUI() signal. Added low- + level support for SC_MOD_LEXERSTATE. + [0a341fcb0545] + + * Python/sip/qsciscintillabase.sip, qt/qsciscintillabase.h: + Added low-level support for the updated property functions. + [f33d9c271992] + + * Python/sip/qsciscintillabase.sip, qt/qsciscintillabase.h: + Added low-level support for SCI_GETLEXERLANGUAGE and + SCI_PRIVATELEXERCALL. + [ac69f8c2ef3b] + + * Python/sip/qsciscintillabase.sip, qt/qsciscintillabase.h: + Added low-level support for the new stick caret options. + [693ac6c68e6f] + + * Python/sip/qsciscintillabase.sip, qt/qsciscintillabase.h: + Added low-level support for SCI_AUTOCGETCURRENTTEXT. + [2634827cdb4e] + + * Python/sip/qsciscintillabase.sip, qt/qsciscintillabase.h: + Added low-level support for SC_SEL_THIN. + [4225a944dc14] + + * qt/qsciscintilla.cpp: + Folding now works again. + [3972053c646e] + +2011-03-07 Phil Thompson + + * Python/sip/qsciscintillabase.sip, qt/qsciscintillabase.h: + Added low-level support for SCI_VERTICALCENTRECARET. + [92d5ecb154d1] + + * NEWS, Python/sip/qsciscintilla.sip, + Python/sip/qsciscintillabase.sip, qt/qsciscintilla.cpp, + qt/qsciscintilla.h, qt/qsciscintillabase.h: + Added setContractedFolds() and contractedFolds() to QsciScintilla. + [46eb254c6200] + + * Python/sip/qsciscintillabase.sip, qt/qsciscintillabase.h: + Added low-level support for SCI_CHANGELEXERSTATE. + [edd899d77aa7] + + * Python/sip/qsciscintillabase.sip, qt/qsciscintilla.h, + qt/qsciscintillabase.h: + Added low-level support for SCI_CHARPOSITIONFROMPOINT and + SCI_CHARPOSITIONFROMPOINTCLOSE. + [5a000cf4bfba] + + * Python/sip/qsciscintillabase.sip, qt/qsciscintillabase.h: + Added low-level support for multiple selections. + [dedda8cbf413] + + * Python/sip/qsciscintillabase.sip, qt/qsciscintillabase.h: + Added SCI_GETTAG. + [775d0058f00e] + + * NEWS, Python/sip/qsciscintilla.sip, + Python/sip/qsciscintillabase.sip, qt/qsciscintilla.cpp, + qt/qsciscintilla.h, qt/qsciscintillabase.h: + Added QsciScintilla::setFirstVisibleLine(). + [8b662ffe3fb6] + + * Python/sip/qsciscintillabase.sip, qt/PlatQt.cpp, + qt/qsciscintillabase.h: + Added low-level support for setting the font quality. + [933e8b01eda6] + + * NEWS, Python/sip/qsciscintilla.sip, + Python/sip/qsciscintillabase.sip, qt/qsciscintilla.cpp, + qt/qsciscintilla.h, qt/qsciscintillabase.h: + Added high-level support for line wrap indentation modes. + [1faa3b2fa31e] + + * NEWS, Python/sip/qsciscintilla.sip, + Python/sip/qsciscintillabase.sip, qt/qsciscintilla.cpp, + qt/qsciscintilla.h, qt/qsciscintillabase.h: + Added high-level support for extra ascent and descent space. Added + high-level support for whitespace size, foreground and background. + [537c551a79ef] + + * Python/sip/qsciscintillabase.sip, qt/PlatQt.cpp, + qt/qsciscintillabase.h: + Updated the low level support for cursors. + [2ce685a89697] + + * NEWS, Python/sip/qsciscintilla.sip, + Python/sip/qsciscintillabase.sip, qt/qsciscintilla.h, + qt/qsciscintillabase.h: + Updated the support for markers and added FullRectangle, + LeftRectangle and Underline to the MarkerSymbol enum. + [4c626f8189bf] + +2011-03-06 Phil Thompson + + * NEWS, Python/sip/qsciscintillabase.sip, qt/ScintillaQt.cpp, + qt/ScintillaQt.h, qt/qsciscintillabase.cpp, qt/qsciscintillabase.h: + Rectangular selections are now fully supported. The signatures of + toMimeData() and fromMimeData() have changed. + [397948f42b2e] + + * NEWS: + Updated the NEWS file. + [bc75b98210f2] + + * .hgignore: + Added the .hgignore file. + [77312a36220e] + + * qt/qsciscintilla.cpp: + Removed the workaround for the broken annotations in Scintilla + v1.78. + [70ab4c4b7c66] + + * qt/ListBoxQt.cpp: + Fixed a regression when displaying an auto-completion list. + [c38d4b97a1ca] + +2011-03-04 Phil Thompson + + * qt/ListBoxQt.cpp, qt/PlatQt.cpp, qt/ScintillaQt.cpp, + qt/ScintillaQt.h, qt/qsciscintillabase.cpp: + Completed the merge of Scintilla v2.24. + [6890939e2da6] + + * build.py, qt/qscintilla.pro: + More build system changes. + [3e9deec76c02] + + * qt/qscintilla.pro, qt/qsciscintilla.cpp: + Updated the .pro file for the changed files and directory structure + in v2.24. + [274cb7017857] + + * License.txt, README, bin/empty.txt, cocoa/Framework.mk, + cocoa/InfoBar.h, cocoa/InfoBar.mm, cocoa/InfoBarCommunicator.h, + cocoa/PlatCocoa.h, cocoa/PlatCocoa.mm, cocoa/QuartzTextLayout.h, + cocoa/QuartzTextStyle.h, cocoa/QuartzTextStyleAttribute.h, + cocoa/SciTest.mk, cocoa/ScintillaCallTip.h, + cocoa/ScintillaCallTip.mm, cocoa/ScintillaCocoa.h, + cocoa/ScintillaCocoa.mm, cocoa/ScintillaFramework/Info.plist, cocoa/ + ScintillaFramework/ScintillaFramework.xcodeproj/project.pbxproj, + cocoa/ScintillaFramework/Scintilla_Prefix.pch, + cocoa/ScintillaListBox.h, cocoa/ScintillaListBox.mm, + cocoa/ScintillaTest/AppController.h, + cocoa/ScintillaTest/AppController.mm, + cocoa/ScintillaTest/English.lproj/MainMenu.xib, + cocoa/ScintillaTest/Info.plist, cocoa/ScintillaTest/Scintilla- + Info.plist, + cocoa/ScintillaTest/ScintillaTest.xcodeproj/project.pbxproj, + cocoa/ScintillaTest/ScintillaTest_Prefix.pch, + cocoa/ScintillaTest/TestData.sql, cocoa/ScintillaTest/main.m, + cocoa/ScintillaView.h, cocoa/ScintillaView.mm, cocoa/common.mk, + delbin.bat, delcvs.bat, doc/Design.html, doc/Lexer.txt, + doc/SciBreak.jpg, doc/SciCoding.html, doc/SciRest.jpg, + doc/SciTEIco.png, doc/SciWord.jpg, doc/ScintillaDoc.html, + doc/ScintillaDownload.html, doc/ScintillaHistory.html, + doc/ScintillaRelated.html, doc/ScintillaToDo.html, + doc/ScintillaUsage.html, doc/Steps.html, doc/index.html, + gtk/Converter.h, gtk/PlatGTK.cxx, gtk/ScintillaGTK.cxx, + gtk/deps.mak, gtk/makefile, gtk/scintilla-marshal.c, gtk/scintilla- + marshal.h, gtk/scintilla-marshal.list, gtk/scintilla.mak, + include/Accessor.h, include/Face.py, include/HFacer.py, + include/ILexer.h, include/KeyWords.h, include/Platform.h, + include/PropSet.h, include/SString.h, include/SciLexer.h, + include/Scintilla.h, include/Scintilla.iface, + include/ScintillaWidget.h, include/WindowAccessor.h, + lexers/LexA68k.cxx, lexers/LexAPDL.cxx, lexers/LexASY.cxx, + lexers/LexAU3.cxx, lexers/LexAVE.cxx, lexers/LexAbaqus.cxx, + lexers/LexAda.cxx, lexers/LexAsm.cxx, lexers/LexAsn1.cxx, + lexers/LexBaan.cxx, lexers/LexBash.cxx, lexers/LexBasic.cxx, + lexers/LexBullant.cxx, lexers/LexCLW.cxx, lexers/LexCOBOL.cxx, + lexers/LexCPP.cxx, lexers/LexCSS.cxx, lexers/LexCaml.cxx, + lexers/LexCmake.cxx, lexers/LexConf.cxx, lexers/LexCrontab.cxx, + lexers/LexCsound.cxx, lexers/LexD.cxx, lexers/LexEScript.cxx, + lexers/LexEiffel.cxx, lexers/LexErlang.cxx, lexers/LexFlagship.cxx, + lexers/LexForth.cxx, lexers/LexFortran.cxx, lexers/LexGAP.cxx, + lexers/LexGui4Cli.cxx, lexers/LexHTML.cxx, lexers/LexHaskell.cxx, + lexers/LexInno.cxx, lexers/LexKix.cxx, lexers/LexLisp.cxx, + lexers/LexLout.cxx, lexers/LexLua.cxx, lexers/LexMMIXAL.cxx, + lexers/LexMPT.cxx, lexers/LexMSSQL.cxx, lexers/LexMagik.cxx, + lexers/LexMarkdown.cxx, lexers/LexMatlab.cxx, + lexers/LexMetapost.cxx, lexers/LexMySQL.cxx, lexers/LexNimrod.cxx, + lexers/LexNsis.cxx, lexers/LexOpal.cxx, lexers/LexOthers.cxx, + lexers/LexPB.cxx, lexers/LexPLM.cxx, lexers/LexPOV.cxx, + lexers/LexPS.cxx, lexers/LexPascal.cxx, lexers/LexPerl.cxx, + lexers/LexPowerPro.cxx, lexers/LexPowerShell.cxx, + lexers/LexProgress.cxx, lexers/LexPython.cxx, lexers/LexR.cxx, + lexers/LexRebol.cxx, lexers/LexRuby.cxx, lexers/LexSML.cxx, + lexers/LexSQL.cxx, lexers/LexScriptol.cxx, lexers/LexSmalltalk.cxx, + lexers/LexSorcus.cxx, lexers/LexSpecman.cxx, lexers/LexSpice.cxx, + lexers/LexTACL.cxx, lexers/LexTADS3.cxx, lexers/LexTAL.cxx, + lexers/LexTCL.cxx, lexers/LexTeX.cxx, lexers/LexTxt2tags.cxx, + lexers/LexVB.cxx, lexers/LexVHDL.cxx, lexers/LexVerilog.cxx, + lexers/LexYAML.cxx, lexlib/Accessor.cxx, lexlib/Accessor.h, + lexlib/CharacterSet.cxx, lexlib/CharacterSet.h, + lexlib/LexAccessor.h, lexlib/LexerBase.cxx, lexlib/LexerBase.h, + lexlib/LexerModule.cxx, lexlib/LexerModule.h, + lexlib/LexerNoExceptions.cxx, lexlib/LexerNoExceptions.h, + lexlib/LexerSimple.cxx, lexlib/LexerSimple.h, lexlib/OptionSet.h, + lexlib/PropSetSimple.cxx, lexlib/PropSetSimple.h, + lexlib/StyleContext.cxx, lexlib/StyleContext.h, lexlib/WordList.cxx, + lexlib/WordList.h, lib/README.doc, macosx/PlatMacOSX.cxx, + macosx/SciTest/SciTest.xcode/project.pbxproj, + macosx/ScintillaMacOSX.cxx, macosx/ScintillaMacOSX.h, + macosx/deps.mak, macosx/makefile, src/AutoComplete.cxx, + src/AutoComplete.h, src/CallTip.cxx, src/CallTip.h, + src/Catalogue.cxx, src/Catalogue.h, src/CellBuffer.cxx, + src/CellBuffer.h, src/CharClassify.cxx, src/CharClassify.h, + src/CharacterSet.h, src/ContractionState.cxx, + src/ContractionState.h, src/Decoration.h, src/Document.cxx, + src/Document.h, src/DocumentAccessor.cxx, src/DocumentAccessor.h, + src/Editor.cxx, src/Editor.h, src/ExternalLexer.cxx, + src/ExternalLexer.h, src/FontQuality.h, src/Indicator.cxx, + src/Indicator.h, src/KeyMap.cxx, src/KeyMap.h, src/KeyWords.cxx, + src/LexAPDL.cxx, src/LexASY.cxx, src/LexAU3.cxx, src/LexAVE.cxx, + src/LexAbaqus.cxx, src/LexAda.cxx, src/LexAsm.cxx, src/LexAsn1.cxx, + src/LexBaan.cxx, src/LexBash.cxx, src/LexBasic.cxx, + src/LexBullant.cxx, src/LexCLW.cxx, src/LexCOBOL.cxx, + src/LexCPP.cxx, src/LexCSS.cxx, src/LexCaml.cxx, src/LexCmake.cxx, + src/LexConf.cxx, src/LexCrontab.cxx, src/LexCsound.cxx, + src/LexD.cxx, src/LexEScript.cxx, src/LexEiffel.cxx, + src/LexErlang.cxx, src/LexFlagship.cxx, src/LexForth.cxx, + src/LexFortran.cxx, src/LexGAP.cxx, src/LexGen.py, + src/LexGui4Cli.cxx, src/LexHTML.cxx, src/LexHaskell.cxx, + src/LexInno.cxx, src/LexKix.cxx, src/LexLisp.cxx, src/LexLout.cxx, + src/LexLua.cxx, src/LexMMIXAL.cxx, src/LexMPT.cxx, src/LexMSSQL.cxx, + src/LexMagik.cxx, src/LexMatlab.cxx, src/LexMetapost.cxx, + src/LexMySQL.cxx, src/LexNimrod.cxx, src/LexNsis.cxx, + src/LexOpal.cxx, src/LexOthers.cxx, src/LexPB.cxx, src/LexPLM.cxx, + src/LexPOV.cxx, src/LexPS.cxx, src/LexPascal.cxx, src/LexPerl.cxx, + src/LexPowerPro.cxx, src/LexPowerShell.cxx, src/LexProgress.cxx, + src/LexPython.cxx, src/LexR.cxx, src/LexRebol.cxx, src/LexRuby.cxx, + src/LexSML.cxx, src/LexSQL.cxx, src/LexScriptol.cxx, + src/LexSmalltalk.cxx, src/LexSorcus.cxx, src/LexSpecman.cxx, + src/LexSpice.cxx, src/LexTACL.cxx, src/LexTADS3.cxx, src/LexTAL.cxx, + src/LexTCL.cxx, src/LexTeX.cxx, src/LexVB.cxx, src/LexVHDL.cxx, + src/LexVerilog.cxx, src/LexYAML.cxx, src/LineMarker.cxx, + src/LineMarker.h, src/Partitioning.h, src/PerLine.cxx, + src/PerLine.h, src/PositionCache.cxx, src/PositionCache.h, + src/PropSet.cxx, src/RESearch.cxx, src/RESearch.h, + src/RunStyles.cxx, src/SVector.h, src/SciTE.properties, + src/ScintillaBase.cxx, src/ScintillaBase.h, src/Selection.cxx, + src/Selection.h, src/SplitVector.h, src/Style.cxx, src/Style.h, + src/StyleContext.cxx, src/StyleContext.h, src/UniConversion.cxx, + src/UniConversion.h, src/ViewStyle.cxx, src/ViewStyle.h, + src/WindowAccessor.cxx, src/XPM.cxx, src/XPM.h, + test/MessageNumbers.py, test/README, test/XiteMenu.py, + test/XiteWin.py, test/examples/x.asp, test/examples/x.asp.styled, + test/examples/x.cxx, test/examples/x.cxx.styled, test/examples/x.d, + test/examples/x.d.styled, test/examples/x.html, + test/examples/x.html.styled, test/examples/x.php, + test/examples/x.php.styled, test/examples/x.py, + test/examples/x.py.styled, test/examples/x.vb, + test/examples/x.vb.styled, test/lexTests.py, + test/performanceTests.py, test/simpleTests.py, test/unit/README, + test/unit/SciTE.properties, test/unit/makefile, + test/unit/testContractionState.cxx, test/unit/testPartitioning.cxx, + test/unit/testRunStyles.cxx, test/unit/testSplitVector.cxx, + test/unit/unitTest.cxx, test/xite.py, vcbuild/SciLexer.dsp, + version.txt, win32/Margin.cur, win32/PlatWin.cxx, + win32/PlatformRes.h, win32/SciTE.properties, win32/ScintRes.rc, + win32/Scintilla.def, win32/ScintillaWin.cxx, win32/deps.mak, + win32/makefile, win32/scintilla.mak, win32/scintilla_vc6.mak, + zipsrc.bat: + Merged Scintilla v2.24. + [59ca27407fd9] + +2011-03-03 Phil Thompson + + * Python/configure.py, qt/qscintilla.pro: + Updated the .so version number to 6.0.0. + [8ebe3f1fccd4] + + * Makefile: + Switched the build system to Qt v4.7.2. + [47f653394ef0] + + * .hgtags, lib/README.svn: + Merged the v2.4 maintenance branch. + [d00b7d9115d1] + + * qsci/api/python/Python-3.2.api: + Added an API file for Python v3.2. + [8cc94408b710] <2.4-maint> + +2011-02-23 Phil Thompson + + * qt/qsciscintillabase.cpp: + On X11 the control modifier is now used (instead of alt) to trigger + a rectangular selection. + [4bea3b8b8271] <2.4-maint> + +2011-02-22 Phil Thompson + + * qt/qscimacro.cpp: + Fixed a bug with Qt4 when loading a macro that meant that a macro + may not have a terminating '\0'. + [bbec6ef96cd2] <2.4-maint> + +2011-02-06 Phil Thompson + + * lib/LICENSE.commercial.short, lib/LICENSE.gpl.short: + Updated the copyright notices. + [f386964f3853] <2.4-maint> + + * Python/sip/qsciscintilla.sip, qt/qscintilla.pro, + qt/qsciscintilla.cpp, qt/qsciscintilla.h: + Deprecated setAutoCompletionShowSingle(), added + setAutoCompletionUseSingle(). Deprecated autoCompletionShowSingle(), + added autoCompletionUseSingle(). + [7dae1a33b74b] <2.4-maint> + + * qt/qsciscintilla.cpp, qt/qsciscintilla.h: + QsciScintilla::setAutoCompletionCaseSensitivity() is no longer + ignored if a lexer has been set. + [92d3c5f7b825] <2.4-maint> + + * qt/qscintilla.pro, qt/qsciscintillabase.cpp: + Translate Key_Backtab to Shift-Key_Tab before passing to Scintilla. + [fc2d75b26ef8] <2.4-maint> + +2011-01-06 Phil Thompson + + * qt/qscintilla_es.ts: + Updated Spanish translations from Jaime Seuma. + [8921e85723a1] <2.4-maint> + +2010-12-24 Phil Thompson + + * qt/qsciscintilla.h: + Fixed a documentation typo. + [1b951cf8838a] <2.4-maint> + +2010-12-23 Phil Thompson + + * .hgtags: + Added tag 2.4.6 for changeset 1884d76f35b0 + [696037b84e26] <2.4-maint> + + * NEWS: + Released as v2.4.6. + [1884d76f35b0] [2.4.6] <2.4-maint> + +2010-12-21 Phil Thompson + + * qt/qsciscintilla.cpp: + Auto-completion words from documents are now ignored if they are + already included from APIs. + [db48fbf19e7c] <2.4-maint> + + * qt/SciClasses.cpp: + Make sure call tips are redrawn afer being clicked on. + [497ad4605ae3] <2.4-maint> + +2010-11-23 Phil Thompson + + * NEWS, Python/sip/qsciscintilla.sip, qt/qscintilla.pro, + qt/qsciscintilla.cpp, qt/qsciscintilla.h: + Added support for indicators to the high-level API. See the NEWS + file for the details. + [8673b7890874] <2.4-maint> + +2010-11-15 Phil Thompson + + * Python/configure.py: + Added the --no-timestamp option to configure.py. + [61d1b5d28e21] <2.4-maint> + + * qsci/api/python/Python-2.7.api: + Added the API file for Python v2.7. + [5b2c77e7150a] <2.4-maint> + +2010-11-09 Phil Thompson + + * Makefile, qt/PlatQt.cpp: + Applied a fix for calculating character widths under OS/X. Switched + the build system to Qt v4.7.1. + [47a4eff86efa] <2.4-maint> + +2010-11-08 Phil Thompson + + * qt/qscilexercpp.h: + Fixed a bug in the documentation of QsciLexerCPP.GlobalClass. + [3cada289b329] <2.4-maint> + +2010-10-24 Phil Thompson + + * qt/SciClasses.h, qt/ScintillaQt.h, qt/qscicommandset.h, + qt/qsciglobal.h, qt/qscilexer.h, qt/qsciprinter.h, + qt/qsciscintilla.h, qt/qsciscintillabase.h: + Added support for QT_BEGIN_NAMESPACE and QT_END_NAMESPACE. + [a80f0df49f6c] <2.4-maint> + +2010-10-23 Phil Thompson + + * qt/qscintilla_de.qm, qt/qscintilla_de.ts: + Updated German translations from Detlev. + [693d3adf3c3f] <2.4-maint> + +2010-10-21 Phil Thompson + + * Makefile, Python/sip/qscilexerproperties.sip, + qt/qscilexerproperties.cpp, qt/qscilexerproperties.h, + qt/qscintilla_cs.ts, qt/qscintilla_de.ts, qt/qscintilla_es.ts, + qt/qscintilla_fr.ts, qt/qscintilla_pt_br.ts, qt/qscintilla_ru.ts: + Added support for the Key style to QsciLexerProperties. + [0b2e86015862] <2.4-maint> + +2010-08-31 Phil Thompson + + * .hgtags: + Added tag 2.4.5 for changeset f3f3936e5b86 + [84bb1b0d0674] <2.4-maint> + + * NEWS: + Released as v2.4.5. + [f3f3936e5b86] [2.4.5] <2.4-maint> + +2010-08-21 Phil Thompson + + * NEWS: + Updated the NEWS file. + [80afe6b1504a] <2.4-maint> + +2010-08-20 Phil Thompson + + * Python/sip/qsciscintillabase.sip: + With Python v3, the QsciScintillaBase.SendScintilla() overloads that + take char * arguments now require them to be bytes objects and no + longer allow them to be str objects. + [afa9ac3c487d] <2.4-maint> + +2010-08-14 Phil Thompson + + * Python/sip/qsciscintillabase.sip: + Reverted the addition of the /Encoding/ annotations to + SendScintilla() as it is (probably) not the right solution. + [4cb625284e4f] <2.4-maint> + + * qt/qsciscintilla.cpp: + The entries in user and auto-completion lists should now support + UTF-8. + [112d71cec57a] <2.4-maint> + + * Python/sip/qsciscintillabase.sip: + The QsciScintillaBase.SendScintilla() Python overloads will now + accept unicode strings that can be encoded to UTF-8. + [2f21b97985f2] <2.4-maint> + +2010-07-22 Phil Thompson + + * qt/qscilexerhtml.cpp, qt/qscilexerhtml.h: + Implemented QsciLexerHTML::autoCompletionFillups() to change the + fillups to "/>". + [8d9c1aad1349] <2.4-maint> + + * qt/qsciscintilla.cpp: + Fixed a regression, and the original bug, in + QsciScintilla::clearAnnotations(). + [fd8746ae2198] <2.4-maint> + + * qt/qscistyle.cpp: + QsciStyle now auto-allocates style numbers from 63 rather than + STYLE_MAX because Scintilla only initially creates enough storage + for that number of styles. + [7c69b0a4ee5b] <2.4-maint> + +2010-07-15 Phil Thompson + + * qt/qscilexerverilog.cpp, qt/qscintilla.pro: + Fixed a bug in QsciLexerVerilog that meant that the Keyword style + was being completely ignored. + [09e28404476a] <2.4-maint> + +2010-07-12 Phil Thompson + + * .hgtags: + Added tag 2.4.4 for changeset c61a49005995 + [4c98368d9bea] <2.4-maint> + + * NEWS: + Released as v2.4.4. + [c61a49005995] [2.4.4] <2.4-maint> + +2010-06-08 Phil Thompson + + * Makefile, qt/qsciscintillabase.cpp: + Pop-lists now get removed when the main widget loses focus. + [169fa07f52ab] <2.4-maint> + +2010-06-05 Phil Thompson + + * qt/ScintillaQt.cpp: + Changed SCN_MODIFIED to deal with text being NULL. + [68148fa857ab] <2.4-maint> + +2010-06-03 Phil Thompson + + * qt/ScintillaQt.cpp: + The SCN_MODIFIED signal now tries to make sure that the text passed + is valid. + [90e3461f410f] <2.4-maint> + +2010-04-22 Phil Thompson + + * qt/qsciscintilla.cpp, qt/qsciscintilla.h: + QsciScintilla::markerDefine() now allows existing markers to be + redefined if an explicit marker number is given. + [63f1a7a1d8e2] <2.4-maint> + + * qt/ScintillaQt.cpp, qt/qsciscintilla.cpp, qt/qsciscintillabase.cpp, + qt/qsciscintillabase.h: + Fixed the drag and drop behaviour so that a move automatically turns + into a copy when the mouse leaves the widget. + [4dab09799716] <2.4-maint> + +2010-04-21 Phil Thompson + + * qt/PlatQt.cpp, qt/ScintillaQt.cpp: + Fixed build problems against Qt v3. + [71168072ac9b] <2.4-maint> + + * Python/sip/qsciscintillabase.sip, qt/ScintillaQt.cpp, + qt/qsciscintillabase.cpp, qt/qsciscintillabase.h: + Added QsciScintillaBase::fromMimeData(). + [b86a15672079] <2.4-maint> + + * Python/sip/qsciscintillabase.sip, qt/ScintillaQt.cpp, + qt/qsciscintillabase.cpp, qt/qsciscintillabase.h: + Renamed QsciScintillaBase::createMimeData() to toMimeData(). + [6f5837334dde] <2.4-maint> + +2010-04-20 Phil Thompson + + * Python/sip/qsciscintillabase.sip, qt/ScintillaQt.cpp, + qt/qsciscintillabase.cpp, qt/qsciscintillabase.h: + Added QsciScintillaBase::canInsertFromMimeData(). + [bbba2c1799ef] <2.4-maint> + + * Python/sip/qsciscintillabase.sip, qt/ScintillaQt.cpp, + qt/qscintilla.pro, qt/qsciscintillabase.cpp, qt/qsciscintillabase.h: + Added QsciScintillaBase::createMimeData(). + [b2c3e3a9b43d] <2.4-maint> + +2010-03-17 Phil Thompson + + * .hgtags: + Added tag 2.4.3 for changeset 786429e0227d + [1931843aec48] <2.4-maint> + + * NEWS, build.py: + Fixed the generation of the change log after tagging a release. + Updated the NEWS file. Released as v2.4.3. + [786429e0227d] [2.4.3] <2.4-maint> + +2010-02-23 Phil Thompson + + * qt/qsciscintilla.cpp, qt/qsciscintilla.h: + Reverted the setting of the alpha component in + setMarkerForegroundColor() (at least until SC_MARK_UNDERLINE is + supported). + [111da2e01c5e] <2.4-maint> + + * qt/PlatQt.cpp, qt/qsciscintilla.cpp, qt/qsciscintilla.h: + Fixed the very broken support for the alpha component with Qt4. + [b1d73c7f447b] <2.4-maint> + + * Python/sip/qsciscintilla.sip, qt/qscintilla.pro, + qt/qsciscintilla.cpp, qt/qsciscintilla.h: + Added QsciScintilla::clearFolds() to clear all current folds + (typically prior to disabling folding). + [4f4266da1962] <2.4-maint> + +2010-02-15 Phil Thompson + + * Makefile: + Switched the build system to Qt v4.6.2. + [f023013b79e4] <2.4-maint> + +2010-02-07 Phil Thompson + + * qt/qscidocument.cpp: + Fixed a bug in the handling of multiple views of a document. + [8b4aa000df1c] <2.4-maint> + +2010-01-31 Phil Thompson + + * Makefile, build.py: + Minor tidy ups for the internal build system. + [c3a41d195b8a] <2.4-maint> + +2010-01-30 Phil Thompson + + * Makefile, Python/configure.py, build.py, lib/README.doc, + lib/README.svn, lib/qscintilla.dxy, qt/qsciglobal.h: + Changes to the internal build system required by the migration to + Mercurial. + [607e474dfd28] <2.4-maint> + +2010-01-29 phil + + * .hgtags: + Import from SVN. + [49d5a0d80211] + +2008-08-31 phil + + * qt/qscintilla_de.qm, qt/qscintilla_de.ts: + Updated German translations from Detlev. + [d2a7db9cb3f6] <2.2-maint> + +2008-08-17 phil + + * Python/sip/qscilexeryaml.sip, Python/sip/qscimodcommon.sip, + qt/qscilexeryaml.cpp, qt/qscilexeryaml.h, qt/qscintilla.pro: + Added the QsciLexerYAML class. + [87f48847405d] <2.2-maint> + + * Python/sip/qsciscintilla.sip, qt/qsciscintilla.cpp, + qt/qsciscintilla.h: + The fold margin can now be specified by an extra argument to + QsciScintilla::setFolding(). + [ae43393149f0] <2.2-maint> + + * qt/qscilexerlua.cpp, qt/qscilexerlua.h, qt/qscilexerperl.cpp, + qt/qscilexerperl.h: + Implemented autoCompletionWordSeparators() for QsciLexerPerl and + QsciLexerLua. + [1bfc2fc0fa36] <2.2-maint> + + * qt/ListBoxQt.cpp, qt/ListBoxQt.h, qt/qscintilla_de.qm, + qt/qscintilla_de.ts, qt/qsciscintilla.cpp, qt/qsciscintilla.h: + User lists can now handle entries with embedded spaces. Updated + German translations from Detlev. + [b5e413c95aff] <2.2-maint> + +2008-08-16 phil + + * Python/sip/qscilexerxml.sip, Python/sip/qscimodcommon.sip, + qt/qscilexerhtml.cpp, qt/qscilexerxml.cpp, qt/qscilexerxml.h, + qt/qscintilla.pro: + Added the QsciLexerXML class. + [643a3e847646] <2.2-maint> + + * Python/sip/qscilexerpostscript.sip, Python/sip/qscimodcommon.sip, + qt/qscilexerpostscript.cpp, qt/qscilexerpostscript.h, + qt/qscintilla.pro, qt/qscintilla_de.qm, qt/qscintilla_de.ts: + Added the QsciLexerPostScript class. Updated German translations + from Detlev. + [3e4695d615b0] <2.2-maint> + +2008-08-10 phil + + * Python/sip/qscilexerpascal.sip, Python/sip/qscimodcommon.sip, + qt/qscilexerpascal.cpp, qt/qscilexerpascal.h, qt/qscintilla.pro: + Added the QsciLexerPascal class. + [2712538aa17c] <2.2-maint> + + * Makefile, Python/sip/qscilexerfortran.sip, + Python/sip/qscilexerfortran77.sip, Python/sip/qscimodcommon.sip, + qt/qscilexerfortran.cpp, qt/qscilexerfortran.h, + qt/qscilexerfortran77.cpp, qt/qscilexerfortran77.h, + qt/qscintilla.pro: + Added the QsciLexerFortran77 and QsciLexerFortran classes. + [e72f0ce049ab] <2.2-maint> + +2008-07-03 phil + + * lib/README.doc, qt/qscintilla.pro: + Updated the Windows installation instructions for Qt3. Backed out + the use of version numbers on Windows because it breaks + compatibility with Qt3. (It may go back for Qt4 if that proves not + to be a problem.) + [82b976c98cf9] <2.2-maint> + +2008-06-19 phil + + * build.py, qt/qscintilla.pro: + Added the DLL metadata for Windows. + [0f65670eeb66] <2.2-maint> + +2008-06-16 phil + + * Python/sip/qsciscintillabase.sip, qt/qscintilla.pro, + qt/qsciscintillabase.h: + Added support for the new Scintilla 1.76 features and lexers. + [fff8c7e1940e] <2.2-maint> + + * doc/ScintillaDoc.html, doc/ScintillaDownload.html, + doc/ScintillaHistory.html, doc/ScintillaRelated.html, + doc/index.html, gtk/PlatGTK.cxx, gtk/ScintillaGTK.cxx, gtk/makefile, + gtk/scintilla.mak, include/Platform.h, include/SciLexer.h, + include/Scintilla.h, include/Scintilla.iface, macosx/ExtInput.cxx, + macosx/ExtInput.h, macosx/PlatMacOSX.cxx, macosx/PlatMacOSX.h, + macosx/QuartzTextLayout.h, macosx/QuartzTextStyle.h, + macosx/QuartzTextStyleAttribute.h, macosx/ScintillaMacOSX.cxx, + macosx/ScintillaMacOSX.h, macosx/TView.cxx, macosx/makefile, + src/CellBuffer.cxx, src/Editor.cxx, src/Editor.h, src/KeyWords.cxx, + src/LexCPP.cxx, src/LexGen.py, src/LexMagik.cxx, src/LexMatlab.cxx, + src/LexPerl.cxx, src/LexPowerShell.cxx, src/LineMarker.cxx, + src/RunStyles.cxx, src/RunStyles.h, vcbuild/SciLexer.dsp, + version.txt, win32/PlatWin.cxx, win32/ScintRes.rc, + win32/ScintillaWin.cxx, win32/makefile, win32/scintilla.mak, + win32/scintilla_vc6.mak: + Merged Scintilla v1.76. + [a3a5181efbb0] <2.2-maint> + +2008-06-10 phil + + * Python/configure.py: + Fixed a configuration problem with the Python bindings when building + against a Windows DLL of QScintilla. + [7d9af6e97d2c] <2.2-maint> + +2008-06-07 phil + + * Makefile, lib/LICENSE.commercial, lib/README.doc, qt/qscimacro.cpp, + qt/qscimacro.h: + Fixed a regression in macro recording. + [3a2c8add1382] <2.2-maint> + +2008-03-09 phil + + * lib/qscintilla.dxy: + Fixed the doxygen configuration file for Qt4. + [2d3ab16b71cb] <2.2-maint> + +2008-03-06 phil + + * qt/qscintilla_de.qm, qt/qscintilla_de.ts: + Updated German translations from Detlev. + [478c96e64584] <2.2-maint> + + * include/Platform.h: + By default build with (possibly over-aggressive) assertions + disabled. + [a07535b643ef] <2.2-maint> + +2008-03-05 phil + + * lib/qscintilla.dxy: + Updated the doxygen configuration to remove some warnings. + [bf028c1824c3] <2.2-maint> + +2008-03-04 phil + + * Python/sip/qscilexertcl.sip, Python/sip/qscimodcommon.sip, + qt/qscilexertcl.cpp, qt/qscilexertcl.h, qt/qscintilla.pro: + Added the QsciLexerTCL class. + [a008135167cf] <2.2-maint> + +2008-11-17 phil + + * NEWS: + Released as v2.3.2. + [6ae4c306fcf1] [2.3.2] <2.3-maint> + +2008-11-16 phil + + * qt/qsciscintilla.cpp, qt/qsciscintillabase.h: + The default lexer is now SCLEX_CONTAINER rather than SCLEX_NULL. + [abffc574bda0] <2.3-maint> + +2008-11-14 phil + + * qt/qscilexerpython.cpp, qt/qscilexerxml.cpp, qt/qscintilla.pro: + The XML lexer now styles embedded scripts properly. (An API call to + disable this will be added in a future version.) + [be4308ba5fc9] <2.3-maint> + + * UTF-8-demo.txt, qt/PlatQt.cpp: + Fixed a regression in measuring character widths introduced when + fixing the problem with kerned fonts. + [835cbce25ae2] <2.3-maint> + +2008-11-08 phil + + * NEWS: + Released as v2.3.1. + [91ec01d1c22c] [2.3.1] <2.3-maint> + + * NEWS, Python/sip/qsciscintilla.sip, qt/qsciscintilla.cpp, + qt/qsciscintilla.h: + Added QsciScintilla::wordAtPoint() based on a patch from Andrea + Battisti. + [072c84e5baa7] <2.3-maint> + +2008-11-07 phil + + * qt/qsciscintilla.cpp: + Changing the current lexer while text is displayed should now be + handled properly. (However the XML lexer seems buggy in this + regard.) + [ef0fcd30ff99] <2.3-maint> + + * qt/PlatQt.cpp: + Fixed a bug in the calculation of character positions when kerning + was in effect. This cause the caret to be displayed too far to the + right. + [225bf588aeeb] <2.3-maint> + +2008-11-01 phil + + * NEWS: + Updated the NEWS file. + [3f777fbcaa87] <2.3-maint> + +2008-10-21 phil + + * qt/qscintilla_de.ts: + Updated German translations from Detlev. + [055862970575] <2.3-maint> + +2008-10-20 phil + + * Python/configure.py, Python/sip/qscilexercss.sip, + Python/sip/qscilexerdiff.sip, Python/sip/qsciscintillabase.sip, + qt/ScintillaQt.cpp, qt/qscilexercss.cpp, qt/qscilexercss.h, + qt/qscilexerdiff.cpp, qt/qscilexerdiff.h, qt/qscintilla.pro, + qt/qscintilla_cs.ts, qt/qscintilla_de.ts, qt/qscintilla_fr.ts, + qt/qscintilla_pt_br.ts, qt/qscintilla_ru.ts, qt/qsciscintilla.cpp, + qt/qsciscintillabase.h: + Updated the QScintilla API to accomodate changes in Scintilla v1.77. + [9876dd8de8a4] <2.3-maint> + + * README, doc/ScintillaDoc.html, doc/ScintillaDownload.html, + doc/ScintillaHistory.html, doc/ScintillaRelated.html, + doc/ScintillaToDo.html, doc/index.html, gtk/PlatGTK.cxx, + gtk/ScintillaGTK.cxx, gtk/makefile, gtk/scintilla.mak, + include/SciLexer.h, include/Scintilla.h, include/Scintilla.iface, + macosx/makefile, src/CellBuffer.cxx, src/CellBuffer.h, + src/Document.cxx, src/Document.h, src/Editor.cxx, + src/ExternalLexer.cxx, src/KeyWords.cxx, src/LexAbaqus.cxx, + src/LexAsm.cxx, src/LexBash.cxx, src/LexCPP.cxx, src/LexCSS.cxx, + src/LexFortran.cxx, src/LexGen.py, src/LexHTML.cxx, + src/LexHaskell.cxx, src/LexInno.cxx, src/LexLua.cxx, + src/LexMySQL.cxx, src/LexNsis.cxx, src/LexOthers.cxx, + src/LexPerl.cxx, src/LexProgress.cxx, src/LexRuby.cxx, + src/LexTeX.cxx, src/LexVerilog.cxx, src/LexYAML.cxx, + src/RESearch.cxx, src/RESearch.h, src/RunStyles.h, + src/ScintillaBase.cxx, src/SplitVector.h, vcbuild/SciLexer.dsp, + version.txt, win32/PlatWin.cxx, win32/ScintRes.rc, + win32/ScintillaWin.cxx, win32/makefile, win32/scintilla.mak, + win32/scintilla_vc6.mak: + Initial merge of Scintilla 1.77. + [39acb5c4e434] <2.3-maint> + +2008-10-12 phil + + * qt/qsciscintilla.cpp, qt/qsciscintilla.h: + Applied a patch from Detlev that fixes issues with the document + modification notification and setting different fold margins. + [3af8eefae9f9] <2.3-maint> + + * Python/sip/qscicommand.sip, Python/sip/qscicommandset.sip, + Python/sip/qsciscintilla.sip, qt/qsciscintilla.cpp, + qt/qsciscintilla.h: + Added the read() and write() methods to QsciScintilla in + anticipation of PyQt dropping support for QStrings. This will allow + file to be read and written while keeping the number of conversions + to the minimum. + [85e0d79e204f] <2.3-maint> + + * Python/sip/qsciscintilla.sip, qt/qsciscintilla.cpp, + qt/qsciscintilla.h: + QsciScintilla::setSelection() is now implemented using SCI_SETSEL so + that the carat can be left at either the start or the end of the + selection. Exposed QsciScintilla::positionFromLineIndex() and + QsciScintilla::lineIndexFromPosition(). + [acdba5c2e772] <2.3-maint> + +2008-10-04 phil + + * qt/qscidocument.cpp, qt/qscidocument.h, qt/qsciscintilla.cpp, + qt/qsciscintilla.h: + The modified status of a document is now maintained on a per + document basis rather than a per editor basis. + [744886134592] <2.3-maint> + +2008-10-02 phil + + * qt/qscilexerpython.cpp: + Added 'with' to the list of keywords in the Python lexer for Python + v2.6. + [e33bbbc426ab] <2.3-maint> + +2010-01-20 phil + + * Makefile, NEWS: + Updated the build system to Qt v4.6.1. Released as v2.4.2. + [73732e5bae08] [2.4.2] <2.4-maint> + +2010-01-18 phil + + * qt/qscintilla_es.qm, qt/qscintilla_es.ts: + Updated Spanish translations from Jaime Seuma. + [3b911e69696d] <2.4-maint> + +2010-01-15 phil + + * Python/configure.py: + The Python bindings now check for SIP v4.10. + [8d5f4957a07c] <2.4-maint> + + * qt/qscintilla_cs.ts, qt/qscintilla_de.ts, qt/qscintilla_es.ts, + qt/qscintilla_fr.ts, qt/qscintilla_pt_br.ts, qt/qscintilla_ru.ts: + Updated the .ts files. + [15c647ac0c42] <2.4-maint> + + * NEWS, build.py: + Fixed the build system for Qt v3 and v4 prior to v4.5. + [1b5bea85a3bf] <2.4-maint> + +2010-01-14 phil + + * NEWS, lib/LICENSE.commercial.short, lib/LICENSE.gpl.short: + Released as v2.4.1. + [a04b69746aa6] [2.4.1] <2.4-maint> + +2009-12-22 phil + + * lib/gen_python3_api.py, qsci/api/python/Python-3.1.api: + Added the API file for Python v3.1. + [116c24ab58b2] <2.4-maint> + + * NEWS, Python/configure.py: + Added support for automatically generated docstrings. + [3d316b4f222b] <2.4-maint> + +2009-12-11 phil + + * Makefile, qt/PlatQt.cpp: + Fixed a performance problem when displaying very long lines. + [d3fe67ad2eb5] <2.4-maint> + +2009-11-01 phil + + * qt/qsciapis.cpp: + Fixed a possible crash in the handling of call tips. + [6248caa24fec] <2.4-maint> + + * qt/SciClasses.cpp: + Applied the workaround for the autocomplete focus bug under Gnome's + window manager which (appears) to work with current versions of Qt + across all platforms. + [f709f1518e70] <2.4-maint> + + * Makefile, qt/qsciscintilla.cpp, qt/qsciscintilla.h: + Make sure a lexer is fully detached when a QScintilla instance is + destroyed. + [db47764231d2] <2.4-maint> + +2009-08-19 phil + + * lib/LICENSE.gpl.short, qt/qscintilla_de.qm, qt/qscintilla_de.ts: + Updated German translations from Detlev. + [458b60ec031e] <2.4-maint> + +2009-08-09 phil + + * Python/sip/qscilexerverilog.sip, Python/sip/qscimodcommon.sip, + qt/qscilexerverilog.cpp, qt/qscilexerverilog.h, qt/qscintilla.pro: + Added the QsciLexerVerilog class. + [86b2aceac88c] <2.4-maint> + + * Makefile, Python/sip/qscilexerspice.sip, + Python/sip/qscimodcommon.sip, lib/LICENSE.commercial, lib + /OPENSOURCE-NOTICE.TXT, lib/README.doc, qt/qscilexerspice.cpp, + qt/qscilexerspice.h, qt/qscintilla.pro: + Added the QsciLexerSpice class. + [56532ec00839] <2.4-maint> + +2009-06-05 phil + + * NEWS, lib/LICENSE.commercial: + Released as v2.4. + [612b1bcb8223] [2.4] + +2009-06-03 phil + + * NEWS, qt/qscistyledtext.h: + Fixed a bug building on Qt v3. + [88ebc67fdff4] + +2009-05-30 phil + + * qt/ScintillaQt.cpp: + Applied a fix for copying UTF-8 text to the X clipboard from Lars + Reichelt. + [e59fa72c2e2d] + +2009-05-27 phil + + * qt/qscilexercustom.h: + Fixed a missing forward declaration in qscilexercustom.h. + [0018449ee6aa] + +2009-05-25 phil + + * qt/qscilexercustom.cpp: + Don't ask the custom lexer to style zero characters. + [6ae021232f4f] + +2009-05-19 phil + + * NEWS, qt/qscintilla.pro, qt/qscintilla_cs.qm, qt/qscintilla_es.qm, + qt/qscintilla_es.ts, qt/qscintilla_fr.qm, qt/qscintilla_pt_br.qm, + qt/qscintilla_ru.qm: + Added Spanish translations from Jaime Seuma. + [0cdbee8db9af] + + * qt/qsciscintilla.cpp: + A minor fix for ancient C++ compilers. + [0523c3a0e0aa] + +2009-05-18 phil + + * NEWS, Python/sip/qscilexer.sip, Python/sip/qscilexercustom.sip, + Python/sip/qscimodcommon.sip, Python/sip/qsciscintilla.sip, + qt/qscilexer.cpp, qt/qscilexer.h, qt/qscilexercustom.cpp, + qt/qscilexercustom.h, qt/qscintilla.pro, qt/qsciscintilla.cpp, + qt/qsciscintilla.h: + Added QsciScintilla::annotation(). Added QsciLexerCustom (completely + untested) and supporting changes to QsciLexer. + [382d5b86f600] + +2009-05-17 phil + + * qt/qscintilla_cs.ts, qt/qscintilla_de.qm, qt/qscintilla_de.ts, + qt/qscintilla_fr.ts, qt/qscintilla_pt_br.ts, qt/qscintilla_ru.ts: + Updated translations from Detlev. + [0b8c8438e464] + +2009-05-09 phil + + * NEWS, Python/sip/qsciscintilla.sip, qt/qsciscintilla.cpp, + qt/qsciscintilla.h: + Added support for text margins. + [be9db7d41b50] + + * qt/PlatQt.cpp, qt/qsciscintilla.cpp, qt/qsciscintilla.h, + qt/qscistyledtext.cpp, qt/qscistyledtext.h: + Debugged the support for annotations. Tidied up the QString to + Scintilla string conversions. + [573199665222] + +2009-05-08 phil + + * NEWS, Python/sip/qscimodcommon.sip, Python/sip/qsciscintilla.sip, + Python/sip/qscistyle.sip, Python/sip/qscistyledtext.sip, + qt/qscicommand.h, qt/qscimacro.h, qt/qscintilla.pro, + qt/qsciscintilla.cpp, qt/qsciscintilla.h, qt/qscistyle.cpp, + qt/qscistyle.h, qt/qscistyledtext.cpp, qt/qscistyledtext.h: + Implemented the rest of the annotation API - still needs debugging. + [7f23400d2416] + +2009-05-07 phil + + * NEWS, qt/qscintilla.pro, qt/qscistyle.cpp, qt/qscistyle.h: + Added the QsciStyle class. + [bf8e3e02071e] + +2009-05-06 phil + + * qt/qsciscintillabase.cpp: + Fixed the key event handling when the text() is empty and the key() + should be used - only seems to happen with OS/X. + [868a146b019f] + +2009-05-03 phil + + * Makefile, NEWS, Python/configure.py, Python/sip/qscicommand.sip, + Python/sip/qscicommandset.sip, Python/sip/qscilexer.sip, + Python/sip/qscilexercpp.sip, Python/sip/qscilexercss.sip, + Python/sip/qscilexerdiff.sip, Python/sip/qscilexerhtml.sip, + Python/sip/qscilexerpascal.sip, Python/sip/qscilexerperl.sip, + Python/sip/qscilexerpython.sip, Python/sip/qscilexerxml.sip, + Python/sip/qsciscintilla.sip, Python/sip/qsciscintillabase.sip, + README, UTF-8-demo.txt, doc/ScintillaDoc.html, + doc/ScintillaDownload.html, doc/ScintillaHistory.html, + doc/ScintillaRelated.html, doc/ScintillaToDo.html, + doc/annotations.png, doc/index.html, doc/styledmargin.png, + gtk/PlatGTK.cxx, gtk/ScintillaGTK.cxx, gtk/deps.mak, gtk/makefile, + gtk/scintilla.mak, include/Face.py, include/HFacer.py, + include/SciLexer.h, include/Scintilla.h, include/Scintilla.iface, + include/ScintillaWidget.h, lib/LICENSE.commercial, + macosx/PlatMacOSX.cxx, macosx/makefile, qt/PlatQt.cpp, + qt/ScintillaQt.cpp, qt/qsciapis.cpp, qt/qscidocument.cpp, + qt/qscidocument.h, qt/qscilexer.cpp, qt/qscilexer.h, + qt/qscilexercpp.cpp, qt/qscilexercpp.h, qt/qscilexercss.cpp, + qt/qscilexercss.h, qt/qscilexerdiff.cpp, qt/qscilexerdiff.h, + qt/qscilexerhtml.cpp, qt/qscilexerhtml.h, qt/qscilexerpascal.cpp, + qt/qscilexerpascal.h, qt/qscilexerperl.cpp, qt/qscilexerperl.h, + qt/qscilexerpython.cpp, qt/qscilexerpython.h, qt/qscilexerxml.cpp, + qt/qscilexerxml.h, qt/qscintilla.pro, qt/qscintilla_cs.ts, + qt/qscintilla_de.ts, qt/qscintilla_fr.ts, qt/qscintilla_pt_br.ts, + qt/qscintilla_ru.ts, qt/qsciscintilla.cpp, qt/qsciscintilla.h, + qt/qsciscintillabase.h, src/CellBuffer.cxx, src/CellBuffer.h, + src/Document.cxx, src/Document.h, src/Editor.cxx, src/Editor.h, + src/ExternalLexer.cxx, src/Indicator.cxx, src/Indicator.h, + src/KeyWords.cxx, src/LexAU3.cxx, src/LexAbaqus.cxx, src/LexAsm.cxx, + src/LexBash.cxx, src/LexCOBOL.cxx, src/LexCPP.cxx, src/LexCSS.cxx, + src/LexD.cxx, src/LexFortran.cxx, src/LexGen.py, src/LexHTML.cxx, + src/LexHaskell.cxx, src/LexInno.cxx, src/LexLua.cxx, + src/LexMySQL.cxx, src/LexNimrod.cxx, src/LexNsis.cxx, + src/LexOthers.cxx, src/LexPascal.cxx, src/LexPerl.cxx, + src/LexPowerPro.cxx, src/LexProgress.cxx, src/LexPython.cxx, + src/LexRuby.cxx, src/LexSML.cxx, src/LexSQL.cxx, src/LexSorcus.cxx, + src/LexTACL.cxx, src/LexTADS3.cxx, src/LexTAL.cxx, src/LexTeX.cxx, + src/LexVerilog.cxx, src/LexYAML.cxx, src/PerLine.cxx, src/PerLine.h, + src/PositionCache.cxx, src/RESearch.cxx, src/RESearch.h, + src/RunStyles.h, src/SciTE.properties, src/ScintillaBase.cxx, + src/SplitVector.h, src/UniConversion.cxx, src/ViewStyle.cxx, + src/ViewStyle.h, vcbuild/SciLexer.dsp, version.txt, + win32/PlatWin.cxx, win32/ScintRes.rc, win32/ScintillaWin.cxx, + win32/makefile, win32/scintilla.mak, win32/scintilla_vc6.mak: + Merged the v2.3 branch onto the trunk. + [1bb3d2b01123] + +2008-09-20 phil + + * Makefile, NEWS, lib/README.doc: + Released as v2.3. + [8fd73a9a9d66] [2.3] + +2008-09-17 phil + + * NEWS, Python/sip/qsciscintilla.sip, qt/qsciscintilla.cpp, + qt/qsciscintilla.h: + Added QsciScintilla::apiContext() for further open up the auto- + completion and call tips support. + [a6291ea6dd37] + +2008-09-16 phil + + * Python/configure.py, lib/gen_python_api.py, + qsci/api/python/Python-2.6.api, qt/qsciapis.h: + Added the API file for Python v2.6rc1. Fixed a typo in the help for + the Python bindings configure.py. + [ac10be3cc7fb] + +2008-09-03 phil + + * qt/qscintilla_cs.ts, qt/qscintilla_de.ts, qt/qscintilla_fr.ts, + qt/qscintilla_pt_br.ts, qt/qscintilla_ru.ts: + Updated the i18n .ts files. + [b73beac06e0f] + +2008-09-01 phil + + * lib/README.doc: + Updated the Windows installation notes to cover the need to manually + install the DLL when using Qt3. + [17019ebfab36] + + * lib/README.doc, qt/qsciscintilla.cpp: + Fixed a regression in the highlighting of call tip arguments. + Updated the Windows installation notes to say that any header files + installed from a previous build should first be removed. + [cb3f27b93323] + +2008-08-31 phil + + * NEWS, Python/configure.py, Python/sip/qsciabstractapis.sip, + Python/sip/qsciapis.sip, Python/sip/qscilexer.sip, + Python/sip/qscimodcommon.sip, Python/sip/qsciscintillabase.sip, + qt/qsciabstractapis.cpp, qt/qsciabstractapis.h, qt/qsciapis.cpp, + qt/qsciapis.h, qt/qscilexer.cpp, qt/qscilexer.h, qt/qscintilla.pro, + qt/qsciscintilla.cpp, qt/qsciscintilla.h: + Added the QsciAbstractAPIs class to allow applications to provide + their own implementation of APIs. + [eb5a8a602e5d] + + * Makefile, Python/configure.py, Python/sip/qscilexerfortran.sip, + Python/sip/qscilexerfortran77.sip, Python/sip/qscilexerpascal.sip, + Python/sip/qscilexerpostscript.sip, Python/sip/qscilexertcl.sip, + Python/sip/qscilexerxml.sip, Python/sip/qscilexeryaml.sip, + Python/sip/qscimodcommon.sip, Python/sip/qsciscintilla.sip, + Python/sip/qsciscintillabase.sip, build.py, doc/ScintillaDoc.html, + doc/ScintillaDownload.html, doc/ScintillaHistory.html, + doc/ScintillaRelated.html, doc/index.html, gtk/PlatGTK.cxx, + gtk/ScintillaGTK.cxx, gtk/makefile, gtk/scintilla.mak, + include/Platform.h, include/SciLexer.h, include/Scintilla.h, + include/Scintilla.iface, lib/LICENSE.commercial, lib/README.doc, + lib/qscintilla.dxy, macosx/ExtInput.cxx, macosx/ExtInput.h, + macosx/PlatMacOSX.cxx, macosx/PlatMacOSX.h, + macosx/QuartzTextLayout.h, macosx/QuartzTextStyle.h, + macosx/QuartzTextStyleAttribute.h, macosx/ScintillaMacOSX.cxx, + macosx/ScintillaMacOSX.h, macosx/TView.cxx, macosx/makefile, + qt/ListBoxQt.cpp, qt/ListBoxQt.h, qt/qscilexerfortran.cpp, + qt/qscilexerfortran.h, qt/qscilexerfortran77.cpp, + qt/qscilexerfortran77.h, qt/qscilexerhtml.cpp, qt/qscilexerlua.cpp, + qt/qscilexerlua.h, qt/qscilexerpascal.cpp, qt/qscilexerpascal.h, + qt/qscilexerperl.cpp, qt/qscilexerperl.h, + qt/qscilexerpostscript.cpp, qt/qscilexerpostscript.h, + qt/qscilexertcl.cpp, qt/qscilexertcl.h, qt/qscilexerxml.cpp, + qt/qscilexerxml.h, qt/qscilexeryaml.cpp, qt/qscilexeryaml.h, + qt/qscimacro.cpp, qt/qscimacro.h, qt/qscintilla.pro, + qt/qscintilla_de.qm, qt/qscintilla_de.ts, qt/qsciscintilla.cpp, + qt/qsciscintilla.h, qt/qsciscintillabase.h, src/CellBuffer.cxx, + src/Editor.cxx, src/Editor.h, src/KeyWords.cxx, src/LexCPP.cxx, + src/LexGen.py, src/LexMagik.cxx, src/LexMatlab.cxx, src/LexPerl.cxx, + src/LexPowerShell.cxx, src/LineMarker.cxx, src/RunStyles.cxx, + src/RunStyles.h, vcbuild/SciLexer.dsp, version.txt, + win32/PlatWin.cxx, win32/ScintRes.rc, win32/ScintillaWin.cxx, + win32/makefile, win32/scintilla.mak, win32/scintilla_vc6.mak: + Merged the v2.2 maintenance branch. + [cd784c60bcc7] + +2008-02-27 phil + + * NEWS, build.py, lib/GPL_EXCEPTION.TXT, lib/LICENSE.GPL2, + lib/LICENSE.GPL3, lib/LICENSE.commercial, + lib/LICENSE.commercial.short, lib/LICENSE.gpl, + lib/LICENSE.gpl.short, lib/OPENSOURCE-NOTICE.TXT: + Updated the licenses to be in line with the the current Qt licenses, + including GPL v3. Released as v2.2. + [a039ca791129] [2.2] + +2008-02-23 phil + + * Makefile, qt/PlatQt.cpp: + Switched to Qt v4.3.4. Further tweaks for Windows64 support. + [3ae9686f38e6] + +2008-02-22 phil + + * Makefile, NEWS, Python/sip/qsciscintillabase.sip, qt/PlatQt.cpp, + qt/ScintillaQt.cpp, qt/qscidocument.cpp, qt/qscimacro.cpp, + qt/qscintilla.pro, qt/qsciscintillabase.cpp, qt/qsciscintillabase.h: + Several fixes for Windows64 support based on a patch from Randall + Frank. + [2c753ee01c42] + +2008-02-09 phil + + * Python/configure.py, lib/README.doc, qt/qscintilla.pro: + It's no longer necessary to set DYLD_LIBRARY_PATH when using the + Python bindings. + [d1098424aed1] + +2008-02-03 phil + + * Python/sip/qscilexerruby.sip: + Added the missing QsciLexerRuby.Error to the Python bindings. + [0b4f06a30251] + +2008-01-20 phil + + * designer-Qt4/qscintillaplugin.cpp, designer-Qt4/qscintillaplugin.h: + Fixed a problem with the Qt4 Designer plugin on Leopard. + [5450a1bc62df] + +2008-01-11 phil + + * qt/SciClasses.cpp, qt/qsciscintillabase.cpp: + Hopefully fixed shortcuts and accelerators when the autocompletion + list is displayed. + [8304a1f4e36b] + +2008-01-06 phil + + * qt/SciClasses.cpp: + Hopefully fixed a bug stopping normal typing when the autocompletion + list is being displayed. + [2db0cc8fa158] + +2008-01-03 phil + + * lib/LICENSE.commercial.short, lib/LICENSE.gpl, + lib/LICENSE.gpl.short, lib/README.doc, qt/qsciscintillabase.cpp: + Fixed a Qt3 compilation bug. Updated the copyright notices. + [cf238f41fb54] + +2007-12-30 phil + + * qt/SciClasses.cpp, qt/SciClasses.h, qt/qsciscintillabase.cpp: + Hopefully fixed the problems with the auto-completion popup on all + platforms (not tested on Mac). + [585aa7e4e59f] + +2007-12-29 phil + + * qt/SciClasses.cpp: + Remove the use of the internal Tooltip widget flag so that the X11 + auto-completion list now has the same problems as the Windows + version. (Prior to fixing the problem properly.) + [93d584d099db] + +2007-12-23 phil + + * qt/ScintillaQt.cpp: + Fixed DND problems with Qt4. + [23f8c1a7c4c7] + + * qt/qsciscintilla.cpp: + Fix from Detlev for an infinite loop caused by calling + getCursorPosition() when Scintilla reports a position past the end + of the text. + [dd99ade93fa6] + +2007-12-05 phil + + * qt/qscilexerperl.cpp, qt/qscintilla_cs.ts, qt/qscintilla_de.ts, + qt/qscintilla_fr.ts, qt/qscintilla_pt_br.ts, qt/qscintilla_ru.ts: + Fixed a silly typo in the updated Perl lexer. + [0e290eb71572] + + * qt/qscintilla_de.qm: + Updated German translations from Detlev. + [e820d3c167f5] + + * Makefile: + Switched the internal build system to Qt v4.3.3. + [df2d877e2422] + +2007-12-04 phil + + * qt/qscintilla_cs.ts, qt/qscintilla_de.ts, qt/qscintilla_fr.ts, + qt/qscintilla_pt_br.ts, qt/qscintilla_ru.ts: + Updated the translation source files. + [1fb11f16d750] + + * Python/sip/qscilexerperl.sip, Python/sip/qsciscintillabase.sip, + doc/ScintillaDoc.html, doc/ScintillaDownload.html, + doc/ScintillaHistory.html, doc/ScintillaRelated.html, + doc/index.html, gtk/PlatGTK.cxx, gtk/ScintillaGTK.cxx, gtk/makefile, + gtk/scintilla.mak, include/Platform.h, include/PropSet.h, + include/SciLexer.h, include/Scintilla.h, include/Scintilla.iface, + lib/README.svn, macosx/PlatMacOSX.cxx, macosx/ScintillaMacOSX.h, + macosx/makefile, qt/PlatQt.cpp, qt/qscilexer.cpp, qt/qscilexer.h, + qt/qscilexerperl.cpp, qt/qscilexerperl.h, qt/qscilexerpython.cpp, + qt/qscilexerpython.h, qt/qscintilla.pro, qt/qsciscintilla.cpp, + qt/qsciscintillabase.h, src/CellBuffer.cxx, src/CellBuffer.h, + src/ContractionState.cxx, src/ContractionState.h, src/Document.cxx, + src/Document.h, src/DocumentAccessor.cxx, src/Editor.cxx, + src/Editor.h, src/KeyWords.cxx, src/LexAPDL.cxx, src/LexASY.cxx, + src/LexAU3.cxx, src/LexAbaqus.cxx, src/LexBash.cxx, src/LexCPP.cxx, + src/LexGen.py, src/LexHTML.cxx, src/LexHaskell.cxx, + src/LexMetapost.cxx, src/LexOthers.cxx, src/LexPerl.cxx, + src/LexPython.cxx, src/LexR.cxx, src/LexSQL.cxx, src/LexTeX.cxx, + src/LexYAML.cxx, src/Partitioning.h, src/PositionCache.cxx, + src/PositionCache.h, src/PropSet.cxx, src/RunStyles.cxx, + src/RunStyles.h, src/ScintillaBase.cxx, src/SplitVector.h, + src/ViewStyle.cxx, src/ViewStyle.h, vcbuild/SciLexer.dsp, + version.txt, win32/PlatWin.cxx, win32/ScintRes.rc, + win32/ScintillaWin.cxx, win32/makefile, win32/scintilla.mak, + win32/scintilla_vc6.mak: + Merged Scintilla v1.75. + [8009a4d7275a] + +2007-11-17 phil + + * qt/SciClasses.cpp, qt/qsciscintilla.cpp, qt/qsciscintilla.h: + Bug fixes for selectAll() and getCursorPosition() from Baz Walter. + [80eecca239b4] + +2007-10-24 phil + + * qt/qsciscintilla.cpp: + Fixed folding for HTML. + [bb6fb6065e30] + +2007-10-14 phil + + * build.py, lib/GPL_EXCEPTION.TXT, lib/GPL_EXCEPTION_ADDENDUM.TXT, + lib/LICENSE.gpl, lib/OPENSOURCE-NOTICE.TXT, qt/qscicommandset.cpp: + Control characters that are not bound to commands (or shortcuts) now + default to doing nothing (rather than inserting the character into + the text). Aligned the GPL license with Trolltech's exceptions. + [148432c68762] + +2007-10-12 phil + + * src/LexHTML.cxx: + Fixed the Scintilla HTML lexer's handling of characters >= 0x80. + [c4e271ce8e96] + +2007-10-05 phil + + * qt/qsciscintillabase.cpp: + Used NoSystemBackground rather than OpaquePaintEvent to eliminate + flicker. + [01a22c66304d] + +2007-10-04 phil + + * Makefile, qt/qsciscintillabase.cpp: + Fixed a flashing effect visible with a non-standard background. + Switched to Qt v4.3.2. + [781c58fcba96] + +2007-09-23 phil + + * qt/qsciapis.h, qt/qscicommand.h, qt/qscicommandset.h, + qt/qscidocument.h, qt/qsciglobal.h, qt/qscilexer.h, + qt/qscilexerbash.h, qt/qscilexerbatch.h, qt/qscilexercmake.h, + qt/qscilexercpp.h, qt/qscilexercsharp.h, qt/qscilexercss.h, + qt/qscilexerd.h, qt/qscilexerdiff.h, qt/qscilexerhtml.h, + qt/qscilexeridl.h, qt/qscilexerjava.h, qt/qscilexerjavascript.h, + qt/qscilexerlua.h, qt/qscilexermakefile.h, qt/qscilexerperl.h, + qt/qscilexerpov.h, qt/qscilexerproperties.h, qt/qscilexerpython.h, + qt/qscilexerruby.h, qt/qscilexersql.h, qt/qscilexertex.h, + qt/qscilexervhdl.h, qt/qscimacro.h, qt/qsciprinter.h, + qt/qsciscintilla.h, qt/qsciscintillabase.h: + Made the recent portabilty changes Mac specific as AIX has a problem + with them. + [0de605d4079f] + +2007-09-16 phil + + * qt/qscilexer.cpp: + A lexer's default colour, paper and font are now written to and read + from the settings. + [45277fc76ace] + +2007-09-15 phil + + * lib/README.doc, qt/qsciapis.h, qt/qscicommand.h, + qt/qscicommandset.h, qt/qscidocument.h, qt/qsciglobal.h, + qt/qscilexer.h, qt/qscilexerbash.h, qt/qscilexerbatch.h, + qt/qscilexercmake.h, qt/qscilexercpp.h, qt/qscilexercsharp.h, + qt/qscilexercss.h, qt/qscilexerd.h, qt/qscilexerdiff.h, + qt/qscilexerhtml.h, qt/qscilexeridl.h, qt/qscilexerjava.h, + qt/qscilexerjavascript.h, qt/qscilexerlua.h, qt/qscilexermakefile.h, + qt/qscilexerperl.h, qt/qscilexerpov.h, qt/qscilexerproperties.h, + qt/qscilexerpython.h, qt/qscilexerruby.h, qt/qscilexersql.h, + qt/qscilexertex.h, qt/qscilexervhdl.h, qt/qscimacro.h, + qt/qsciprinter.h, qt/qsciscintilla.h, qt/qsciscintillabase.h: + Fixed the MacOS build problems when using the binary installer + version of Qt. + [e059a923a447] + + * lib/LICENSE.commercial.short, qt/PlatQt.cpp: + Added the missing WaitMouseMoved() implementation on MacOS. + [78d1c8fc37c0] + +2007-09-10 phil + + * qt/qsciscintilla.cpp, qt/qsciscintilla.h: + QsciScintilla::setFont() now calls QWidget::setFont() so that font() + returns the expected value. + [fd4f577c60ea] + +2007-09-02 phil + + * qt/qsciscintilla.cpp: + Fixed problems which the font size of STYLE_DEFAULT not being + updated when the font of style 0 was changed. Hopefully this fixes + the problems with edge columns and indentation guides. + [ddeccb6f64a0] + +2007-08-12 phil + + * Makefile, lib/LICENSE.commercial.short, lib/LICENSE.gpl.short, + qt/qscintilla.pro: + Applied .pro file fix from Dirk Mueller to add a proper install + rule. + [a3a2e49f1042] + +2007-07-22 phil + + * qt/qscilexer.cpp: + Made sure that the backgound colour of areas of the widget with no + text is updated when QsciLexer.setDefaultPaper() is called. + [065558d2430b] + +2007-07-09 phil + + * qt/qsciscintilla.cpp, qt/qsciscintilla.h: + Explicitly set the style for STYLE_DEFAULT when setting a lexer. + [a95fc3357771] + +2007-06-30 phil + + * Python/sip/qsciscintillabase.sip, doc/ScintillaDoc.html, + doc/ScintillaDownload.html, doc/ScintillaHistory.html, + doc/ScintillaRelated.html, doc/index.html, gtk/PlatGTK.cxx, + gtk/ScintillaGTK.cxx, gtk/deps.mak, gtk/makefile, gtk/scintilla.mak, + include/Accessor.h, include/HFacer.py, include/KeyWords.h, + include/Platform.h, include/PropSet.h, include/SString.h, + include/SciLexer.h, include/Scintilla.h, include/Scintilla.iface, + include/WindowAccessor.h, macosx/PlatMacOSX.cxx, + macosx/PlatMacOSX.h, macosx/QuartzTextLayout.h, + macosx/QuartzTextStyle.h, macosx/QuartzTextStyleAttribute.h, + macosx/SciTest/English.lproj/InfoPlist.strings, + macosx/SciTest/English.lproj/main.nib/classes.nib, + macosx/SciTest/English.lproj/main.nib/info.nib, + macosx/SciTest/English.lproj/main.nib/objects.xib, + macosx/SciTest/Info.plist, + macosx/SciTest/SciTest.xcode/project.pbxproj, + macosx/SciTest/SciTest_Prefix.pch, macosx/SciTest/main.cpp, + macosx/SciTest/version.plist, macosx/ScintillaCallTip.cxx, + macosx/ScintillaCallTip.h, macosx/ScintillaListBox.cxx, + macosx/ScintillaListBox.h, macosx/ScintillaMacOSX.cxx, + macosx/ScintillaMacOSX.h, macosx/TCarbonEvent.cxx, + macosx/TCarbonEvent.h, macosx/TRect.h, macosx/TView.cxx, + macosx/TView.h, macosx/deps.mak, macosx/makefile, + qt/ScintillaQt.cpp, qt/ScintillaQt.h, qt/qscintilla.pro, + qt/qsciscintillabase.h, src/AutoComplete.cxx, src/AutoComplete.h, + src/CallTip.cxx, src/CallTip.h, src/CellBuffer.cxx, + src/CellBuffer.h, src/CharacterSet.h, src/ContractionState.cxx, + src/ContractionState.h, src/Decoration.cxx, src/Decoration.h, + src/Document.cxx, src/Document.h, src/DocumentAccessor.cxx, + src/DocumentAccessor.h, src/Editor.cxx, src/Editor.h, + src/ExternalLexer.cxx, src/ExternalLexer.h, src/Indicator.cxx, + src/Indicator.h, src/KeyMap.cxx, src/KeyMap.h, src/KeyWords.cxx, + src/LexAPDL.cxx, src/LexAU3.cxx, src/LexAVE.cxx, src/LexAda.cxx, + src/LexAsm.cxx, src/LexAsn1.cxx, src/LexBaan.cxx, src/LexBash.cxx, + src/LexBasic.cxx, src/LexBullant.cxx, src/LexCLW.cxx, + src/LexCPP.cxx, src/LexCSS.cxx, src/LexCaml.cxx, src/LexCmake.cxx, + src/LexConf.cxx, src/LexCrontab.cxx, src/LexCsound.cxx, + src/LexD.cxx, src/LexEScript.cxx, src/LexEiffel.cxx, + src/LexErlang.cxx, src/LexFlagship.cxx, src/LexForth.cxx, + src/LexFortran.cxx, src/LexGAP.cxx, src/LexGen.py, + src/LexGui4Cli.cxx, src/LexHTML.cxx, src/LexHaskell.cxx, + src/LexInno.cxx, src/LexKix.cxx, src/LexLisp.cxx, src/LexLout.cxx, + src/LexLua.cxx, src/LexMMIXAL.cxx, src/LexMPT.cxx, src/LexMSSQL.cxx, + src/LexMatlab.cxx, src/LexMetapost.cxx, src/LexNsis.cxx, + src/LexOpal.cxx, src/LexOthers.cxx, src/LexPB.cxx, src/LexPLM.cxx, + src/LexPOV.cxx, src/LexPS.cxx, src/LexPascal.cxx, src/LexPerl.cxx, + src/LexProgress.cxx, src/LexPython.cxx, src/LexRebol.cxx, + src/LexRuby.cxx, src/LexSQL.cxx, src/LexScriptol.cxx, + src/LexSmalltalk.cxx, src/LexSpecman.cxx, src/LexSpice.cxx, + src/LexTADS3.cxx, src/LexTCL.cxx, src/LexTeX.cxx, src/LexVB.cxx, + src/LexVHDL.cxx, src/LexVerilog.cxx, src/LexYAML.cxx, + src/LineMarker.cxx, src/LineMarker.h, src/Partitioning.h, + src/PositionCache.cxx, src/PositionCache.h, src/PropSet.cxx, + src/RESearch.cxx, src/RESearch.h, src/RunStyles.cxx, + src/RunStyles.h, src/SVector.h, src/ScintillaBase.cxx, + src/ScintillaBase.h, src/SplitVector.h, src/Style.cxx, src/Style.h, + src/StyleContext.cxx, src/StyleContext.h, src/UniConversion.cxx, + src/UniConversion.h, src/ViewStyle.cxx, src/ViewStyle.h, + src/WindowAccessor.cxx, src/XPM.cxx, src/XPM.h, + vcbuild/SciLexer.dsp, version.txt, win32/PlatWin.cxx, + win32/ScintRes.rc, win32/ScintillaWin.cxx, win32/deps.mak, + win32/makefile, win32/scintilla.mak, win32/scintilla_vc6.mak, + zipsrc.bat: + Merged Scintilla v1.74. + [04dee9c2424f] + + * Python/sip/qscilexerpython.sip, build.py, qt/qscilexer.cpp, + qt/qscilexerbash.cpp, qt/qscilexerpython.cpp, qt/qscilexerpython.h, + qt/qscintilla.pro: + Fixed comment folding in the Bash lexer. A style is properly + restored when read from QSettings. Removed ./Qsci from the qmake + INCLUDEPATH. Removed the Scintilla version number from generated + filenames. Used fully qualified enum names in the Python lexer so + that the QMetaObject is correct. + [6b27a5b211e0] + +2007-06-01 phil + + * NEWS: + Released as v2.1. + [9976edafc5c1] [2.1] + +2007-05-30 phil + + * Makefile: + Switched the internal build system to Qt v4.3.0. + [49284aa376ef] + + * NEWS, Python/configure.py, Python/sip/qscilexer.sip, + Python/sip/qscilexerbash.sip, Python/sip/qscilexerbatch.sip, + Python/sip/qscilexercmake.sip, Python/sip/qscilexercpp.sip, + Python/sip/qscilexercsharp.sip, Python/sip/qscilexercss.sip, + Python/sip/qscilexerd.sip, Python/sip/qscilexerdiff.sip, + Python/sip/qscilexerhtml.sip, Python/sip/qscilexeridl.sip, + Python/sip/qscilexerjavascript.sip, Python/sip/qscilexerlua.sip, + Python/sip/qscilexermakefile.sip, Python/sip/qscilexerperl.sip, + Python/sip/qscilexerpov.sip, Python/sip/qscilexerproperties.sip, + Python/sip/qscilexerpython.sip, Python/sip/qscilexerruby.sip, + Python/sip/qscilexersql.sip, Python/sip/qscilexertex.sip, + Python/sip/qscilexervhdl.sip, Python/sip/qscimodcommon.sip, + build.py, qt/qscilexer.cpp, qt/qscilexer.h, qt/qscilexerbash.cpp, + qt/qscilexerbash.h, qt/qscilexerbatch.cpp, qt/qscilexerbatch.h, + qt/qscilexercmake.cpp, qt/qscilexercmake.h, qt/qscilexercpp.cpp, + qt/qscilexercpp.h, qt/qscilexercsharp.cpp, qt/qscilexercsharp.h, + qt/qscilexercss.cpp, qt/qscilexercss.h, qt/qscilexerd.cpp, + qt/qscilexerd.h, qt/qscilexerdiff.cpp, qt/qscilexerdiff.h, + qt/qscilexerhtml.cpp, qt/qscilexerhtml.h, qt/qscilexeridl.cpp, + qt/qscilexeridl.h, qt/qscilexerjavascript.cpp, + qt/qscilexerjavascript.h, qt/qscilexerlua.cpp, qt/qscilexerlua.h, + qt/qscilexermakefile.cpp, qt/qscilexermakefile.h, + qt/qscilexerperl.cpp, qt/qscilexerperl.h, qt/qscilexerpov.cpp, + qt/qscilexerpov.h, qt/qscilexerproperties.cpp, + qt/qscilexerproperties.h, qt/qscilexerpython.cpp, + qt/qscilexerpython.h, qt/qscilexerruby.cpp, qt/qscilexerruby.h, + qt/qscilexersql.cpp, qt/qscilexersql.h, qt/qscilexertex.cpp, + qt/qscilexertex.h, qt/qscilexervhdl.cpp, qt/qscilexervhdl.h, + qt/qscintilla.pro: + Lexers now remember their style settings. A lexer no longer has to + be the current lexer when changing a style's color, end-of-line + fill, font or paper. The color(), eolFill(), font() and paper() + methods of QsciLexer now return the current values for a style + rather than the default values. The setDefaultColor(), + setDefaultFont() and setDefaultPaper() methods of QsciLexer are no + longer slots and no longer virtual. The defaultColor(), + defaultFont() and defaultPaper() methods of QsciLexer are no longer + virtual. The color(), eolFill(), font() and paper() methods of all + QsciLexer derived classes (except for QsciLexer itself) have been + renamed defaultColor(), defaultEolFill(), defaultFont() and + defaultPaper() respectively. + [38aeee2a5a36] + +2007-05-28 phil + + * qt/qsciscintilla.cpp: + Set the number of style bits after we've set the lexer. + [84cda9af5b00] + + * Python/configure.py: + Fixed the handling of the %Timeline in the Python bindings. + [4b3146d1a236] + +2007-05-27 phil + + * Python/sip/qsciscintillabase.sip: + Updated the sub-class convertor code in the Python bindings for the + Cmake and VHDL lexers. + [6ab6570728a2] + +2007-05-26 phil + + * NEWS: + Updated the NEWS file. Released as v2.0. + [eec9914d8211] [2.0] + +2007-05-19 phil + + * Python/sip/qsciscintillabase.sip, qt/qsciscintilla.cpp, + qt/qsciscintillabase.cpp, qt/qsciscintillabase.h: + Added basic input method support for Qt4 so that accented characters + now work. (Although there is still a font problem - at least a text + colour problem.) + [6b41f3694999] + + * qt/qsciapis.cpp, qt/qsciapis.h, qt/qsciscintillabase.cpp: + Fixed building against Qt v3. + [9e9ba05de0fb] + +2007-05-17 phil + + * qt/qsciscintilla.cpp: + Fixed an autocompletion problem where an empty list was being + displayed. + [c7214274017c] + +2007-05-16 phil + + * qt/qsciscintilla.cpp: + Fixed a bug where autocompleting from the document was looking for + preceeding non-word characters as well. + [3ee6fd746d49] + + * qt/qsciscintilla.cpp: + Fixed silly typo that broke call tips. + [05213a8933c2] + +2007-05-09 phil + + * qt/qsciscintilla.cpp: + Fiex an autocompletion bug for words that only had preceding + whitespace. + [a8f3339e02c6] + + * Python/configure.py, lib/gen_python_api.py, + qsci/api/python/Python-2.4.api, qsci/api/python/Python-2.5.api, + qt/qsciapis.cpp, qt/qsciapis.h: + Call tips shouldn't now get confused with commas in the text after + the argument list. The included API files for Python should now be + complete and properly exclude anything beginning with an underscore. + The Python bindings configure.py can now install the API file in a + user supplied directory. + [c7e93dc918de] + + * qt/qscintilla_cs.qm, qt/qscintilla_fr.qm, qt/qscintilla_pt_br.qm, + qt/qscintilla_ru.qm: + Ran lrelease on the project. + [c3ce60078221] + + * Makefile, qt/qscintilla_cs.ts, qt/qscintilla_de.ts, + qt/qscintilla_fr.ts, qt/qscintilla_pt_br.ts, qt/qscintilla_ru.ts: + Updated the internal build system to Qt v4.3.0rc1. Ran lupdate on + the project. + [6a86e71a4e26] + +2007-05-08 phil + + * Python/sip/qsciscintilla.sip, qt/qsciapis.cpp, qt/qsciapis.h, + qt/qsciscintilla.cpp, qt/qsciscintilla.h: + Call tips will now show all the tips for a function (in all scopes) + if the current context/scope isn't known. + [cbebccc205c7] + + * Python/sip/qsciscintilla.sip, qt/qsciapis.cpp, qt/qsciapis.h, + qt/qsciscintilla.cpp, qt/qsciscintilla.h: + Added callTipsStyle() and setCallTipsStyle() to QsciScintilla. + [59d453b5da8c] + +2007-05-07 phil + + * qt/qsciscintilla.cpp, qt/qsciscintilla.h: + Autocompletion from documents should now work the same as QScintilla + v1. The only difference is that the list does not contain the + preceding context so it is consistent with autocompletion from APIs. + [46de719d325e] + + * qt/qscintilla.pro, qt/qscintilla_cs.qm, qt/qscintilla_cs.ts: + Added the Czech translations from Zdenek Bohm. + [139fd9aee405] + +2007-04-30 phil + + * Python/sip/qsciscintilla.sip, qt/qsciscintilla.cpp, + qt/qsciscintilla.h: + Added QsciScintilla::wordCharacters(). + [d6e56986a031] + +2007-04-29 phil + + * Python/sip/qsciscintilla.sip, Python/sip/qsciscintillabase.sip, + qt/qsciscintilla.cpp, qt/qsciscintilla.h, qt/qsciscintillabase.cpp, + qt/qsciscintillabase.h: + Added lots of consts to QsciScintilla getter methods. + [4aaffa8611ba] + + * Python/configure.py, Python/sip/qsciscintilla.sip, + qt/qscintilla_de.qm, qt/qscintilla_de.ts, qt/qscintilla_fr.ts, + qt/qscintilla_pt_br.ts, qt/qscintilla_ru.ts, qt/qsciscintilla.cpp, + qt/qsciscintilla.h: + Added caseSensitive() and isWordCharacter() to QsciScintilla. + Updated translations from Detlev. + [64223bf97266] + +2007-04-10 phil + + * Python/sip/qscilexercmake.sip, Python/sip/qscilexervhdl.sip, + Python/sip/qscimodcommon.sip, qt/qscilexercmake.cpp, + qt/qscilexercmake.h, qt/qscilexervhdl.cpp, qt/qscilexervhdl.h, + qt/qscintilla.pro: + Added the QsciLexerVHDL class. + [10029339786f] + + * Python/sip/qscilexercmake.sip, Python/sip/qscimodcommon.sip, + qt/qscilexercmake.cpp, qt/qscilexercmake.h, qt/qscintilla.pro: + Added the QsciLexerCmake class. + [c1c911246f75] + +2007-04-09 phil + + * qt/qsciapis.cpp, qt/qsciapis.h, qt/qsciscintilla.cpp, + qt/qsciscintilla.h: + Finished call tip support. + [b8c717297392] + +2007-04-07 phil + + * qt/qsciapis.cpp, qt/qsciapis.h, qt/qsciscintilla.cpp, + qt/qsciscintilla.h: + Some refactoring in preparation for getting call tips working. + [6cb925653a80] + +2007-04-06 phil + + * qt/qsciscintilla.cpp: + Fixed autoindenting. + [8d7b93ee4d9e] + +2007-04-05 phil + + * qt/qsciapis.cpp, qt/qsciapis.h, qt/qsciscintilla.cpp: + Fixed autocompletion so that it works with lexers that don't define + word separators, and lexers that are case insensitive. + [66634cf13685] + +2007-04-04 phil + + * qt/ScintillaQt.cpp, qt/qsciscintilla.cpp: + Fixed the horizontal scrollbar when word wrapping. + [021ea1fe8468] + +2007-04-03 phil + + * Python/configure.py, Python/sip/qsciscintillabase.sip, delcvs.bat, + doc/ScintillaDoc.html, doc/ScintillaDownload.html, + doc/ScintillaHistory.html, doc/ScintillaRelated.html, + doc/index.html, gtk/makefile, gtk/scintilla.mak, include/SciLexer.h, + include/Scintilla.h, include/Scintilla.iface, qt/ScintillaQt.cpp, + qt/qscintilla.pro, qt/qsciscintillabase.h, src/Document.cxx, + src/Document.h, src/DocumentAccessor.cxx, src/Editor.cxx, + src/Editor.h, src/ExternalLexer.h, src/KeyWords.cxx, src/LexAU3.cxx, + src/LexBash.cxx, src/LexCmake.cxx, src/LexHTML.cxx, src/LexLua.cxx, + src/LexMSSQL.cxx, src/LexOthers.cxx, src/LexTADS3.cxx, + src/PropSet.cxx, src/RESearch.cxx, src/RESearch.h, + src/SplitVector.h, vcbuild/SciLexer.dsp, version.txt, + win32/PlatWin.cxx, win32/ScintRes.rc, win32/ScintillaWin.cxx, + win32/makefile, win32/scintilla.mak, win32/scintilla_vc6.mak: + Merged Scintilla v1.73. + [2936af6fc62d] + +2007-03-18 phil + + * Makefile, Python/sip/qscilexerd.sip, Python/sip/qscimodcommon.sip, + Python/sip/qsciscintillabase.sip, qt/qscilexerd.cpp, + qt/qscilexerd.h, qt/qscintilla.pro, qt/qscintilla_de.qm, + qt/qscintilla_de.ts, qt/qscintilla_fr.ts, qt/qscintilla_pt_br.ts, + qt/qscintilla_ru.ts: + Switched the internal build system to Qt v4.2.3. Added the D lexer + support from Detlev. + [667e9b81ab4f] + +2007-03-04 phil + + * Makefile, example-Qt4/mainwindow.cpp, qt/PlatQt.cpp, + qt/qsciscintilla.cpp: + Fixed a bug in default font handling. Removed use of QIODevice::Text + in the example as it is unnecessary and a performance hog. Moved the + internal Qt3 build system to Qt v3.3.8. Auto-indentation should now + work (as badly) as it did with QScintilla v1. + [4d3ad4d1f295] + +2007-01-17 phil + + * Python/sip/qsciapis.sip, qt/qsciapis.cpp, qt/qsciapis.h: + Added defaultPreparedName() to QsciAPIs. + [2a3c872122dd] + + * designer-Qt4/qscintillaplugin.cpp: + Fixed the Qt4 Designer plugin include file value. + [ea7cb8634ad2] + +2007-01-16 phil + + * Python/sip/qsciapis.sip, qt/qsciapis.cpp, qt/qsciapis.h: + Added cancelPreparation() and apiPreparationCancelled() to QsciAPIs. + [2d7dd00e3bc0] + + * Python/sip/qsciscintilla.sip, Python/sip/qsciscintillabase.sip, + build.py, lib/LICENSE.commercial.short, lib/LICENSE.gpl.short, + qt/qscintilla.pro, qt/qsciscintilla.cpp, qt/qsciscintilla.h, + qt/qsciscintillabase.cpp, qt/qsciscintillabase.h: + Updated the copyright notices. Added selectionToEol() and + setSelectionToEol() to QsciScintilla. Added the other 1.72 changes + to the low level API. + [ddcf2d43cf31] + + * doc/SciBreak.jpg, doc/ScintillaDoc.html, doc/ScintillaDownload.html, + doc/ScintillaHistory.html, doc/ScintillaRelated.html, + doc/index.html, gtk/PlatGTK.cxx, gtk/ScintillaGTK.cxx, gtk/makefile, + gtk/scintilla.mak, include/SciLexer.h, include/Scintilla.h, + include/Scintilla.iface, qt/ScintillaQt.h, src/CellBuffer.cxx, + src/CellBuffer.h, src/ContractionState.cxx, src/Document.cxx, + src/Document.h, src/DocumentAccessor.cxx, src/Editor.cxx, + src/Editor.h, src/KeyWords.cxx, src/LexCPP.cxx, src/LexD.cxx, + src/LexGen.py, src/LexHTML.cxx, src/LexInno.cxx, src/LexLua.cxx, + src/LexMatlab.cxx, src/LexNsis.cxx, src/LexOthers.cxx, + src/LexRuby.cxx, src/LexTADS3.cxx, src/Partitioning.h, + src/ScintillaBase.cxx, src/SplitVector.h, src/StyleContext.h, + src/ViewStyle.cxx, src/ViewStyle.h, vcbuild/SciLexer.dsp, + version.txt, win32/ScintRes.rc, win32/ScintillaWin.cxx, + win32/makefile, win32/scintilla.mak, win32/scintilla_vc6.mak: + Merged Scintilla v1.72, but any new features are not yet exploited. + [dcdfde9050a2] + +2007-01-09 phil + + * Python/configure.py: + Fixed bug in configure.py when the -p flag wasn't specified. + [50dc69f2b20d] + +2007-01-04 phil + + * Python/configure.py, Python/sip/qscilexer.sip, qt/qsciapis.cpp, + qt/qsciapis.h, qt/qsciscintilla.cpp: + Backported to Qt v3. Note that this will probably break again in the + future when call tips are redone. + [3bcc4826fc73] + +2007-01-02 phil + + * Python/configure.py, lib/gen_python_api.py, + qsci/api/python/Python-2.4.api, qsci/api/python/Python-2.5.api, + qt/qsciapis.cpp: + Added the Python v2.4 and v2.5 API files. Added the generation of + the QScintilla2.api file. + [49beb92ca721] + +2007-01-01 phil + + * Python/sip/qsciscintilla.sip, qt/qscilexer.h, qt/qsciscintilla.cpp, + qt/qsciscintilla.h: + Added autoCompletionFillupsEnabled() and + setAutoCompletionFillupsEnabled() to QsciScintilla. Updated the + Python bindings. + [7aa946010e9d] + + * Python/sip/qsciapis.sip, qt/qsciapis.cpp, qt/qsciapis.h: + Implemented loadPrepared() and savePrepared() in QsciAPIs. Added + isPrepared() to QsciAPIs. Updated the Python bindings. + [4c5e3d80fec7] + + * Python/sip/qsciapis.sip, qt/qsciapis.cpp, qt/qsciapis.h: + Added installAPIFiles() and stubs for loadPrepared() and + savePrepared() to QsciAPIs. + [93f4dd7222a1] + + * Python/sip/qsciapis.sip: + Added the missing qsciapis.sip file. + [064b524acc93] + + * Python/sip/qscilexer.sip, Python/sip/qscimodcommon.sip, + lib/qscintilla.dxy, qt/qsciapis.cpp, qt/qsciapis.h, + qt/qscilexer.cpp, qt/qscilexer.h: + Fixed the generation of the API documentation. Added apis() and + setAPIs() to QsciLexer. Removed apiAdd(), apiClear(), apiLoad(), + apiRemove(), apiProcessingStarted() and apiProcessingFinished() from + QsciLexer. Added apiPreparationStarted() and + apiPreparationFinished() to QsciAPIs. Made QsciAPIs part of the API + again. Updated the Python bindings. + [851d133b12ff] + +2006-12-20 phil + + * Makefile, qt/qsciapis.cpp, qt/qsciapis.h: + Updated the internal build system to Qt v4.2.2. More work on auto- + completion. + [d4542220e7a2] + +2006-11-26 phil + + * qt/ListBoxQt.cpp, qt/ListBoxQt.h, qt/qsciapis.cpp, qt/qsciapis.h, + qt/qsciscintilla.cpp, qt/qsciscintilla.h: + More work on the auto-completion code. + [37b2d0d2b154] + +2006-11-22 phil + + * qt/qsciapis.cpp, qt/qsciapis.h, qt/qscilexer.cpp, qt/qscilexer.h, + qt/qscilexerbatch.cpp, qt/qscilexerbatch.h, qt/qsciscintilla.cpp, + qt/qsciscintilla.h: + Changed the handling of case sensitivity in auto-completion lists. + Lexers now say if they are case sensitive. + [b1932fba61ec] + +2006-11-17 phil + + * Makefile, Python/configure.py, Python/sip/qscicommand.sip, + Python/sip/qscicommandset.sip, Python/sip/qscidocument.sip, + Python/sip/qscilexer.sip, Python/sip/qscilexerbash.sip, + Python/sip/qscilexerbatch.sip, Python/sip/qscilexercpp.sip, + Python/sip/qscilexercsharp.sip, Python/sip/qscilexercss.sip, + Python/sip/qscilexerdiff.sip, Python/sip/qscilexerhtml.sip, + Python/sip/qscilexeridl.sip, Python/sip/qscilexerjava.sip, + Python/sip/qscilexerjavascript.sip, Python/sip/qscilexerlua.sip, + Python/sip/qscilexermakefile.sip, Python/sip/qscilexerperl.sip, + Python/sip/qscilexerpov.sip, Python/sip/qscilexerproperties.sip, + Python/sip/qscilexerpython.sip, Python/sip/qscilexerruby.sip, + Python/sip/qscilexersql.sip, Python/sip/qscilexertex.sip, + Python/sip/qscimacro.sip, Python/sip/qsciprinter.sip, + Python/sip/qsciscintilla.sip, Python/sip/qsciscintillabase.sip, + TODO, build.py, designer-Qt3/qscintillaplugin.cpp, designer- + Qt4/qscintillaplugin.cpp, example-Qt3/application.cpp, example- + Qt4/mainwindow.cpp, qt/PlatQt.cpp, qt/ScintillaQt.cpp, + qt/qsciapis.cpp, qt/qsciapis.h, qt/qscicommand.cpp, + qt/qscicommand.h, qt/qscicommandset.cpp, qt/qscicommandset.h, + qt/qscidocument.cpp, qt/qscidocument.h, qt/qscilexer.cpp, + qt/qscilexer.h, qt/qscilexerbash.cpp, qt/qscilexerbash.h, + qt/qscilexerbatch.cpp, qt/qscilexerbatch.h, qt/qscilexercpp.cpp, + qt/qscilexercpp.h, qt/qscilexercsharp.cpp, qt/qscilexercsharp.h, + qt/qscilexercss.cpp, qt/qscilexercss.h, qt/qscilexerdiff.cpp, + qt/qscilexerdiff.h, qt/qscilexerhtml.cpp, qt/qscilexerhtml.h, + qt/qscilexeridl.cpp, qt/qscilexeridl.h, qt/qscilexerjava.cpp, + qt/qscilexerjava.h, qt/qscilexerjavascript.cpp, + qt/qscilexerjavascript.h, qt/qscilexerlua.cpp, qt/qscilexerlua.h, + qt/qscilexermakefile.cpp, qt/qscilexermakefile.h, + qt/qscilexerperl.cpp, qt/qscilexerperl.h, qt/qscilexerpov.cpp, + qt/qscilexerpov.h, qt/qscilexerproperties.cpp, + qt/qscilexerproperties.h, qt/qscilexerpython.cpp, + qt/qscilexerpython.h, qt/qscilexerruby.cpp, qt/qscilexerruby.h, + qt/qscilexersql.cpp, qt/qscilexersql.h, qt/qscilexertex.cpp, + qt/qscilexertex.h, qt/qscimacro.cpp, qt/qscimacro.h, + qt/qscintilla.pro, qt/qsciprinter.cpp, qt/qsciprinter.h, + qt/qsciscintilla.cpp, qt/qsciscintilla.h, qt/qsciscintillabase.cpp, + qt/qsciscintillabase.h: + Fixed the name of the generated source packages. Reorganised so that + the header files are in a separate sub-directory. Updated the + designer plugins and examples for the changing in header file + structure. More work on autocompletion. Basic functionality is + there, but no support for the "current context" yet. + [312e74140bb8] + +2006-11-04 phil + + * designer-Qt4/qscintillaplugin.cpp: + Designer plugin fixes for Qt4 from DavidB. + [920f7af8bec6] + +2006-11-03 phil + + * qt/qscilexer.cpp: + Fixed QsciLexer::setPaper() so that it also sets the background + colour of the default style. + [fcab00732d97] + +2006-10-21 phil + + * Makefile, qt/qsciapis.cpp, qt/qsciapis.h, qt/qsciscintilla.cpp: + Switched the internal build system to Qt v3.3.7 and v4.2.1. + Portability fixes for Qt3. + [512b57958ea4] + +2006-10-20 phil + + * Makefile, build.py, include/Platform.h, lib/README.doc, + qt/PlatQt.cpp, qt/qscimacro.cpp, qt/qscintilla.pro, + qt/qsciscintilla.cpp: + Renamed the base package QScintilla2. Platform portability fixes + from Ulli. The qsci data directory is now installed (where API files + will be kept). + [2a61d65842fb] + +2006-10-13 phil + + * Python/sip/qsciscintilla.sip, qt/qscintilla.pro, + qt/qscintilla_pt_br.qm, qt/qscintilla_pt_br.ts, + qt/qscintilla_ptbr.qm, qt/qscintilla_ptbr.ts, qt/qsciscintilla.cpp, + qt/qsciscintilla.h: + Added QsciScintilla::linesChanged() from Detlev. Removed + QsciScintilla::markerChanged(). Renamed the Brazilian Portugese + translation files. + [5b23de72e063] + + * Makefile, Python/sip/qscilexer.sip, qt/ListBoxQt.cpp, + qt/ListBoxQt.h, qt/ScintillaQt.cpp, qt/qsciapis.cpp, qt/qsciapis.h, + qt/qscilexer.cpp, qt/qscilexer.h, qt/qsciscintilla.cpp, + qt/qsciscintilla.h: + Added apiRemove(), apiProcessingStarted() and + apiProcessingFinished() to QsciLexer. + [ef2cb95b868a] + +2006-10-08 phil + + * qt/qsciscintilla.cpp, qt/qsciscintilla.h: + Reset the text and paper colours and font when removing a lexer. + [08ac85b34d80] + + * qt/qsciscintilla.cpp: + Fixed Qt3 specific problem with most recent changes. + [e4ba06e01a1e] + +2006-10-06 phil + + * Python/sip/qsciapis.sip, Python/sip/qscilexer.sip, + Python/sip/qscimodcommon.sip, Python/sip/qsciscintilla.sip, + qt/ListBoxQt.cpp, qt/SciClasses.cpp, qt/qsciapis.cpp, qt/qsciapis.h, + qt/qscilexer.cpp, qt/qscilexer.h, qt/qscilexerbash.cpp, + qt/qscilexerbash.h, qt/qscilexerbatch.cpp, qt/qscilexerbatch.h, + qt/qscilexercpp.cpp, qt/qscilexercpp.h, qt/qscilexercsharp.h, + qt/qscilexercss.cpp, qt/qscilexercss.h, qt/qscilexerdiff.cpp, + qt/qscilexerdiff.h, qt/qscilexerhtml.cpp, qt/qscilexerhtml.h, + qt/qscilexeridl.h, qt/qscilexerjavascript.h, qt/qscilexerlua.cpp, + qt/qscilexerlua.h, qt/qscilexermakefile.cpp, qt/qscilexermakefile.h, + qt/qscilexerperl.cpp, qt/qscilexerperl.h, qt/qscilexerpov.cpp, + qt/qscilexerpov.h, qt/qscilexerproperties.cpp, + qt/qscilexerproperties.h, qt/qscilexerpython.cpp, + qt/qscilexerpython.h, qt/qscilexerruby.cpp, qt/qscilexerruby.h, + qt/qscilexersql.cpp, qt/qscilexersql.h, qt/qscilexertex.cpp, + qt/qscilexertex.h, qt/qsciscintilla.cpp, qt/qsciscintilla.h: + Made QsciAPIs an internal class and instead added apiAdd(), + apiClear() and apiLoad() to QsciLexer. Replaced + setAutoCompletionStartCharacters() with + setAutoCompletionWordSeparators() in QsciScintilla. Removed + autoCompletionFillupsEnabled(), setAutoCompletionFillupsEnabled(), + setAutoCompletionAPIs() and setCallTipsAPIs() from QsciScintilla. + Added AcsNone to QsciScintilla::AutoCompletionSource. Horizontal + scrollbars are displayed as needed in autocompletion lists. Added + QsciScintilla::lexer(). Fixed setFont(), setColor(), setEolFill() + and setPaper() in QsciLexer so that they handle all styles as + documented. Removed all occurences of QString::null. Fixed the + problem with indentation guides not changing when the size of a + space changed. Added the QsciScintilla::markerChanged() signal. + Updated the Python bindings. + [9ae22e152365] + +2006-10-01 phil + + * qt/PlatQt.cpp: + Fixed a silly line drawing bug. + [0f9f5c22421a] + +2006-09-30 phil + + * qt/qscintilla.pro: + Fixes for building on Windows and MacOS/X. + [c16bc6aeba20] + +2006-09-29 phil + + * example-Qt4/application.pro, qt/PlatQt.cpp, qt/qsciscintilla.cpp, + qt/qsciscintilla.h, qt/qsciscintillabase.cpp: + Fixed the documentation bug in QsciScintilla::insert(). Fixed the + mouse shape changing properly. Fixed the drawing of fold markers. + [08af64d93094] + +2006-09-23 phil + + * lib/README: + Improved the README for the pedants amongst us. + [683bdb9a84fc] + + * designer-Qt4/designer.pro, designer-Qt4/qscintillaplugin.cpp, + designer-Qt4/qscintillaplugin.h: + The Qt4 Designer plugin now loads - thanks to DavidB. + [feb5a3618df6] + +2006-09-16 phil + + * build.py, designer-Qt3/designer.pro, designer- + Qt3/qscintillaplugin.cpp, designer-Qt4/designer.pro, designer- + Qt4/qscintillaplugin.cpp, designer/designer.pro, + designer/qscintillaplugin.cpp, lib/README.doc, qt/qsciscintilla.h: + Fixed the Qt3 designer plugin. Added the Qt4 designer plugin based + on Andrius Ozelis's work. (But it doesn't load for me - does anybody + else have a problem?) + [3a0873ed5ff0] + +2006-09-09 phil + + * Python/sip/qsciscintilla.sip, qt/qsciscintilla.cpp, + qt/qsciscintilla.h: + QsciScintilla's setFont(), setColor() and setPaper() now work as + expected when there is no lexer (and have no effect if there is a + lexer). + [65cc713d9ecb] + +2006-08-28 phil + + * qt/ListBoxQt.cpp, qt/PlatQt.cpp: + Fixed a crash when double-clicking on an auto-completion list entry. + [d8eecfc59ca2] + +2006-08-27 phil + + * Python/sip/qsciscintillabase.sip, doc/ScintillaDoc.html, + doc/ScintillaDownload.html, doc/ScintillaHistory.html, + doc/index.html, gtk/Converter.h, gtk/PlatGTK.cxx, + gtk/ScintillaGTK.cxx, qt/ScintillaQt.cpp, qt/qsciscintillabase.h, + src/Editor.cxx, src/LexCPP.cxx, src/LexPerl.cxx, src/LexVB.cxx, + src/StyleContext.h, version.txt, win32/ScintRes.rc, + win32/ScintillaWin.cxx: + Merged Scintilla v1.71. The SCN_DOUBLECLICK() signal now passes the + line and position of the click. + [81c852fed943] + +2006-08-17 phil + + * Python/sip/qsciscintilla.sip, qt/ScintillaQt.cpp: + Fixed pasting when Unicode mode is set. + [9d4a7ccef6f4] + + * build.py: + Fixed the internal build system leaving SVN remnants around. + [96c36a0e94ac] + +2006-07-30 phil + + * NEWS, Python/sip/qsciscintilla.sip, qt/qscicommand.h, + qt/qscicommandset.h, qt/qsciscintilla.cpp, qt/qsciscintilla.h: + Added autoCompletionFillupsEnabled() and + setAutoCompletionFillupsEnabled() to QsciScintilla. Don't auto- + complete numbers. Removed QsciCommandList. + [e9886e5da7c3] + +2006-07-29 phil + + * lib/README.doc, qt/PlatQt.cpp: + Debugged the Qt3 backport - all seems to work. + [1e743e050599] + + * Python/configure.py, Python/sip/qscimod3.sip, + Python/sip/qsciscintillabase.sip, Python/sip/qsciscintillabase4.sip, + build.py, lib/README, lib/README.doc, lib/qscintilla.dxy, + qt/qsciscintillabase.h: + The PyQt3 bindings now work. Updated the documentation and build + system for both Qt3 and Qt4. + [f4fa8a9a35c0] + +2006-07-28 phil + + * Python/sip/qscimodcommon.sip, Python/sip/qsciscintillabase4.sip, + Python/sip/qscitypes.sip, example-Qt3/application.cpp, example- + Qt3/application.h, example-Qt3/application.pro, qt/qscicommand.cpp, + qt/qscicommandset.cpp, qt/qscidocument.cpp, qt/qscimacro.cpp, + qt/qscintilla.pro, qt/qsciprinter.cpp, qt/qsciscintilla.cpp, + qt/qsciscintilla.h, qt/qsciscintillabase.cpp, + qt/qsciscintillabase.h, qt/qscitypes.h: + Backed out the QscoTypes namespace now that the Qt3/4 source code + has been consolidated. + [372c37fa8b9c] + + * qt/qscintilla_de.ts, qt/qscintilla_fr.ts, qt/qscintilla_ptbr.ts, + qt/qscintilla_ru.ts, qt/qsciscintillabase.cpp, + qt/qsciscintillabase.h, qt/qsciscintillabase3.cpp, + qt/qsciscintillabase3.h, qt/qsciscintillabase4.cpp, + qt/qsciscintillabase4.h: + Integated the Qt3 and Qt4 source files. + [4ee1fcf04cd9] + + * Makefile, build.py, lib/README.doc, lib/qscintilla.dxy, + qt/qscintilla.pro, qt/qsciscintillabase.h, + qt/qsciscintillabase3.cpp, qt/qsciscintillabase3.h, + qt/qsciscintillabase4.cpp, qt/qsciscintillabase4.h: + The Qt3 port now compiles, but otherwise untested. + [da227e07e729] + + * Python/sip/qscimacro.sip, lib/README.doc, lib/qscintilla.dxy, + qt/PlatQt.cpp, qt/qscilexermakefile.cpp, qt/qscimacro.cpp, + qt/qscimacro.h, qt/qscintilla.pro, qt/qsciscintillabase.h, + qt/qsciscintillabase3.cpp, qt/qsciscintillabase3.h, + qt/qsciscintillabase4.cpp, qt/qsciscintillabase4.h: + Changes to QsciMacro so that it has a more consistent API across Qt3 + and Qt4. Backported to Qt3 - doesn't yet build because Qt3 qmake + doesn't understand the preprocessor. + [910b415ec4a8] + +2006-07-27 phil + + * build.py, designer/qscintillaplugin.cpp, example-Qt3/README, + example-Qt4/README, lib/README, lib/README.doc, lib/qscintilla.dxy, + qt/qscintilla.pro: + Updated the documentation. + [7774f3e87003] + +2006-07-26 phil + + * Makefile, Python/configure.py, Python/qsciapis.sip, + Python/qscicommand.sip, Python/qscicommandset.sip, + Python/qscidocument.sip, Python/qscilexer.sip, + Python/qscilexerbash.sip, Python/qscilexerbatch.sip, + Python/qscilexercpp.sip, Python/qscilexercsharp.sip, + Python/qscilexercss.sip, Python/qscilexerdiff.sip, + Python/qscilexerhtml.sip, Python/qscilexeridl.sip, + Python/qscilexerjava.sip, Python/qscilexerjavascript.sip, + Python/qscilexerlua.sip, Python/qscilexermakefile.sip, + Python/qscilexerperl.sip, Python/qscilexerpov.sip, + Python/qscilexerproperties.sip, Python/qscilexerpython.sip, + Python/qscilexerruby.sip, Python/qscilexersql.sip, + Python/qscilexertex.sip, Python/qscimacro.sip, Python/qscimod4.sip, + Python/qscimodcommon.sip, Python/qsciprinter.sip, + Python/qsciscintilla.sip, Python/qsciscintillabase4.sip, + Python/qscitypes.sip, Python/sip/qsciapis.sip, + Python/sip/qscicommand.sip, Python/sip/qscicommandset.sip, + Python/sip/qscidocument.sip, Python/sip/qscilexer.sip, + Python/sip/qscilexerbash.sip, Python/sip/qscilexerbatch.sip, + Python/sip/qscilexercpp.sip, Python/sip/qscilexercsharp.sip, + Python/sip/qscilexercss.sip, Python/sip/qscilexerdiff.sip, + Python/sip/qscilexerhtml.sip, Python/sip/qscilexeridl.sip, + Python/sip/qscilexerjava.sip, Python/sip/qscilexerjavascript.sip, + Python/sip/qscilexerlua.sip, Python/sip/qscilexermakefile.sip, + Python/sip/qscilexerperl.sip, Python/sip/qscilexerpov.sip, + Python/sip/qscilexerproperties.sip, Python/sip/qscilexerpython.sip, + Python/sip/qscilexerruby.sip, Python/sip/qscilexersql.sip, + Python/sip/qscilexertex.sip, Python/sip/qscimacro.sip, + Python/sip/qscimod4.sip, Python/sip/qscimodcommon.sip, + Python/sip/qsciprinter.sip, Python/sip/qsciscintilla.sip, + Python/sip/qsciscintillabase4.sip, Python/sip/qscitypes.sip, + build.py, lib/LICENSE.edu, lib/LICENSE.edu.short, lib/README.MacOS: + Changed the build system to add the Python bindings. + [8a56c38c418b] + + * Python/configure.py, Python/qscicommandset.sip, + Python/qscilexerruby.sip, Python/qscilexertex.sip, + Python/qscimod4.sip, Python/qsciscintilla.sip, + Python/qsciscintillabase4.sip, Python/qscitypes.sip: + Debugged the Python bindings - not yet part of the snapshots. + [8e348d9c7d38] + +2006-07-25 phil + + * Python/qsciapis.sip, Python/qscicommand.sip, + Python/qscicommandset.sip, Python/qscidocument.sip, + Python/qscilexer.sip, Python/qscilexerbash.sip, + Python/qscilexerbatch.sip, Python/qscilexercpp.sip, + Python/qscilexercsharp.sip, Python/qscilexercss.sip, + Python/qscilexerdiff.sip, Python/qscilexerhtml.sip, + Python/qscilexeridl.sip, Python/qscilexerjava.sip, + Python/qscilexerjavascript.sip, Python/qscilexerlua.sip, + Python/qscilexermakefile.sip, Python/qscilexerperl.sip, + Python/qscilexerpov.sip, Python/qscilexerproperties.sip, + Python/qscilexerpython.sip, Python/qscilexerruby.sip, + Python/qscilexersql.sip, Python/qscilexertex.sip, + Python/qscimacro.sip, Python/qscimod4.sip, Python/qscimodcommon.sip, + Python/qsciprinter.sip, Python/qsciscintilla.sip, + Python/qsciscintillabase4.sip, Python/qscitypes.sip, qt/qsciapis.h, + qt/qsciglobal.h, qt/qscilexer.h, qt/qscilexerbash.h, + qt/qscilexercpp.h, qt/qscilexerperl.h, qt/qscilexerpython.h, + qt/qscilexersql.h, qt/qsciprinter.h, qt/qsciscintilla.h: + Ported the .sip files from v1. (Not yet part of the snapshot.) + [c03807f9fbab] + + * Makefile, qt/qscintilla-Qt4.pro, qt/qscintilla.pro: + The .pro file should now work with both Qt v3 and v4. + [c99aec4ce73d] + + * Makefile, qt/qscintilla-Qt4.pro, qt/qscintilla.pro, + qt/qsciscintillabase.cpp, qt/qsciscintillabase.h, + qt/qsciscintillabase4.cpp, qt/qsciscintillabase4.h: + Some file reorganisation for when the backport to Qt3 is done. + [c97fb1bdc0e5] + + * qt/qscicommand.cpp, qt/qscicommandset.cpp, qt/qscidocument.cpp, + qt/qscimacro.cpp, qt/qscintilla.pro, qt/qsciprinter.cpp, + qt/qsciscintilla.cpp, qt/qsciscintilla.h, qt/qsciscintillabase.cpp, + qt/qsciscintillabase.h, qt/qscitypes.h: + Moved the Scintilla API enums out of QsciScintillaBase and into the + new QsciTypes namespace. + [6de0ac19e4df] + + * qt/qsciscintillabase.cpp, qt/qsciscintillabase.h: + Triple clicking now works. + [8ef632d89147] + +2006-07-23 phil + + * qt/qsciscintillabase.cpp: + Fixed incorrect selection after dropping text. + [4c62275c39f4] + + * qt/ScintillaQt.cpp, qt/ScintillaQt.h, qt/qsciscintillabase.cpp: + Dropping text seems (mostly) to work. + [7acc97948229] + +2006-07-22 phil + + * qt/PlatQt.cpp, qt/ScintillaQt.cpp, qt/ScintillaQt.h, + qt/qsciscintillabase.cpp, qt/qsciscintillabase.h: + Scrollbars now work. The context menu now works. The clipboard and + mouse selection now works. Dragging to external windows now works + (but not dropping). + [73995ec258cd] + +2006-07-18 phil + + * example-Qt4/mainwindow.cpp, example-Qt4/mainwindow.h, qt/PlatQt.cpp, + qt/qextscintillalexerbash.cxx, qt/qextscintillalexerbash.h, + qt/qextscintillalexerbatch.cxx, qt/qextscintillalexerbatch.h, + qt/qextscintillalexercpp.cxx, qt/qextscintillalexercpp.h, + qt/qextscintillalexercsharp.cxx, qt/qextscintillalexercsharp.h, + qt/qextscintillalexercss.cxx, qt/qextscintillalexercss.h, + qt/qextscintillalexerdiff.cxx, qt/qextscintillalexerdiff.h, + qt/qextscintillalexerhtml.cxx, qt/qextscintillalexerhtml.h, + qt/qextscintillalexeridl.cxx, qt/qextscintillalexeridl.h, + qt/qextscintillalexerjava.cxx, qt/qextscintillalexerjava.h, + qt/qextscintillalexerjavascript.cxx, + qt/qextscintillalexerjavascript.h, qt/qextscintillalexerlua.cxx, + qt/qextscintillalexerlua.h, qt/qextscintillalexermakefile.cxx, + qt/qextscintillalexermakefile.h, qt/qextscintillalexerperl.cxx, + qt/qextscintillalexerperl.h, qt/qextscintillalexerpov.cxx, + qt/qextscintillalexerpov.h, qt/qextscintillalexerproperties.cxx, + qt/qextscintillalexerproperties.h, qt/qextscintillalexerpython.cxx, + qt/qextscintillalexerpython.h, qt/qextscintillalexerruby.cxx, + qt/qextscintillalexerruby.h, qt/qextscintillalexersql.cxx, + qt/qextscintillalexersql.h, qt/qextscintillalexertex.cxx, + qt/qextscintillalexertex.h, qt/qextscintillamacro.cxx, + qt/qextscintillamacro.h, qt/qextscintillaprinter.cxx, + qt/qextscintillaprinter.h, qt/qsciapis.h, qt/qscicommand.h, + qt/qscilexer.h, qt/qscilexerbash.cpp, qt/qscilexerbash.h, + qt/qscilexerbatch.cpp, qt/qscilexerbatch.h, qt/qscilexercpp.cpp, + qt/qscilexercpp.h, qt/qscilexercsharp.cpp, qt/qscilexercsharp.h, + qt/qscilexercss.cpp, qt/qscilexercss.h, qt/qscilexerdiff.cpp, + qt/qscilexerdiff.h, qt/qscilexerhtml.cpp, qt/qscilexerhtml.h, + qt/qscilexeridl.cpp, qt/qscilexeridl.h, qt/qscilexerjava.cpp, + qt/qscilexerjava.h, qt/qscilexerjavascript.cpp, + qt/qscilexerjavascript.h, qt/qscilexerlua.cpp, qt/qscilexerlua.h, + qt/qscilexermakefile.cpp, qt/qscilexermakefile.h, + qt/qscilexerperl.cpp, qt/qscilexerperl.h, qt/qscilexerpov.cpp, + qt/qscilexerpov.h, qt/qscilexerproperties.cpp, + qt/qscilexerproperties.h, qt/qscilexerpython.cpp, + qt/qscilexerpython.h, qt/qscilexerruby.cpp, qt/qscilexerruby.h, + qt/qscilexersql.cpp, qt/qscilexersql.h, qt/qscilexertex.cpp, + qt/qscilexertex.h, qt/qscimacro.cpp, qt/qscimacro.h, + qt/qscintilla.pro, qt/qsciprinter.cpp, qt/qsciprinter.h, + qt/qsciscintilla.h: + Ported the rest of the API to Qt4. Finished porting the example to + Qt4. + [de0ede6bbcf5] + +2006-07-17 phil + + * qt/qextscintilla.cxx, qt/qextscintilla.h, qt/qextscintillaapis.cxx, + qt/qextscintillaapis.h, qt/qextscintillacommand.cxx, + qt/qextscintillacommand.h, qt/qextscintillacommandset.cxx, + qt/qextscintillacommandset.h, qt/qextscintilladocument.cxx, + qt/qextscintilladocument.h, qt/qextscintillalexer.cxx, + qt/qextscintillalexer.h, qt/qsciapis.cpp, qt/qsciapis.h, + qt/qscicommand.cpp, qt/qscicommand.h, qt/qscicommandset.cpp, + qt/qscicommandset.h, qt/qscidocument.cpp, qt/qscidocument.h, + qt/qscilexer.cpp, qt/qscilexer.h, qt/qscintilla.pro, + qt/qsciscintilla.cpp, qt/qsciscintilla.h: + More porting to Qt4 - just the lexers remaining. + [07158797bcf2] + + * qt/ListBoxQt.cpp, qt/PlatQt.cpp, qt/SciClasses.cpp, + qt/ScintillaQt.cpp, qt/qscintilla.pro, qt/qsciscintillabase.cpp: + Further Qt4 changes so that Q3Support is no longer needed. + [cb3ca2aee49e] + + * qt/ListBoxQt.cpp, qt/ListBoxQt.h, qt/PlatQt.cpp, qt/SciClasses.cpp, + qt/SciClasses.h, qt/SciListBox.cxx, qt/SciListBox.h, + qt/ScintillaQt.cpp, qt/ScintillaQt.h, qt/qscintilla.pro, + qt/qsciscintillabase.cpp, qt/qsciscintillabase.h: + Ported the auto-completion list implementation to Qt4. + [1d0d07f7ba3b] + +2006-07-16 phil + + * qt/PlatQt.cpp, qt/qsciscintillabase.cpp, qt/qsciscintillabase.h: + Drawing now seems Ok. Keyboard support now seems Ok. Start of the + mouse support. + [20a223c3f57e] + +2006-07-12 phil + + * include/Platform.h, qt/PlatQt.cpp, qt/ScintillaQt.cpp: + Painting now seems to happen only within paint events - but + incorrectly. + [a60a10298391] + + * qt/PlatQt.cpp, qt/PlatQt.cxx, qt/ScintillaQt.cpp, + qt/ScintillaQt.cxx, qt/ScintillaQt.h, qt/qscintilla.pro: + Recoded the implementation of surfaces so that painters are only + active during paint events. Not yet debugged. + [d0d91ae8e514] + + * build.py, qt/PlatQt.cxx, qt/ScintillaQt.cxx, qt/ScintillaQt.h, + qt/qsciscintillabase.cpp, qt/qsciscintillabase.h: + Recoded the handling of key presses so that it doesn't use any Qt3 + specific features and should be backported to QScintilla v1. It also + should work better in Unicode mode. + [c2b96d686ee6] + +2006-07-11 phil + + * Makefile, build.py, example-Qt3/README, example-Qt3/application.cpp, + example-Qt3/application.h, example-Qt3/application.pro, example- + Qt3/fileopen.xpm, example-Qt3/fileprint.xpm, example- + Qt3/filesave.xpm, example-Qt3/main.cpp, example-Qt4/README, example- + Qt4/application.pro, example-Qt4/application.qrc, example- + Qt4/images/copy.png, example-Qt4/images/cut.png, example- + Qt4/images/new.png, example-Qt4/images/open.png, example- + Qt4/images/paste.png, example-Qt4/images/save.png, example- + Qt4/main.cpp, example-Qt4/mainwindow.cpp, example-Qt4/mainwindow.h, + example/README, example/application.cpp, example/application.h, + example/application.pro, example/fileopen.xpm, + example/fileprint.xpm, example/filesave.xpm, example/main.cpp, + qt/PlatQt.cxx, qt/SciListBox.cxx, qt/SciListBox.h, + qt/ScintillaQt.cxx, qt/ScintillaQt.h, qt/qextscintilla.cxx, + qt/qextscintillabase.cxx, qt/qextscintillabase.h, + qt/qextscintillaglobal.h, qt/qsciglobal.h, qt/qscintilla.pro, + qt/qsciscintillabase.cpp, qt/qsciscintillabase.h: + Whole raft of changes starting QScintilla2. + [7f0bd20f2f83] + +2006-07-09 phil + + * qt/qscintilla_de.qm, qt/qscintilla_de.ts, qt/qscintilla_fr.ts, + qt/qscintilla_ptbr.ts, qt/qscintilla_ru.ts: + Updated translations from Detlev. + [c04c167d802e] + +2006-07-08 phil + + * NEWS, qt/qextscintilla.cxx, qt/qextscintilla.h: + Added QextScintilla::isCallTipActive(). + [1f7dcb40db25] + + * lib/LICENSE.commercial.short, lib/LICENSE.edu.short, + lib/LICENSE.gpl.short, qt/qextscintilla.cxx: + Changed the autoindentation to be slightly cleverer when handling + Python. If a lexer does not define block end words then a block + start word is ignored unless it is the last significant word in a + line. + [d5813c13f5da] + +2006-07-02 phil + + * qt/PlatQt.cxx: + Possibly fixed a possible problem with double clicking under + Windows. + [271141bb2b43] + + * NEWS, qt/ScintillaQt.cxx, qt/qextscintilla.cxx, qt/qextscintilla.h: + Added setWrapVisualFlags(), WrapMode::WrapCharacter, WrapVisualFlag + to QextScintilla. The layout cache is now set according to the wrap + mode. Setting a wrap mode now disables the horizontal scrollbar. + [a498b86e7999] + +2006-07-01 phil + + * NEWS, qt/qextscintilla.cxx, qt/qextscintilla.h: + Added cancelList(), firstVisibleLine(), isListActive(), + showUserList(), textHeight() and userListActivated() to + QextScintilla. + [058c7be4bdfe] + + * qt/qextscintilla.cxx: + Auto-completion changed so that subsequent start characters cause + the list to be re-created (containing a subset of the previous one). + [5b534658e638] + +2006-06-28 phil + + * NEWS, qt/SciListBox.cxx, qt/qextscintilla.cxx, qt/qextscintilla.h, + qt/qextscintillaapis.cxx, qt/qextscintillaapis.h, + qt/qextscintillalexer.cxx, qt/qextscintillalexer.h, + qt/qextscintillalexerpython.cxx, qt/qextscintillalexerpython.h: + Handle Key_Enter the same as Key_Return. QextScintilla::foldAll() + can now optionally fold all child fold points. Added + autoCompleteFromAll() and setAutoCompletionStartCharacters() to + QextScintilla. Vastly improved the way auto-completion and call tips + work. + [8b0472aaed61] + +2006-06-25 phil + + * qt/qextscintilla.cxx, qt/qextscintillabase.cxx, + qt/qextscintillalexer.cxx: + The default fore and background colours now default to the + application palette rather than being hardcoded to black and white. + [6cb6b5bef5fc] + + * NEWS, qt/qextscintilla.cxx, qt/qextscintilla.h, + qt/qextscintillalexer.cxx, qt/qextscintillalexer.h: + Added defaultColor() and setDefaultColor() to QextScintillaLexer. + Added color() and setColor() to QextScintilla. Renamed eraseColor() + and setEraseColor() to paper() and setPaper() in QextScintilla. + [c1fbfc192235] + + * NEWS, qt/SciListBox.cxx, qt/qextscintilla.cxx, qt/qextscintilla.h, + qt/qextscintillaapis.cxx, qt/qextscintillaapis.h, + qt/qextscintillabase.h, qt/qextscintillalexer.cxx, + qt/qextscintillalexer.h: + Added a couple of extra SendScintilla overloads. One is needed for + PyQt because of the change in SIP's handling of unsigned values. The + other is needed to solve C++ problems caused by the first. + Autocompletion list entries from APIs may now contain spaces. Added + defaultPaper() and setDefaultPaper() to QextScintillaLexer. Added + eraseColor() and setEraseColor() to QextScintilla. + [34f527ca0f99] + +2006-06-21 phil + + * qt/qextscintilla.cxx, qt/qextscintillalexer.cxx, + qt/qextscintillalexer.h, qt/qextscintillalexerhtml.cxx, + qt/qextscintillalexerhtml.h: + Removed QextScintillaLexer::styleBits() now that + SCI_GETSTYLEBITSNEEDED is available. + [1c6837500560] + + * NEWS, qt/PlatQt.cxx, qt/qextscintilla.cxx, qt/qextscintilla.h: + QextScintilla::setSelectionBackgroundColor(), + QextScintilla::setMarkerBackgroundColor() and + QextScintilla::setCaretLineBackgroundColor() now respect the alpha + component. + [48bae1fffe85] + +2006-06-20 phil + + * NEWS, doc/ScintillaDoc.html, doc/ScintillaDownload.html, + doc/ScintillaHistory.html, doc/index.html, gtk/Converter.h, + gtk/PlatGTK.cxx, gtk/ScintillaGTK.cxx, include/Scintilla.h, + include/Scintilla.iface, qt/qextscintillabase.h, + qt/qextscintillalexerpython.h, src/Editor.cxx, src/Editor.h, + src/ViewStyle.cxx, src/ViewStyle.h, version.txt, win32/ScintRes.rc, + win32/ScintillaWin.cxx: + Merged Scintilla v1.70. + [03ac3edd5dd2] + +2006-06-19 phil + + * qt/qextscintillabase.h, qt/qextscintillalexerlua.h, + qt/qextscintillalexerruby.cxx, qt/qextscintillalexerruby.h, + qt/qextscintillalexersql.h: + Significant, and incompatible, updates to the QextScintillaLexerRuby + class. + [0484fe132d0c] + + * src/PropSet.cxx: + Fix for qsort helpers linkage from Ulli. (Patch sent upstream.) + [2307adf67045] + +2006-06-18 phil + + * qt/qextscintillalexerpython.cxx, qt/qextscintillalexerpython.h: + Ctrl-D is now duplicate selection rather than duplicate line. + Updated the Python lexer to add support for hightlighted identifiers + and decorators. + [52ca24a722ac] + + * qt/qextscintillabase.h, qt/qextscintillacommandset.cxx, + qt/qextscintillalexer.h, qt/qextscintillalexerbash.h, + qt/qextscintillalexerbatch.h, qt/qextscintillalexercpp.h, + qt/qextscintillalexercsharp.h, qt/qextscintillalexercss.h, + qt/qextscintillalexerhtml.h, qt/qextscintillalexeridl.h, + qt/qextscintillalexerjava.h, qt/qextscintillalexerjavascript.h, + qt/qextscintillalexerlua.h, qt/qextscintillalexerperl.h, + qt/qextscintillalexerpov.h, qt/qextscintillalexerpython.h, + qt/qextscintillalexerruby.h, qt/qextscintillalexersql.h, + qt/qextscintillalexertex.h, qt/qscintilla.pro: + Added the Scintilla 1.69 extensions to the low level API. + [e89b98aaaa33] + + * .repoman, build.py, doc/Icons.html, doc/ScintillaDoc.html, + doc/ScintillaDownload.html, doc/ScintillaHistory.html, + doc/ScintillaRelated.html, doc/ScintillaToDo.html, doc/index.html, + gtk/PlatGTK.cxx, gtk/ScintillaGTK.cxx, gtk/deps.mak, gtk/makefile, + gtk/scintilla.mak, include/HFacer.py, include/KeyWords.h, + include/Platform.h, include/PropSet.h, include/SciLexer.h, + include/Scintilla.h, include/Scintilla.iface, + include/ScintillaWidget.h, qt/PlatQt.cxx, qt/ScintillaQt.h, + qt/qscintilla.pro, src/CallTip.cxx, src/CallTip.h, + src/CellBuffer.cxx, src/CellBuffer.h, src/CharClassify.cxx, + src/CharClassify.h, src/ContractionState.cxx, src/Document.cxx, + src/Document.h, src/DocumentAccessor.cxx, src/Editor.cxx, + src/Editor.h, src/ExternalLexer.cxx, src/Indicator.cxx, + src/KeyMap.cxx, src/KeyWords.cxx, src/LexAU3.cxx, src/LexBash.cxx, + src/LexBasic.cxx, src/LexCPP.cxx, src/LexCaml.cxx, + src/LexCsound.cxx, src/LexEiffel.cxx, src/LexGen.py, + src/LexGui4Cli.cxx, src/LexHTML.cxx, src/LexInno.cxx, + src/LexLua.cxx, src/LexMSSQL.cxx, src/LexOpal.cxx, + src/LexOthers.cxx, src/LexPOV.cxx, src/LexPython.cxx, + src/LexRuby.cxx, src/LexSQL.cxx, src/LexSpice.cxx, src/LexTCL.cxx, + src/LexVB.cxx, src/LineMarker.h, src/PropSet.cxx, src/RESearch.cxx, + src/RESearch.h, src/ScintillaBase.cxx, src/StyleContext.h, + src/ViewStyle.cxx, src/ViewStyle.h, src/XPM.cxx, + vcbuild/SciLexer.dsp, version.txt, win32/PlatWin.cxx, + win32/ScintRes.rc, win32/ScintillaWin.cxx, win32/deps.mak, + win32/makefile, win32/scintilla.mak, win32/scintilla_vc6.mak: + Removed the redundant .repoman file. Synced with Scintilla v1.69 + with only the minimal changes needed to compile it. + [6774f137c5a1] + +2006-06-17 phil + + * .repoman, License.txt, Makefile, NEWS, README, TODO, bin/empty.txt, + build.py, delbin.bat, delcvs.bat, designer/designer.pro, + designer/qscintillaplugin.cpp, doc/Design.html, doc/Lexer.txt, + doc/SciBreak.jpg, doc/SciCoding.html, doc/SciRest.jpg, + doc/SciTEIco.png, doc/SciWord.jpg, doc/ScintillaDoc.html, + doc/ScintillaDownload.html, doc/ScintillaHistory.html, + doc/ScintillaRelated.html, doc/ScintillaToDo.html, + doc/ScintillaUsage.html, doc/Steps.html, doc/index.html, + example/README, example/application.cpp, example/application.h, + example/application.pro, example/fileopen.xpm, + example/fileprint.xpm, example/filesave.xpm, example/main.cpp, + gtk/Converter.h, gtk/PlatGTK.cxx, gtk/ScintillaGTK.cxx, + gtk/deps.mak, gtk/makefile, gtk/scintilla-marshal.c, gtk/scintilla- + marshal.h, gtk/scintilla-marshal.list, gtk/scintilla.mak, + include/Accessor.h, include/Face.py, include/HFacer.py, + include/KeyWords.h, include/Platform.h, include/PropSet.h, + include/SString.h, include/SciLexer.h, include/Scintilla.h, + include/Scintilla.iface, include/ScintillaWidget.h, + include/WindowAccessor.h, lib/LICENSE.commercial, + lib/LICENSE.commercial.short, lib/LICENSE.edu, + lib/LICENSE.edu.short, lib/LICENSE.gpl, lib/LICENSE.gpl.short, + lib/README, lib/README.MacOS, lib/qscintilla.dxy, qt/PlatQt.cxx, + qt/SciListBox.cxx, qt/SciListBox.h, qt/ScintillaQt.cxx, + qt/ScintillaQt.h, qt/qextscintilla.cxx, qt/qextscintilla.h, + qt/qextscintillaapis.cxx, qt/qextscintillaapis.h, + qt/qextscintillabase.cxx, qt/qextscintillabase.h, + qt/qextscintillacommand.cxx, qt/qextscintillacommand.h, + qt/qextscintillacommandset.cxx, qt/qextscintillacommandset.h, + qt/qextscintilladocument.cxx, qt/qextscintilladocument.h, + qt/qextscintillaglobal.h, qt/qextscintillalexer.cxx, + qt/qextscintillalexer.h, qt/qextscintillalexerbash.cxx, + qt/qextscintillalexerbash.h, qt/qextscintillalexerbatch.cxx, + qt/qextscintillalexerbatch.h, qt/qextscintillalexercpp.cxx, + qt/qextscintillalexercpp.h, qt/qextscintillalexercsharp.cxx, + qt/qextscintillalexercsharp.h, qt/qextscintillalexercss.cxx, + qt/qextscintillalexercss.h, qt/qextscintillalexerdiff.cxx, + qt/qextscintillalexerdiff.h, qt/qextscintillalexerhtml.cxx, + qt/qextscintillalexerhtml.h, qt/qextscintillalexeridl.cxx, + qt/qextscintillalexeridl.h, qt/qextscintillalexerjava.cxx, + qt/qextscintillalexerjava.h, qt/qextscintillalexerjavascript.cxx, + qt/qextscintillalexerjavascript.h, qt/qextscintillalexerlua.cxx, + qt/qextscintillalexerlua.h, qt/qextscintillalexermakefile.cxx, + qt/qextscintillalexermakefile.h, qt/qextscintillalexerperl.cxx, + qt/qextscintillalexerperl.h, qt/qextscintillalexerpov.cxx, + qt/qextscintillalexerpov.h, qt/qextscintillalexerproperties.cxx, + qt/qextscintillalexerproperties.h, qt/qextscintillalexerpython.cxx, + qt/qextscintillalexerpython.h, qt/qextscintillalexerruby.cxx, + qt/qextscintillalexerruby.h, qt/qextscintillalexersql.cxx, + qt/qextscintillalexersql.h, qt/qextscintillalexertex.cxx, + qt/qextscintillalexertex.h, qt/qextscintillamacro.cxx, + qt/qextscintillamacro.h, qt/qextscintillaprinter.cxx, + qt/qextscintillaprinter.h, qt/qscintilla.pro, qt/qscintilla_de.qm, + qt/qscintilla_de.ts, qt/qscintilla_fr.qm, qt/qscintilla_fr.ts, + qt/qscintilla_ptbr.qm, qt/qscintilla_ptbr.ts, qt/qscintilla_ru.qm, + qt/qscintilla_ru.ts, src/AutoComplete.cxx, src/AutoComplete.h, + src/CallTip.cxx, src/CallTip.h, src/CellBuffer.cxx, + src/CellBuffer.h, src/ContractionState.cxx, src/ContractionState.h, + src/Document.cxx, src/Document.h, src/DocumentAccessor.cxx, + src/DocumentAccessor.h, src/Editor.cxx, src/Editor.h, + src/ExternalLexer.cxx, src/ExternalLexer.h, src/Indicator.cxx, + src/Indicator.h, src/KeyMap.cxx, src/KeyMap.h, src/KeyWords.cxx, + src/LexAPDL.cxx, src/LexAU3.cxx, src/LexAVE.cxx, src/LexAda.cxx, + src/LexAsm.cxx, src/LexAsn1.cxx, src/LexBaan.cxx, src/LexBash.cxx, + src/LexBasic.cxx, src/LexBullant.cxx, src/LexCLW.cxx, + src/LexCPP.cxx, src/LexCSS.cxx, src/LexCaml.cxx, src/LexConf.cxx, + src/LexCrontab.cxx, src/LexCsound.cxx, src/LexEScript.cxx, + src/LexEiffel.cxx, src/LexErlang.cxx, src/LexFlagship.cxx, + src/LexForth.cxx, src/LexFortran.cxx, src/LexGen.py, + src/LexGui4Cli.cxx, src/LexHTML.cxx, src/LexHaskell.cxx, + src/LexKix.cxx, src/LexLisp.cxx, src/LexLout.cxx, src/LexLua.cxx, + src/LexMMIXAL.cxx, src/LexMPT.cxx, src/LexMSSQL.cxx, + src/LexMatlab.cxx, src/LexMetapost.cxx, src/LexNsis.cxx, + src/LexOthers.cxx, src/LexPB.cxx, src/LexPOV.cxx, src/LexPS.cxx, + src/LexPascal.cxx, src/LexPerl.cxx, src/LexPython.cxx, + src/LexRebol.cxx, src/LexRuby.cxx, src/LexSQL.cxx, + src/LexScriptol.cxx, src/LexSmalltalk.cxx, src/LexSpecman.cxx, + src/LexTADS3.cxx, src/LexTeX.cxx, src/LexVB.cxx, src/LexVHDL.cxx, + src/LexVerilog.cxx, src/LexYAML.cxx, src/LineMarker.cxx, + src/LineMarker.h, src/PropSet.cxx, src/RESearch.cxx, src/RESearch.h, + src/SVector.h, src/SciTE.properties, src/ScintillaBase.cxx, + src/ScintillaBase.h, src/Style.cxx, src/Style.h, + src/StyleContext.cxx, src/StyleContext.h, src/UniConversion.cxx, + src/UniConversion.h, src/ViewStyle.cxx, src/ViewStyle.h, + src/WindowAccessor.cxx, src/XPM.cxx, src/XPM.h, tgzsrc, + vcbuild/SciLexer.dsp, version.txt, win32/Margin.cur, + win32/PlatWin.cxx, win32/PlatformRes.h, win32/SciTE.properties, + win32/ScintRes.rc, win32/Scintilla.def, win32/ScintillaWin.cxx, + win32/deps.mak, win32/makefile, win32/scintilla.mak, + win32/scintilla_vc6.mak, zipsrc.bat: + First import of QScintilla + [0521804cd44a] + diff -Nru qscintilla2-2.8.4+dfsg/debian/changelog qscintilla2-2.9+dfsg/debian/changelog --- qscintilla2-2.8.4+dfsg/debian/changelog 2015-05-04 18:51:54.000000000 +0000 +++ qscintilla2-2.9+dfsg/debian/changelog 2015-06-29 14:39:23.000000000 +0000 @@ -1,8 +1,57 @@ -qscintilla2 (2.8.4+dfsg-1build0.1) vivid; urgency=medium +qscintilla2 (2.9+dfsg-5) unstable; urgency=medium - * No-change rebuild to make python3-pyqt5.qsci working (LP: #1391056). + * Update symbols files from buildd build logs and try again + (Closes: #790420) + * Update libqt5scintilla2-l10n Suggests to libqt5scintilla2-12 - -- Dmitry Shachnev Mon, 04 May 2015 21:50:29 +0300 + -- Scott Kitterman Mon, 29 Jun 2015 10:39:03 -0400 + +qscintilla2 (2.9+dfsg-4) unstable; urgency=medium + + * Upload to unstable + * Re-add symbols files + * Correct exporting path to use pkg-kde-tools symbolshelper + + -- Scott Kitterman Mon, 29 Jun 2015 01:32:46 -0400 + +qscintilla2 (2.9+dfsg-3) experimental; urgency=medium + + * Correct library dependencies for libqt5scintilla2-designer, + python-qscintilla2, and python3-pyqt4.qsci (Closes: #790016) + * Start with empty symbols files to have experimental build logs for all + archs + + -- Scott Kitterman Mon, 22 Jun 2015 00:07:09 -0400 + +qscintilla2 (2.9+dfsg-2) experimental; urgency=medium + + [ Dmitry Shachnev ] + * Add an autopkgtest to check importability of Python modules. + + [ Scott Kitterman ] + * Add BSD license information for Python/configure.py to debian/copyright + * Add dh-python to build-depends + * Generate correctly versioned depends for python extensions + (Closes: #784091) + - Add symbols files for libqscintilla2 and libqt5scintilla2 + - Add pkg-kde-tools to build-depends + - Export path for symbolshelper in debian/rules + + -- Scott Kitterman Sun, 21 Jun 2015 14:29:13 -0400 + +qscintilla2 (2.9+dfsg-1) experimental; urgency=medium + + * New upstream release + - Repack tarball to remove sourcelss javascript files (doc/html-Qt4Qt5/ + jquery.js) + - Update debian/patches/configure.py-objdir-support.diff and debian/ + patches/remove-logo-privacy-issue.diff to match upstream changes + - Refresh other patches + - Update debian/copyright - Now GPLv3 only vice previous GPLv2/3 + * Bump libqt5scintilla2-12 and libqscintilla2-12 package names to match new + soname + + -- Scott Kitterman Mon, 15 Jun 2015 23:04:39 -0400 qscintilla2 (2.8.4+dfsg-1) unstable; urgency=medium diff -Nru qscintilla2-2.8.4+dfsg/debian/control qscintilla2-2.9+dfsg/debian/control --- qscintilla2-2.8.4+dfsg/debian/control 2014-09-22 02:21:48.000000000 +0000 +++ qscintilla2-2.9+dfsg/debian/control 2015-06-29 14:39:00.000000000 +0000 @@ -5,7 +5,9 @@ Gudjon I. Gudjonsson , Scott Kitterman Build-Depends: debhelper (>= 9), + dh-python, libqt4-dev (>= 4:4.8.0~), + pkg-kde-tools, pyqt5-dev, python-all-dbg, python-all-dev (>= 2.6.6-3~), @@ -31,7 +33,7 @@ Vcs-Svn: svn://anonscm.debian.org/python-modules/packages/qscintilla2/trunk/ Vcs-Browser: http://anonscm.debian.org/viewvc/python-modules/packages/qscintilla2/trunk/ -Package: libqscintilla2-11 +Package: libqscintilla2-12 Architecture: any Depends: libqscintilla2-l10n (>= ${source:Upstream-Version}), ${misc:Depends}, @@ -46,11 +48,11 @@ of proportional fonts, bold and italics, multiple foreground and background colours and multiple fonts. -Package: libqscintilla2-11-dbg +Package: libqscintilla2-12-dbg Priority: extra Section: debug Architecture: any -Depends: libqscintilla2-11 (= ${binary:Version}), +Depends: libqscintilla2-12 (= ${binary:Version}), ${misc:Depends} Description: Qt4 port of the Scintilla source code editing widget (debug) QScintilla is a text editor for Qt4 with features especially useful when @@ -63,7 +65,7 @@ . This package contains the debug extensions. -Package: libqt5scintilla2-11 +Package: libqt5scintilla2-12 Architecture: any Depends: libqt5scintilla2-l10n (>= ${source:Upstream-Version}), ${misc:Depends}, @@ -78,11 +80,11 @@ of proportional fonts, bold and italics, multiple foreground and background colours and multiple fonts. -Package: libqt5scintilla2-11-dbg +Package: libqt5scintilla2-12-dbg Priority: extra Section: debug Architecture: any -Depends: libqt5scintilla2-11 (= ${binary:Version}), +Depends: libqt5scintilla2-12 (= ${binary:Version}), ${misc:Depends} Description: Qt5 port of the Scintilla source code editing widget (debug) QScintilla is a text editor for Qt5 with features especially useful when @@ -98,7 +100,7 @@ Package: libqscintilla2-l10n Architecture: all Depends: ${misc:Depends} -Suggests: libqscintilla2-11 +Suggests: libqscintilla2-12 Replaces: libqscintilla2-8 Breaks: libqscintilla2-8 Description: Scintilla source code editing widget for Qt4, translation files @@ -111,7 +113,7 @@ Package: libqt5scintilla2-l10n Architecture: all Depends: ${misc:Depends} -Suggests: libqt5scintilla2-11 +Suggests: libqt5scintilla2-12 Description: Scintilla source code editing widget for Qt5, translation files QScintilla is a text editor for Qt5 with features especially useful when writing and debugging source code. These include support for syntax @@ -184,8 +186,8 @@ Package: libqscintilla2-dev Section: libdevel Architecture: all -Depends: libqscintilla2-11 (<< ${source:Upstream-Version}+1~), - libqscintilla2-11 (>= ${source:Upstream-Version}), +Depends: libqscintilla2-12 (<< ${source:Upstream-Version}+1~), + libqscintilla2-12 (>= ${source:Upstream-Version}), libqt4-dev, ${misc:Depends} Description: Scintilla source code editing widget for Qt4, development files @@ -199,8 +201,8 @@ Package: libqt5scintilla2-dev Section: libdevel Architecture: any -Depends: libqt5scintilla2-11 (<< ${source:Upstream-Version}+1~), - libqt5scintilla2-11 (>= ${source:Upstream-Version}), +Depends: libqt5scintilla2-12 (<< ${source:Upstream-Version}+1~), + libqt5scintilla2-12 (>= ${source:Upstream-Version}), qtbase5-dev, ${misc:Depends} Description: Scintilla source code editing widget for Qt5, development files diff -Nru qscintilla2-2.8.4+dfsg/debian/copyright qscintilla2-2.9+dfsg/debian/copyright --- qscintilla2-2.8.4+dfsg/debian/copyright 2014-09-22 02:08:06.000000000 +0000 +++ qscintilla2-2.9+dfsg/debian/copyright 2015-06-21 05:16:01.000000000 +0000 @@ -8,7 +8,7 @@ Upstream Authors: - QScintilla 2 (under Qt3/, Qt4Qt5/, Python/ designer-Qt*/ and doc/html-Qt*/): + QScintilla 2 (under Qt4Qt5/, Python/ designer-Qt*/ and doc/html-Qt*/): Phil Thompson Riverbank Computing Limited @@ -17,8 +17,8 @@ Copyright: - QScintilla 2 (under Qt3/, Qt4Qt5/, Python/ designer-Qt*/ and doc/html-Qt*/): - Copyright (c) 2014 Riverbank Computing Limited + QScintilla 2 (under Qt4Qt5/, Python/ designer-Qt*/ and doc/html-Qt*/): + Copyright (c) 2015 Riverbank Computing Limited Scintilla (under src/ include/ lexers/ lexlib/ and doc/Scintilla): Copyright 1998-2013 by Neil Hodgson @@ -59,37 +59,52 @@ License: -QScintilla 2 (under Qt3/, Qt4/ and Python/): +QScintilla 2 (under Qt4Qt5/ and Python/): - This file may be used under the terms of the GNU General Public - License versions 2.0 or 3.0 as published by the Free Software - Foundation and appearing in the files LICENSE.GPL2 and LICENSE.GPL3 - included in the packaging of this file. Alternatively you may (at - your option) use any later version of the GNU General Public - License if such license has been publicly approved by Riverbank - Computing Limited (or its successors, if any) and the KDE Free Qt - Foundation. In addition, as a special exception, Riverbank gives you - certain additional rights. These rights are described in the Riverbank - GPL Exception version 1.1, which can be found in the file - GPL_EXCEPTION.txt in this package. - - Please review the following information to ensure GNU General - Public Licensing requirements will be met: - http://trolltech.com/products/qt/licenses/licensing/opensource/. If - you are unsure which license is appropriate for your use, please - review the following information: - http://trolltech.com/products/qt/licenses/licensing/licensingoverview - or contact the sales department at sales@riverbankcomputing.com. - - This file is provided "AS IS" with NO WARRANTY OF ANY KIND, - INCLUDING THE WARRANTIES OF DESIGN, MERCHANTABILITY AND FITNESS FOR - A PARTICULAR PURPOSE. Trolltech reserves all rights not expressly - granted herein. +// Copyright (c) 2015 Riverbank Computing Limited +// +// This file is part of QScintilla. +// +// This file may be used under the terms of the GNU General Public License +// version 3.0 as published by the Free Software Foundation and appearing in +// the file LICENSE included in the packaging of this file. Please review the +// following information to ensure the GNU General Public License version 3.0 +// requirements will be met: http://www.gnu.org/copyleft/gpl.html. +// +// If you do not wish to use this file under the terms of the GPL version 3.0 +// then you may purchase a commercial license. For more information contact +// info@riverbankcomputing.com. +// +// This file is provided AS IS with NO WARRANTY OF ANY KIND, INCLUDING THE +// WARRANTY OF DESIGN, MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE. - This file is provided AS IS with NO WARRANTY OF ANY KIND, INCLUDING THE - WARRANTY OF DESIGN, MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE. +On Debian systems, the complete text of the GNU General Public License +Version 3 can be found in `/usr/share/common-licenses/GPL-3'. + +Python/configure.py + +# Redistribution and use in source and binary forms, with or without +# modification, are permitted provided that the following conditions are met: +# +# 1. Redistributions of source code must retain the above copyright notice, +# this list of conditions and the following disclaimer. +# +# 2. Redistributions in binary form must reproduce the above copyright notice, +# this list of conditions and the following disclaimer in the documentation +# and/or other materials provided with the distribution. +# +# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +# AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +# IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +# ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE +# LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +# CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +# SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +# INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +# CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +# ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +# POSSIBILITY OF SUCH DAMAGE. -See GPL_EXCEPTION.txt and GPL_EXCEPTION_ADDENDUM.TXT below Scintilla (under src/ include/) @@ -109,356 +124,7 @@ TORTIOUS ACTION, ARISING OUT OF OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. -On Debian systems, the complete text of the GNU General Public License -can be found in `/usr/share/common-licenses/GPL-2' and -`/usr/share/common-licenses/GPL-3'. - The Debian packaging is (C) 2007, Torsten Marek and is licensed under the GPL, see `/usr/share/common-licenses/GPL-3'. - -GPL_EXCEPTION.txt - -Riverbank GPL Exception version 1.1 -=================================== - -Additional rights granted beyond the GPL (the "Exception"). - -As a special exception to the terms and conditions of version 2.0 of the GPL, -Riverbank hereby grants you the rights described below, provided you agree to -the terms and conditions in this Exception, including its obligations and -restrictions on use. - -Nothing in this Exception gives you or anyone else the right to change the -licensing terms of the QScintilla Open Source Edition. - -Below, "Licensed Software" shall refer to the software licensed under the GPL -and this exception. - -1) The right to use Open Source Licenses not compatible with the GNU -General Public License: Your software (hereafter referred to as "Your -Software") may import the Licensed Software and/or distribute binaries of Your -Software that imports the Licensed Software, provided that: - -A) Your Software is licensed under one of the following licenses: - - -License name Version(s)/Copyright Date -Academic Free License 2.0, 2.1, 3.0 -Apache Software License 1.0 or 1.1 -Apache License 2.0 -Apple Public Source License 2.0 -Artistic license From Perl 5.8.0 -BSD license "July 22 1999" -Common Development and - Distribution License (CDDL) 1.0 -Common Public License 1.0 -Eclipse Public License 1.0 -GNU Library or "Lesser" -General Public License (LGPL) 2.0 or 2.1 -Jabber Open Source License 1.0 -MIT License (as set forth in the addendum file) -Mozilla Public License (MPL) 1.0 or 1.1 -Open Software License 2.0, 3.0 -OpenSSL license (with original -SSLeay license) "2003" ("1998") -PHP License 3.0 -Python license (CNRI Python License) (as set forth in the addendum file) -Python Software Foundation License 2.1.1 -Q Public License v1.0 -Sleepycat License "1999" -W3C License "2001" -X11 License X11R6.6 -Zlib/libpng License (as set forth in the addendum file) -Zope Public License 2.0, 2.1 - - -(Licenses without a specific version number or date are reproduced -in the file GPL_Exception_Addendum.txt in your source package). - - -and - -B) You must, on request, make a complete package including - the complete source code of Your Software (as defined - in the GNU General Public License version 2, section 3, - but excluding anything excluded by the special - exception in the same section) available to Riverbank - under the same license as that granted to other - recipients of the source code of Your Software. - -and - -C) Your or any other contributor's rights to: - - i) distribute the source code of Your Software to anyone for - any purpose; - - and - - ii) publicly discuss the development project for Your - Software and its goals in any form and in any forum - -are not prohibited by any legal instrument, including but not limited to -contracts, non-disclosure agreements, and employee contracts. - - -2) The right to link non-Open Source applications with pre-installed versions of -the Licensed Software: You may link applications with binary pre-installed -versions of the Licensed Software, provided that such applications have been -developed and are deployed in accordance with the terms and conditions of the -QScintilla Commercial License Agreement. - - -GPL_EXCEPTION_ADDENDUM.TXT - - -Addendum to the Riverbank GPL Exception version 1.1 -=================================================== - - --------------------------------------------------------------------------- - - The "Artistic License" - - Preamble - -The intent of this document is to state the conditions under which a -Package may be copied, such that the Copyright Holder maintains some -semblance of artistic control over the development of the package, -while giving the users of the package the right to use and distribute -the Package in a more-or-less customary fashion, plus the right to make -reasonable modifications. - -Definitions: - - "Package" refers to the collection of files distributed by the - Copyright Holder, and derivatives of that collection of files - created through textual modification. - - "Standard Version" refers to such a Package if it has not been - modified, or has been modified in accordance with the wishes - of the Copyright Holder as specified below. - - "Copyright Holder" is whoever is named in the copyright or - copyrights for the package. - - "You" is you, if you're thinking about copying or distributing - this Package. - - "Reasonable copying fee" is whatever you can justify on the - basis of media cost, duplication charges, time of people involved, - and so on. (You will not be required to justify it to the - Copyright Holder, but only to the computing community at large - as a market that must bear the fee.) - - "Freely Available" means that no fee is charged for the item - itself, though there may be fees involved in handling the item. - It also means that recipients of the item may redistribute it - under the same conditions they received it. - -1. You may make and give away verbatim copies of the source form of the -Standard Version of this Package without restriction, provided that you -duplicate all of the original copyright notices and associated disclaimers. - -2. You may apply bug fixes, portability fixes and other modifications -derived from the Public Domain or from the Copyright Holder. A Package -modified in such a way shall still be considered the Standard Version. - -3. You may otherwise modify your copy of this Package in any way, provided -that you insert a prominent notice in each changed file stating how and -when you changed that file, and provided that you do at least ONE of the -following: - -a) place your modifications in the Public Domain or otherwise make them -Freely Available, such as by posting said modifications to Usenet or -an equivalent medium, or placing the modifications on a major archive -site such as uunet.uu.net, or by allowing the Copyright Holder to include -your modifications in the Standard Version of the Package. - -b) use the modified Package only within your corporation or organization. - -c) rename any non-standard executables so the names do not conflict -with standard executables, which must also be provided, and provide -a separate manual page for each non-standard executable that clearly -documents how it differs from the Standard Version. - -d) make other distribution arrangements with the Copyright Holder. - -4. You may distribute the programs of this Package in object code or -executable form, provided that you do at least ONE of the following: - -a) distribute a Standard Version of the executables and library files, -together with instructions (in the manual page or equivalent) on where -to get the Standard Version. - -b) accompany the distribution with the machine-readable source of -the Package with your modifications. - -c) give non-standard executables non-standard names, and clearly -document the differences in manual pages (or equivalent), together -with instructions on where to get the Standard Version. - -d) make other distribution arrangements with the Copyright Holder. - -5. You may charge a reasonable copying fee for any distribution of this -Package. You may charge any fee you choose for support of this -Package. You may not charge a fee for this Package itself. However, -you may distribute this Package in aggregate with other (possibly -commercial) programs as part of a larger (possibly commercial) software -distribution provided that you do not advertise this Package as a -product of your own. You may embed this Package's interpreter within -an executable of yours (by linking); this shall be construed as a mere -form of aggregation, provided that the complete Standard Version of the -interpreter is so embedded. - -6. The scripts and library files supplied as input to or produced as -output from the programs of this Package do not automatically fall -under the copyright of this Package, but belong to whoever generated -them, and may be sold commercially, and may be aggregated with this -Package. If such scripts or library files are aggregated with this -Package via the so-called "undump" or "unexec" methods of producing a -binary executable image, then distribution of such an image shall -neither be construed as a distribution of this Package nor shall it -fall under the restrictions of Paragraphs 3 and 4, provided that you do -not represent such an executable image as a Standard Version of this -Package. - -7. C subroutines (or comparably compiled subroutines in other -languages) supplied by you and linked into this Package in order to -emulate subroutines and variables of the language defined by this -Package shall not be considered part of this Package, but are the -equivalent of input as in Paragraph 6, provided these subroutines do -not change the language in any way that would cause it to fail the -regression tests for the language. - -8. Aggregation of this Package with a commercial distribution is always -permitted provided that the use of this Package is embedded; that is, -when no overt attempt is made to make this Package's interfaces visible -to the end user of the commercial distribution. Such use shall not be -construed as a distribution of this Package. - -9. The name of the Copyright Holder may not be used to endorse or promote -products derived from this software without specific prior written permission. - -10. THIS PACKAGE IS PROVIDED "AS IS" AND WITHOUT ANY EXPRESS OR -IMPLIED WARRANTIES, INCLUDING, WITHOUT LIMITATION, THE IMPLIED -WARRANTIES OF MERCHANTIBILITY AND FITNESS FOR A PARTICULAR PURPOSE. - - The End - --------------------------------------------------------------------------- - -MIT License - -Permission is hereby granted, free of charge, to any person obtaining a copy of -this software and associated documentation files (the "Software"), to deal in -the Software without restriction, including without limitation the rights to -use, copy, modify, merge, publish, distribute, sublicense, and/or sell copies of -the Software, and to permit persons to whom the Software is furnished to do so, -subject to the following conditions: - -The above copyright notice and this permission notice shall be included in all -copies or substantial portions of the Software. - -THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS -FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR -COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER -IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN -CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. - --------------------------------------------------------------------------- - -Python License (CNRI Python License) - -CNRI OPEN SOURCE LICENSE AGREEMENT - -IMPORTANT: PLEASE READ THE FOLLOWING AGREEMENT CAREFULLY. - -BY CLICKING ON "ACCEPT" WHERE INDICATED BELOW, OR BY COPYING, INSTALLING OR -OTHERWISE USING PYTHON 1.6, beta 1 SOFTWARE, YOU ARE DEEMED TO HAVE AGREED TO -THE TERMS AND CONDITIONS OF THIS LICENSE AGREEMENT. - -1. This LICENSE AGREEMENT is between the Corporation for National Research -Initiatives, having an office at 1895 Preston White Drive, Reston, VA 20191 -("CNRI"), and the Individual or Organization ("Licensee") accessing and -otherwise using Python 1.6, beta 1 software in source or binary form and its -associated documentation, as released at the www.python.org Internet site on -August 4, 2000 ("Python 1.6b1"). - -2. Subject to the terms and conditions of this License Agreement, CNRI hereby -grants Licensee a non-exclusive, royalty-free, world-wide license to reproduce, -analyze, test, perform and/or display publicly, prepare derivative works, -distribute, and otherwise use Python 1.6b1 alone or in any derivative version, -provided, however, that CNRIs License Agreement is retained in Python 1.6b1, -alone or in any derivative version prepared by Licensee. - -Alternately, in lieu of CNRIs License Agreement, Licensee may substitute the -following text (omitting the quotes): "Python 1.6, beta 1, is made available -subject to the terms and conditions in CNRIs License Agreement. This Agreement -may be located on the Internet using the following unique, persistent identifier -(known as a handle): 1895.22/1011. This Agreement may also be obtained from a -proxy server on the Internet using the URL:http://hdl.handle.net/1895.22/1011". - -3. In the event Licensee prepares a derivative work that is based on or -incorporates Python 1.6b1or any part thereof, and wants to make the derivative -work available to the public as provided herein, then Licensee hereby agrees to -indicate in any such work the nature of the modifications made to Python 1.6b1. - -4. CNRI is making Python 1.6b1 available to Licensee on an "AS IS" basis. CNRI -MAKES NO REPRESENTATIONS OR WARRANTIES, EXPRESS OR IMPLIED. BY WAY OF EXAMPLE, -BUT NOT LIMITATION, CNRI MAKES NO AND DISCLAIMS ANY REPRESENTATION OR WARRANTY -OF MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE OR THAT THE USE OF -PYTHON 1.6b1WILL NOT INFRINGE ANY THIRD PARTY RIGHTS. - -5. CNRI SHALL NOT BE LIABLE TO LICENSEE OR ANY OTHER USERS OF THE SOFTWARE FOR -ANY INCIDENTAL, SPECIAL, OR CONSEQUENTIAL DAMAGES OR LOSS AS A RESULT OF USING, -MODIFYING OR DISTRIBUTING PYTHON 1.6b1, OR ANY DERIVATIVE THEREOF, EVEN IF -ADVISED OF THE POSSIBILITY THEREOF. - -6. This License Agreement will automatically terminate upon a material breach of -its terms and conditions. - -7. This License Agreement shall be governed by and interpreted in all respects -by the law of the State of Virginia, excluding conflict of law provisions. -Nothing in this License Agreement shall be deemed to create any relationship of -agency, partnership, or joint venture between CNRI and Licensee. This License -Agreement does not grant permission to use CNRI trademarks or trade name in a -trademark sense to endorse or promote products or services of Licensee, or any -third party. - -8. By clicking on the "ACCEPT" button where indicated, or by copying, installing -or otherwise using Python 1.6b1, Licensee agrees to be bound by the terms and -conditions of this License Agreement. - - - -ACCEPT - --------------------------------------------------------------------------- - -The zlib/libpng License - -Copyright (c) - -This software is provided 'as-is', without any express or implied warranty. In -no event will the authors be held liable for any damages arising from the use of -this software. - -Permission is granted to anyone to use this software for any purpose, including -commercial applications, and to alter it and redistribute it freely, subject to -the following restrictions: - -1. The origin of this software must not be misrepresented; you must not claim -that you wrote the original software. If you use this software in a product, an -acknowledgment in the product documentation would be appreciated but is not -required. - -2. Altered source versions must be plainly marked as such, and must not be -misrepresented as being the original software. - -3. This notice may not be removed or altered from any source distribution. - --------------------------------------------------------------------------- diff -Nru qscintilla2-2.8.4+dfsg/debian/libqscintilla2-11.install qscintilla2-2.9+dfsg/debian/libqscintilla2-11.install --- qscintilla2-2.8.4+dfsg/debian/libqscintilla2-11.install 2014-09-22 02:08:06.000000000 +0000 +++ qscintilla2-2.9+dfsg/debian/libqscintilla2-11.install 1970-01-01 00:00:00.000000000 +0000 @@ -1 +0,0 @@ -usr/lib/*.so.* diff -Nru qscintilla2-2.8.4+dfsg/debian/libqscintilla2-12.install qscintilla2-2.9+dfsg/debian/libqscintilla2-12.install --- qscintilla2-2.8.4+dfsg/debian/libqscintilla2-12.install 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/debian/libqscintilla2-12.install 2015-06-16 03:03:37.000000000 +0000 @@ -0,0 +1 @@ +usr/lib/*.so.* diff -Nru qscintilla2-2.8.4+dfsg/debian/libqscintilla2-12.symbols qscintilla2-2.9+dfsg/debian/libqscintilla2-12.symbols --- qscintilla2-2.8.4+dfsg/debian/libqscintilla2-12.symbols 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/debian/libqscintilla2-12.symbols 2015-06-29 05:35:11.000000000 +0000 @@ -0,0 +1,8688 @@ +# SymbolsHelper-Confirmed: 2.9 amd64 armel armhf hurd-i386 i386 kfreebsd-i386 mips mipsel powerpc +libqscintilla2.so.12 libqscintilla2-12 #MINVER# + Scintilla_LinkLexers@Base 2.8.4 + UTF8BytesOfLead@Base 2.8.4 + _Z10FoldSMLDocjiiPP8WordListR8Accessor@Base 2.8.4 + _Z10HandleSkipRjjR8Accessor@Base 2.8.4 + _Z10HandleWordRjjR8AccessorPP8WordList@Base 2.8.4 + _Z10IsOperatori@Base 2.8.4 + (optional=templinst|subst)_Z10StringCopyIcL{size_t}200EEvRAT0__T_PKS0_@Base 2.9 + (optional=templinst|subst)_Z10StringCopyIcL{size_t}2EEvRAT0__T_PKS0_@Base 2.9 + (optional=templinst|subst)_Z10StringCopyIcL{size_t}7EEvRAT0__T_PKS0_@Base 2.9 + _Z10UTF8LengthPKwj@Base 2.8.4 + _Z10isoperatori@Base 2.8.4 + _Z10iswordchari@Base 2.8.4 + _Z11CaseConverti14CaseConversion@Base 2.8.4 + _Z11FoldCamlDocjiiPP8WordListR8Accessor@Base 2.8.4 + _Z11HandleSpaceRjjR8Accessor@Base 2.8.4 + _Z11IsLowerCasei@Base 2.8.4 + _Z11IsUpperCasei@Base 2.8.4 + _Z11MatchNoCaseR8AccessorRjPKc@Base 2.8.4 + (subst)_Z11UTF16LengthPKc{size_t}@Base 2.9 + _Z11UTF8IsAsciii@Base 2.8.4 + _Z11escapeValueh@Base 2.8.4 + _Z11isspacechari@Base 2.8.4 + _Z11iswordstarti@Base 2.8.4 + (optional=templinst)_Z11qLowerBoundI11QStringList7QStringENT_14const_iteratorERKS2_RKT0_@Base 2.8.4 + (optional=templinst)_Z11qMetaTypeIdI11QTextFormatEiPT_@Base 2.8.4 + _Z11qUncompressRK10QByteArray@Base 2.8.4 + _Z12ConverterFor14CaseConversion@Base 2.8.4 + _Z12DrawTabArrowP7Surface10PRectanglei@Base 2.8.4 + _Z12HandleStringRjjR8Accessor@Base 2.8.4 + _Z12IsAWordStarti@Base 2.8.4 + _Z12IsSpaceOrTabi@Base 2.8.4 + _Z12UTF8ClassifyPKhi@Base 2.8.4 + _Z12isGCOperatori@Base 2.8.4 + _Z13HandleIntegerRjjR8Accessor@Base 2.8.4 + _Z13InvertedLight13ColourDesired@Base 2.8.4 + _Z13IsALabelStarti@Base 2.8.4 + _Z13IsASpaceOrTabi@Base 2.8.4 + _Z13IsCssOperatori@Base 2.8.4 + _Z13IsLineEndCharc@Base 2.8.4 + _Z13MakeUpperCasec@Base 2.8.4 + (subst)_Z13UTF16FromUTF8PKc{size_t}Pw{size_t}@Base 2.9 + _Z13UTF32FromUTF8PKcjPjj@Base 2.9 + _Z13UTF8DrawBytesPKhi@Base 2.8.4 + _Z13UTF8FromUTF16PKwjPcj@Base 2.8.4 + _Z13isAveOperatorc@Base 2.8.4 + _Z13isTALoperatorc@Base 2.8.4 + _Z13isTALwordcharc@Base 2.8.4 + (optional=templinst)_Z13qvariant_castI11QTextFormatET_RK8QVariant@Base 2.8.4 + (subst)_Z14DrawStyledTextP7SurfaceRK9ViewStylei10PRectangleRK10StyledText{size_t}{size_t}9DrawPhase@Base 2.9 + _Z14DrawWrapMarkerP7Surface10PRectangleb13ColourDesired@Base 2.9 + _Z14IsAlphaNumerici@Base 2.8.4 + _Z14MatchUpperCaseR8AccessoriPKc@Base 2.8.4 + _Z14UTF8CharLengthh@Base 2.8.4 + _Z14isTACLoperatorc@Base 2.8.4 + _Z14isTACLwordcharc@Base 2.8.4 + _Z14isTALwordstartc@Base 2.8.4 + _Z15ColouriseSMLDocjiiPP8WordListR8Accessor@Base 2.8.4 + _Z15IsACommentStarti@Base 2.8.4 + _Z15IsAHexCharacterib@Base 2.8.4 + _Z15MatchIgnoreCaseR8AccessoriPKc@Base 2.8.4 + _Z15RoundXYPositionf@Base 2.9 + _Z15UTF8IsSeparatorPKh@Base 2.8.4 + _Z15UTF8IsTrailBytei@Base 2.8.4 + _Z15UnicodeFromUTF8PKh@Base 2.8.4 + (subst)_Z15ValidStyledTextRK9ViewStyle{size_t}RK10StyledText@Base 2.9 + _Z15WidestLineWidthP7SurfaceRK9ViewStyleiRK10StyledText@Base 2.9 + _Z15isCOBOLoperatorc@Base 2.8.4 + _Z15isCOBOLwordcharc@Base 2.8.4 + _Z15isTACLwordstartc@Base 2.8.4 + (optional=templinst)_Z15qMapLessThanKeyI7QStringEbRKT_S3_@Base 2.8.4 + (optional=templinst)_Z15qMapLessThanKeyIiEbRKT_S2_@Base 2.8.4 + _Z16ColouriseCamlDocjiiPP8WordListR8Accessor@Base 2.8.4 + _Z16GetNextWordUpperR8AccessorjiPc@Base 2.8.4 + _Z16isCOBOLwordstartc@Base 2.8.4 + _Z16isMMIXALOperatorc@Base 2.8.4 + (subst)_Z17CaseConvertStringPc{size_t}PKc{size_t}14CaseConversion@Base 2.8.4 + _Z17CreateRegexSearchP12CharClassify@Base 2.8.4 + _Z17HandleCommentLineRjjR8Accessorb@Base 2.8.4 + _Z17IsALabelCharacteri@Base 2.8.4 + _Z18HandleCommentBlockRjjR8Accessorb@Base 2.8.4 + _Z19CategoriseCharacteri@Base 2.8.4 + _Z19DrawTextNoClipPhaseP7Surface10PRectangleRK5StylefPKci9DrawPhase@Base 2.9 + _Z20qvariant_cast_helperRK8QVariantNS_4TypeEPv@Base 2.8.4 + _Z22CompareCaseInsensitivePKcS0_@Base 2.8.4 + _Z22ControlCharacterStringh@Base 2.8.4 + _Z22NestingLevelLookBehindjR8Accessor@Base 2.8.4 + (subst)_Z23CompareNCaseInsensitivePKcS0_{size_t}@Base 2.8.4 + _Z23IsANumericBaseCharacterib@Base 2.8.4 + _Z23SetNumericConstantStateR12StyleContext@Base 2.8.4 + _Z23UTF16FromUTF32CharacterjPw@Base 2.9 + _Z25UTF8BytesOfLeadInitialisev@Base 2.8.4 + (optional=templinst)_Z4qMaxIiERKT_S2_S2_@Base 2.8.4 + (optional=templinst|subst)_Z4qMaxI{size_t}ERKT_S2_S2_@Base 2.8.4 + (optional=templinst)_Z4qMinIiERKT_S2_S2_@Base 2.8.4 + _Z5IsEOLi@Base 2.8.4 + _Z5issmli@Base 2.8.4 + _Z5qRgbaiiii@Base 2.8.4 + _Z6iscamli@Base 2.8.4 + _Z6issmldi@Base 2.8.4 + _Z6issmlfi@Base 2.8.4 + _Z7IsASCIIi@Base 2.8.4 + _Z7IsAlphai@Base 2.8.4 + _Z7Maximumii@Base 2.8.4 + _Z7iscamlfi@Base 2.8.4 + _Z7qstrlenPKc@Base 2.8.4 + _Z7qt_noopv@Base 2.8.4 + _Z8IsADigiti@Base 2.8.4 + _Z8IsADigitii@Base 2.8.4 + _Z8IsASpacei@Base 2.8.4 + _Z9HandleParRjR8Accessor@Base 2.8.4 + _Z9UTF8IsNELPKh@Base 2.8.4 + _Z9qCompressRK10QByteArrayi@Base 2.8.4 + _ZN10CaseFolderC1Ev@Base 2.8.4 + _ZN10CaseFolderC2Ev@Base 2.8.4 + _ZN10CaseFolderD0Ev@Base 2.8.4 + _ZN10CaseFolderD1Ev@Base 2.8.4 + _ZN10CaseFolderD2Ev@Base 2.8.4 + _ZN10CellBuffer10InsertLineEiib@Base 2.8.4 + _ZN10CellBuffer10RemoveLineEi@Base 2.8.4 + _ZN10CellBuffer10SetPerLineEP7PerLine@Base 2.8.4 + _ZN10CellBuffer10SetStyleAtEic@Base 2.9 + _ZN10CellBuffer11DeleteCharsEiiRb@Base 2.8.4 + _ZN10CellBuffer11SetReadOnlyEb@Base 2.8.4 + _ZN10CellBuffer11SetStyleForEiic@Base 2.9 + _ZN10CellBuffer12InsertStringEiPKciRb@Base 2.8.4 + _ZN10CellBuffer12RangePointerEii@Base 2.8.4 + _ZN10CellBuffer12SetSavePointEv@Base 2.8.4 + _ZN10CellBuffer13AddUndoActionEib@Base 2.8.4 + _ZN10CellBuffer13BufferPointerEv@Base 2.8.4 + _ZN10CellBuffer13EndUndoActionEv@Base 2.8.4 + _ZN10CellBuffer13ResetLineEndsEv@Base 2.8.4 + _ZN10CellBuffer14TentativeStartEv@Base 2.9 + _ZN10CellBuffer14TentativeStepsEv@Base 2.9 + _ZN10CellBuffer15BeginUndoActionEv@Base 2.8.4 + _ZN10CellBuffer15PerformRedoStepEv@Base 2.8.4 + _ZN10CellBuffer15PerformUndoStepEv@Base 2.8.4 + _ZN10CellBuffer15SetLineEndTypesEi@Base 2.8.4 + _ZN10CellBuffer15TentativeCommitEv@Base 2.9 + _ZN10CellBuffer16BasicDeleteCharsEii@Base 2.8.4 + _ZN10CellBuffer17BasicInsertStringEiPKci@Base 2.8.4 + _ZN10CellBuffer17DeleteUndoHistoryEv@Base 2.8.4 + _ZN10CellBuffer17SetUndoCollectionEb@Base 2.8.4 + _ZN10CellBuffer8AllocateEi@Base 2.8.4 + _ZN10CellBuffer9StartRedoEv@Base 2.8.4 + _ZN10CellBuffer9StartUndoEv@Base 2.8.4 + _ZN10CellBufferC1Ev@Base 2.8.4 + _ZN10CellBufferC2Ev@Base 2.8.4 + _ZN10CellBufferD1Ev@Base 2.8.4 + _ZN10CellBufferD2Ev@Base 2.8.4 + _ZN10DecorationC1Ei@Base 2.8.4 + _ZN10DecorationC2Ei@Base 2.8.4 + _ZN10DecorationD1Ev@Base 2.8.4 + _ZN10DecorationD2Ev@Base 2.8.4 + _ZN10DocWatcherC1Ev@Base 2.8.4 + _ZN10DocWatcherC2Ev@Base 2.8.4 + _ZN10DocWatcherD0Ev@Base 2.8.4 + _ZN10DocWatcherD1Ev@Base 2.8.4 + _ZN10DocWatcherD2Ev@Base 2.8.4 + _ZN10LexerBasic11PrivateCallEiPv@Base 2.8.4 + _ZN10LexerBasic11PropertySetEPKcS1_@Base 2.8.4 + _ZN10LexerBasic11WordListSetEiPKc@Base 2.8.4 + _ZN10LexerBasic12PropertyTypeEPKc@Base 2.8.4 + _ZN10LexerBasic13PropertyNamesEv@Base 2.8.4 + _ZN10LexerBasic16DescribePropertyEPKc@Base 2.8.4 + _ZN10LexerBasic20DescribeWordListSetsEv@Base 2.8.4 + _ZN10LexerBasic21LexerFactoryFreeBasicEv@Base 2.8.4 + _ZN10LexerBasic21LexerFactoryPureBasicEv@Base 2.8.4 + _ZN10LexerBasic22LexerFactoryBlitzBasicEv@Base 2.8.4 + _ZN10LexerBasic3LexEjiiP9IDocument@Base 2.8.4 + _ZN10LexerBasic4FoldEjiiP9IDocument@Base 2.8.4 + _ZN10LexerBasic7ReleaseEv@Base 2.8.4 + _ZN10LexerBasicC1EcPFiPKcRiEPKS1_@Base 2.8.4 + _ZN10LexerBasicC2EcPFiPKcRiEPKS1_@Base 2.8.4 + _ZN10LexerBasicD0Ev@Base 2.8.4 + _ZN10LexerBasicD1Ev@Base 2.8.4 + _ZN10LexerBasicD2Ev@Base 2.8.4 + _ZN10LexerLaTeX10truncModesEi@Base 2.8.4 + _ZN10LexerLaTeX10truncSavesEi@Base 2.8.4 + _ZN10LexerLaTeX17LexerFactoryLaTeXEv@Base 2.8.4 + _ZN10LexerLaTeX3LexEjiiP9IDocument@Base 2.8.4 + _ZN10LexerLaTeX4FoldEjiiP9IDocument@Base 2.8.4 + _ZN10LexerLaTeX7getModeEi@Base 2.8.4 + _ZN10LexerLaTeX7getSaveEiR13latexFoldSave@Base 2.8.4 + _ZN10LexerLaTeX7setModeEii@Base 2.8.4 + _ZN10LexerLaTeX7setSaveEiRK13latexFoldSave@Base 2.8.4 + _ZN10LexerLaTeXC1Ev@Base 2.8.4 + _ZN10LexerLaTeXC2Ev@Base 2.8.4 + _ZN10LexerLaTeXD0Ev@Base 2.8.4 + _ZN10LexerLaTeXD1Ev@Base 2.8.4 + _ZN10LexerLaTeXD2Ev@Base 2.8.4 + _ZN10LineLayout10InvalidateENS_10validLevelE@Base 2.8.4 + _ZN10LineLayout12SetLineStartEii@Base 2.8.4 + _ZN10LineLayout18SetBracesHighlightE5RangePKicib@Base 2.9 + _ZN10LineLayout22RestoreBracesHighlightE5RangePKib@Base 2.9 + _ZN10LineLayout4FreeEv@Base 2.8.4 + _ZN10LineLayout6ResizeEi@Base 2.8.4 + _ZN10LineLayoutC1Ei@Base 2.8.4 + _ZN10LineLayoutC2Ei@Base 2.8.4 + _ZN10LineLayoutD0Ev@Base 2.8.4 + _ZN10LineLayoutD1Ev@Base 2.8.4 + _ZN10LineLayoutD2Ev@Base 2.8.4 + _ZN10LineLevels10InsertLineEi@Base 2.8.4 + _ZN10LineLevels10RemoveLineEi@Base 2.8.4 + _ZN10LineLevels11ClearLevelsEv@Base 2.8.4 + _ZN10LineLevels12ExpandLevelsEi@Base 2.8.4 + _ZN10LineLevels4InitEv@Base 2.8.4 + _ZN10LineLevels8SetLevelEiii@Base 2.8.4 + _ZN10LineLevelsC1Ev@Base 2.8.4 + _ZN10LineLevelsC2Ev@Base 2.8.4 + _ZN10LineLevelsD0Ev@Base 2.8.4 + _ZN10LineLevelsD1Ev@Base 2.8.4 + _ZN10LineLevelsD2Ev@Base 2.8.4 + _ZN10LineMarker12SetRGBAImageE5PointfPKh@Base 2.8.4 + _ZN10LineMarker6SetXPMEPKPKc@Base 2.8.4 + _ZN10LineMarker6SetXPMEPKc@Base 2.8.4 + _ZN10LineMarkerC1Ev@Base 2.8.4 + _ZN10LineMarkerC2Ev@Base 2.8.4 + _ZN10LineMarkerD1Ev@Base 2.8.4 + _ZN10LineMarkerD2Ev@Base 2.8.4 + _ZN10LineMarkeraSERKS_@Base 2.8.4 + _ZN10LineVector10InsertLineEiib@Base 2.8.4 + _ZN10LineVector10InsertTextEii@Base 2.8.4 + _ZN10LineVector10RemoveLineEi@Base 2.8.4 + _ZN10LineVector10SetPerLineEP7PerLine@Base 2.8.4 + _ZN10LineVector12SetLineStartEii@Base 2.8.4 + _ZN10LineVector4InitEv@Base 2.8.4 + _ZN10LineVectorC1Ev@Base 2.8.4 + _ZN10LineVectorC2Ev@Base 2.8.4 + _ZN10LineVectorD1Ev@Base 2.8.4 + _ZN10LineVectorD2Ev@Base 2.8.4 + _ZN10MarginView11PaintMarginEP7Surfacei10PRectangleS2_RK9EditModelRK9ViewStyle@Base 2.9 + _ZN10MarginView12DropGraphicsEb@Base 2.9 + _ZN10MarginView14RefreshPixMapsEP7SurfacePvRK9ViewStyle@Base 2.9 + _ZN10MarginView16AllocateGraphicsERK9ViewStyle@Base 2.9 + _ZN10MarginViewC1Ev@Base 2.9 + _ZN10MarginViewC2Ev@Base 2.9 + _ZN10OptionSetDC1Ev@Base 2.8.4 + _ZN10OptionSetDC2Ev@Base 2.8.4 + _ZN10OptionSetDD0Ev@Base 2.8.4 + _ZN10OptionSetDD1Ev@Base 2.8.4 + _ZN10OptionSetDD2Ev@Base 2.8.4 + _ZN10OptionsAsmC1Ev@Base 2.8.4 + _ZN10OptionsAsmC2Ev@Base 2.8.4 + _ZN10OptionsAsmD1Ev@Base 2.8.4 + _ZN10OptionsAsmD2Ev@Base 2.8.4 + _ZN10OptionsSQLC1Ev@Base 2.8.4 + _ZN10OptionsSQLC2Ev@Base 2.8.4 + _ZN10PRectangle4MoveEff@Base 2.8.4 + _ZN10PRectangle8FromIntsEiiii@Base 2.9 + _ZN10PRectangleC1Effff@Base 2.8.4 + _ZN10PRectangleC2Effff@Base 2.8.4 + _ZN10QByteArray4dataEv@Base 2.8.4 + _ZN10QByteArray6detachEv@Base 2.8.4 + _ZN10QByteArrayC1ERKS_@Base 2.8.4 + _ZN10QByteArrayC1Ev@Base 2.8.4 + _ZN10QByteArrayC2ERKS_@Base 2.8.4 + _ZN10QByteArrayC2Ev@Base 2.8.4 + _ZN10QByteArrayD1Ev@Base 2.8.4 + _ZN10QByteArrayD2Ev@Base 2.8.4 + _ZN10QByteArraypLEc@Base 2.8.4 + _ZN10QDropEvent20acceptProposedActionEv@Base 2.8.4 + _ZN10QsciLexerD11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN10QsciLexerD11qt_metacastEPKc@Base 2.8.4 + _ZN10QsciLexerD13setAtElsePropEv@Base 2.8.4 + _ZN10QsciLexerD13setFoldAtElseEb@Base 2.8.4 + _ZN10QsciLexerD14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN10QsciLexerD14setCommentPropEv@Base 2.8.4 + _ZN10QsciLexerD14setCompactPropEv@Base 2.8.4 + _ZN10QsciLexerD14setFoldCompactEb@Base 2.8.4 + _ZN10QsciLexerD15setFoldCommentsEb@Base 2.8.4 + _ZN10QsciLexerD16staticMetaObjectE@Base 2.8.4 + _ZN10QsciLexerD17refreshPropertiesEv@Base 2.8.4 + _ZN10QsciLexerD2trEPKcS1_@Base 2.8.4 + _ZN10QsciLexerDC1EP7QObject@Base 2.8.4 + _ZN10QsciLexerDC2EP7QObject@Base 2.8.4 + _ZN10QsciLexerDD0Ev@Base 2.8.4 + _ZN10QsciLexerDD1Ev@Base 2.8.4 + _ZN10QsciLexerDD2Ev@Base 2.8.4 + (subst)_ZN10StyledTextC1E{size_t}PKcbiPKh@Base 2.8.4 + (subst)_ZN10StyledTextC2E{size_t}PKcbiPKh@Base 2.8.4 + _ZN10WorkNeeded4NeedENS_9workItemsEi@Base 2.8.4 + _ZN10WorkNeeded5ResetEv@Base 2.8.4 + _ZN10WorkNeededC1Ev@Base 2.8.4 + _ZN10WorkNeededC2Ev@Base 2.8.4 + _ZN11AutoSurfaceC1EP6Editori@Base 2.8.4 + _ZN11AutoSurfaceC1EPvP6Editori@Base 2.8.4 + _ZN11AutoSurfaceC2EP6Editori@Base 2.8.4 + _ZN11AutoSurfaceC2EPvP6Editori@Base 2.8.4 + _ZN11AutoSurfaceD1Ev@Base 2.8.4 + _ZN11AutoSurfaceD2Ev@Base 2.8.4 + _ZN11BreakFinder4NextEv@Base 2.8.4 + _ZN11BreakFinder6InsertEi@Base 2.8.4 + _ZN11BreakFinderC1EPK10LineLayoutPK9Selection5RangeiibPK8DocumentPK22SpecialRepresentationsPK9ViewStyle@Base 2.9 + _ZN11BreakFinderC2EPK10LineLayoutPK9Selection5RangeiibPK8DocumentPK22SpecialRepresentationsPK9ViewStyle@Base 2.9 + _ZN11BreakFinderD1Ev@Base 2.8.4 + _ZN11BreakFinderD2Ev@Base 2.8.4 + _ZN11ElapsedTime8DurationEb@Base 2.8.4 + _ZN11ElapsedTimeC1Ev@Base 2.8.4 + _ZN11ElapsedTimeC2Ev@Base 2.8.4 + _ZN11LexAccessor12SetLineStateEii@Base 2.8.4 + _ZN11LexAccessor12StartSegmentEj@Base 2.8.4 + _ZN11LexAccessor13IndicatorFillEiiii@Base 2.8.4 + _ZN11LexAccessor13SafeGetCharAtEic@Base 2.8.4 + _ZN11LexAccessor16ChangeLexerStateEii@Base 2.8.4 + _ZN11LexAccessor4FillEi@Base 2.8.4 + _ZN11LexAccessor5FlushEv@Base 2.8.4 + _ZN11LexAccessor5MatchEiPKc@Base 2.8.4 + _ZN11LexAccessor7LineEndEi@Base 2.8.4 + _ZN11LexAccessor7StartAtEj@Base 2.9 + _ZN11LexAccessor8ColourToEji@Base 2.8.4 + _ZN11LexAccessor8SetLevelEii@Base 2.8.4 + _ZN11LexAccessorC1EP9IDocument@Base 2.8.4 + _ZN11LexAccessorC2EP9IDocument@Base 2.8.4 + _ZN11LexAccessorixEi@Base 2.8.4 + _ZN11LexerModuleC1EiPFP6ILexervEPKcPKS5_@Base 2.9 + _ZN11LexerModuleC1EiPFvjiiPP8WordListR8AccessorEPKcS6_PKS8_@Base 2.9 + _ZN11LexerModuleC2EiPFP6ILexervEPKcPKS5_@Base 2.9 + _ZN11LexerModuleC2EiPFvjiiPP8WordListR8AccessorEPKcS6_PKS8_@Base 2.9 + _ZN11LexerModuleD0Ev@Base 2.8.4 + _ZN11LexerModuleD1Ev@Base 2.8.4 + _ZN11LexerModuleD2Ev@Base 2.8.4 + _ZN11LexerSimple20DescribeWordListSetsEv@Base 2.8.4 + _ZN11LexerSimple3LexEjiiP9IDocument@Base 2.8.4 + _ZN11LexerSimple4FoldEjiiP9IDocument@Base 2.8.4 + _ZN11LexerSimpleC1EPK11LexerModule@Base 2.8.4 + _ZN11LexerSimpleC2EPK11LexerModule@Base 2.8.4 + _ZN11LexerSimpleD0Ev@Base 2.8.4 + _ZN11LexerSimpleD1Ev@Base 2.8.4 + _ZN11LexerSimpleD2Ev@Base 2.8.4 + _ZN11LineMarkers10DeleteMarkEiib@Base 2.8.4 + _ZN11LineMarkers10InsertLineEi@Base 2.8.4 + _ZN11LineMarkers10RemoveLineEi@Base 2.8.4 + _ZN11LineMarkers12MergeMarkersEi@Base 2.8.4 + _ZN11LineMarkers14LineFromHandleEi@Base 2.8.4 + _ZN11LineMarkers20DeleteMarkFromHandleEi@Base 2.8.4 + _ZN11LineMarkers4InitEv@Base 2.8.4 + _ZN11LineMarkers7AddMarkEiii@Base 2.8.4 + _ZN11LineMarkers9MarkValueEi@Base 2.8.4 + _ZN11LineMarkersC1Ev@Base 2.8.4 + _ZN11LineMarkersC2Ev@Base 2.8.4 + _ZN11LineMarkersD0Ev@Base 2.8.4 + _ZN11LineMarkersD1Ev@Base 2.8.4 + _ZN11LineMarkersD2Ev@Base 2.8.4 + _ZN11MarginStyleC1Ev@Base 2.8.4 + _ZN11MarginStyleC2Ev@Base 2.8.4 + _ZN11OptionsPerlC1Ev@Base 2.8.4 + _ZN11OptionsPerlC2Ev@Base 2.8.4 + _ZN11OptionsRustC1Ev@Base 2.8.4 + _ZN11OptionsRustC2Ev@Base 2.8.4 + _ZN11OptionsRustD1Ev@Base 2.8.4 + _ZN11OptionsRustD2Ev@Base 2.8.4 + _ZN11QDataStream10setVersionEi@Base 2.8.4 + _ZN11QDataStreamlsEh@Base 2.8.4 + _ZN11QDataStreamlsEj@Base 2.8.4 + _ZN11QDataStreamrsERh@Base 2.8.4 + _ZN11QDataStreamrsERj@Base 2.8.4 + _ZN11QLatin1CharC1Ec@Base 2.8.4 + _ZN11QLatin1CharC2Ec@Base 2.8.4 + _ZN11QListWidget7addItemERK7QString@Base 2.8.4 + _ZN11QStringList4sortEv@Base 2.8.4 + _ZN11QStringListC1ERK5QListI7QStringE@Base 2.8.4 + _ZN11QStringListC1ERK7QString@Base 2.8.4 + _ZN11QStringListC1Ev@Base 2.8.4 + _ZN11QStringListC2ERK5QListI7QStringE@Base 2.8.4 + _ZN11QStringListC2ERK7QString@Base 2.8.4 + _ZN11QStringListC2Ev@Base 2.8.4 + _ZN11QStringListD1Ev@Base 2.8.4 + _ZN11QStringListD2Ev@Base 2.8.4 + _ZN11QStringListaSERKS_@Base 2.8.4 + _ZN11QStringListlsERK7QString@Base 2.8.4 + _ZN11QsciCommand15setAlternateKeyEi@Base 2.8.4 + _ZN11QsciCommand6setKeyEi@Base 2.8.4 + _ZN11QsciCommand7bindKeyEiRiS0_@Base 2.8.4 + _ZN11QsciCommand7executeEv@Base 2.8.4 + _ZN11QsciCommand8validKeyEi@Base 2.8.4 + _ZN11QsciCommandC1EP13QsciScintillaNS_7CommandEiiPKc@Base 2.8.4 + _ZN11QsciCommandC2EP13QsciScintillaNS_7CommandEiiPKc@Base 2.8.4 + _ZN11QsciLexerPO11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN11QsciLexerPO11qt_metacastEPKc@Base 2.8.4 + _ZN11QsciLexerPO14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN11QsciLexerPO14setCommentPropEv@Base 2.8.4 + _ZN11QsciLexerPO14setCompactPropEv@Base 2.8.4 + _ZN11QsciLexerPO14setFoldCompactEb@Base 2.8.4 + _ZN11QsciLexerPO15setFoldCommentsEb@Base 2.8.4 + _ZN11QsciLexerPO16staticMetaObjectE@Base 2.8.4 + _ZN11QsciLexerPO17refreshPropertiesEv@Base 2.8.4 + _ZN11QsciLexerPO2trEPKcS1_@Base 2.8.4 + _ZN11QsciLexerPOC1EP7QObject@Base 2.8.4 + _ZN11QsciLexerPOC2EP7QObject@Base 2.8.4 + _ZN11QsciLexerPOD0Ev@Base 2.8.4 + _ZN11QsciLexerPOD1Ev@Base 2.8.4 + _ZN11QsciLexerPOD2Ev@Base 2.8.4 + _ZN11QsciPrinter10formatPageER8QPainterbR5QRecti@Base 2.8.4 + _ZN11QsciPrinter10printRangeEP17QsciScintillaBaseii@Base 2.8.4 + _ZN11QsciPrinter11setWrapModeEN13QsciScintilla8WrapModeE@Base 2.8.4 + _ZN11QsciPrinter16setMagnificationEi@Base 2.8.4 + _ZN11QsciPrinterC1EN8QPrinter11PrinterModeE@Base 2.8.4 + _ZN11QsciPrinterC2EN8QPrinter11PrinterModeE@Base 2.8.4 + _ZN11QsciPrinterD0Ev@Base 2.8.4 + _ZN11QsciPrinterD1Ev@Base 2.8.4 + _ZN11QsciPrinterD2Ev@Base 2.8.4 + (optional=templinst)_ZN11SparseStateISsE3SetEiSs@Base 2.8.4 + (optional=templinst)_ZN11SparseStateISsE4FindEi@Base 2.8.4 + (optional=templinst)_ZN11SparseStateISsE5MergeERKS0_i@Base 2.8.4 + (optional=templinst)_ZN11SparseStateISsE5StateC1ERKS1_@Base 2.8.4 + (optional=templinst)_ZN11SparseStateISsE5StateC1EiSs@Base 2.8.4 + (optional=templinst)_ZN11SparseStateISsE5StateC2ERKS1_@Base 2.8.4 + (optional=templinst)_ZN11SparseStateISsE5StateC2EiSs@Base 2.8.4 + (optional=templinst)_ZN11SparseStateISsE5StateD1Ev@Base 2.8.4 + (optional=templinst)_ZN11SparseStateISsE5StateD2Ev@Base 2.8.4 + (optional=templinst)_ZN11SparseStateISsE5StateaSERKS1_@Base 2.8.4 + (optional=templinst)_ZN11SparseStateISsE6DeleteEi@Base 2.8.4 + (optional=templinst)_ZN11SparseStateISsE7ValueAtEi@Base 2.8.4 + (optional=templinst)_ZN11SparseStateISsEC1Ei@Base 2.8.4 + (optional=templinst)_ZN11SparseStateISsEC2Ei@Base 2.8.4 + (optional=templinst)_ZN11SparseStateISsED1Ev@Base 2.8.4 + (optional=templinst)_ZN11SparseStateISsED2Ev@Base 2.8.4 + (optional=templinst)_ZN11SparseStateIjE3SetEij@Base 2.8.4 + (optional=templinst)_ZN11SparseStateIjE4FindEi@Base 2.8.4 + (optional=templinst)_ZN11SparseStateIjE5StateC1Eij@Base 2.8.4 + (optional=templinst)_ZN11SparseStateIjE5StateC2Eij@Base 2.8.4 + (optional=templinst)_ZN11SparseStateIjE6DeleteEi@Base 2.8.4 + (optional=templinst)_ZN11SparseStateIjE7ValueAtEi@Base 2.8.4 + (optional=templinst)_ZN11SparseStateIjEC1Ei@Base 2.8.4 + (optional=templinst)_ZN11SparseStateIjEC2Ei@Base 2.8.4 + (optional=templinst)_ZN11SparseStateIjED1Ev@Base 2.8.4 + (optional=templinst)_ZN11SparseStateIjED2Ev@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIP15MarkerHandleSetE10ReAllocateEi@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIP15MarkerHandleSetE11DeleteRangeEii@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIP15MarkerHandleSetE11InsertValueEiiS1_@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIP15MarkerHandleSetE4InitEv@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIP15MarkerHandleSetE5GapToEi@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIP15MarkerHandleSetE6DeleteEi@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIP15MarkerHandleSetE6InsertEiS1_@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIP15MarkerHandleSetE7RoomForEi@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIP15MarkerHandleSetE9DeleteAllEv@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIP15MarkerHandleSetEC1Ev@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIP15MarkerHandleSetEC2Ev@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIP15MarkerHandleSetED1Ev@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIP15MarkerHandleSetED2Ev@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIPSt6vectorIiSaIiEEE10ReAllocateEi@Base 2.9 + (optional=templinst)_ZN11SplitVectorIPSt6vectorIiSaIiEEE11DeleteRangeEii@Base 2.9 + (optional=templinst)_ZN11SplitVectorIPSt6vectorIiSaIiEEE11InsertValueEiiS3_@Base 2.9 + (optional=templinst)_ZN11SplitVectorIPSt6vectorIiSaIiEEE12EnsureLengthEi@Base 2.9 + (optional=templinst)_ZN11SplitVectorIPSt6vectorIiSaIiEEE4InitEv@Base 2.9 + (optional=templinst)_ZN11SplitVectorIPSt6vectorIiSaIiEEE5GapToEi@Base 2.9 + (optional=templinst)_ZN11SplitVectorIPSt6vectorIiSaIiEEE6DeleteEi@Base 2.9 + (optional=templinst)_ZN11SplitVectorIPSt6vectorIiSaIiEEE6InsertEiS3_@Base 2.9 + (optional=templinst)_ZN11SplitVectorIPSt6vectorIiSaIiEEE7RoomForEi@Base 2.9 + (optional=templinst)_ZN11SplitVectorIPSt6vectorIiSaIiEEE9DeleteAllEv@Base 2.9 + (optional=templinst)_ZN11SplitVectorIPSt6vectorIiSaIiEEEC1Ev@Base 2.9 + (optional=templinst)_ZN11SplitVectorIPSt6vectorIiSaIiEEEC2Ev@Base 2.9 + (optional=templinst)_ZN11SplitVectorIPSt6vectorIiSaIiEEED1Ev@Base 2.9 + (optional=templinst)_ZN11SplitVectorIPSt6vectorIiSaIiEEED2Ev@Base 2.9 + (optional=templinst)_ZN11SplitVectorIPcE10ReAllocateEi@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIPcE11DeleteRangeEii@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIPcE11InsertValueEiiS0_@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIPcE12EnsureLengthEi@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIPcE4InitEv@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIPcE5GapToEi@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIPcE6DeleteEi@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIPcE6InsertEiS0_@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIPcE7RoomForEi@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIPcE9DeleteAllEv@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIPcEC1Ev@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIPcEC2Ev@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIPcED1Ev@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIPcED2Ev@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIcE10ReAllocateEi@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIcE10SetValueAtEic@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIcE11DeleteRangeEii@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIcE11InsertValueEiic@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIcE12RangePointerEii@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIcE13BufferPointerEv@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIcE15InsertFromArrayEiPKcii@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIcE4InitEv@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIcE5GapToEi@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIcE7RoomForEi@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIcEC1Ev@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIcEC2Ev@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIcED1Ev@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIcED2Ev@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIiE10ReAllocateEi@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIiE10SetValueAtEii@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIiE11DeleteRangeEii@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIiE11InsertValueEiii@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIiE11SetGrowSizeEi@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIiE12EnsureLengthEi@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIiE4InitEv@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIiE5GapToEi@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIiE6DeleteEi@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIiE6InsertEii@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIiE7RoomForEi@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIiE9DeleteAllEv@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIiEC1Ev@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIiEC2Ev@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIiED1Ev@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIiED2Ev@Base 2.8.4 + _ZN11SurfaceImpl10InitPixMapEiiP7SurfacePv@Base 2.8.4 + _ZN11SurfaceImpl10LogPixelsYEv@Base 2.8.4 + _ZN11SurfaceImpl11InitialisedEv@Base 2.8.4 + _ZN11SurfaceImpl11SetDBCSModeEi@Base 2.8.4 + _ZN11SurfaceImpl11convertTextEPKci@Base 2.8.4 + _ZN11SurfaceImpl12convertQFontER4Font@Base 2.8.4 + _ZN11SurfaceImpl13DrawRGBAImageE10PRectangleiiPKh@Base 2.8.4 + _ZN11SurfaceImpl13FillRectangleE10PRectangle13ColourDesired@Base 2.8.4 + _ZN11SurfaceImpl13FillRectangleE10PRectangleR7Surface@Base 2.8.4 + _ZN11SurfaceImpl13MeasureWidthsER4FontPKciPf@Base 2.8.4 + _ZN11SurfaceImpl13RectangleDrawE10PRectangle13ColourDesiredS1_@Base 2.8.4 + _ZN11SurfaceImpl13convertQColorERK13ColourDesiredj@Base 2.8.4 + _ZN11SurfaceImpl14AlphaRectangleE10PRectanglei13ColourDesirediS1_ii@Base 2.8.4 + _ZN11SurfaceImpl14DrawTextNoClipE10PRectangleR4FontfPKci13ColourDesiredS5_@Base 2.8.4 + _ZN11SurfaceImpl14SetUnicodeModeEb@Base 2.8.4 + _ZN11SurfaceImpl15DrawTextClippedE10PRectangleR4FontfPKci13ColourDesiredS5_@Base 2.8.4 + _ZN11SurfaceImpl15ExternalLeadingER4Font@Base 2.8.4 + _ZN11SurfaceImpl15InternalLeadingER4Font@Base 2.8.4 + _ZN11SurfaceImpl16AverageCharWidthER4Font@Base 2.8.4 + _ZN11SurfaceImpl16DeviceHeightFontEi@Base 2.8.4 + _ZN11SurfaceImpl16FlushCachedStateEv@Base 2.8.4 + _ZN11SurfaceImpl16RoundedRectangleE10PRectangle13ColourDesiredS1_@Base 2.8.4 + _ZN11SurfaceImpl19DrawTextTransparentE10PRectangleR4FontfPKci13ColourDesired@Base 2.8.4 + _ZN11SurfaceImpl4CopyE10PRectangle5PointR7Surface@Base 2.8.4 + _ZN11SurfaceImpl4InitEP8QPainter@Base 2.8.4 + _ZN11SurfaceImpl4InitEPv@Base 2.8.4 + _ZN11SurfaceImpl4InitEPvS0_@Base 2.8.4 + _ZN11SurfaceImpl6AscentER4Font@Base 2.8.4 + _ZN11SurfaceImpl6HeightER4Font@Base 2.8.4 + _ZN11SurfaceImpl6LineToEii@Base 2.8.4 + _ZN11SurfaceImpl6MoveToEii@Base 2.8.4 + _ZN11SurfaceImpl7DescentER4Font@Base 2.8.4 + _ZN11SurfaceImpl7DrawXPME10PRectanglePK3XPM@Base 2.8.4 + _ZN11SurfaceImpl7EllipseE10PRectangle13ColourDesiredS1_@Base 2.8.4 + _ZN11SurfaceImpl7PolygonEP5Pointi13ColourDesiredS2_@Base 2.8.4 + _ZN11SurfaceImpl7ReleaseEv@Base 2.8.4 + _ZN11SurfaceImpl7SetClipE10PRectangle@Base 2.8.4 + _ZN11SurfaceImpl7metricsER4Font@Base 2.8.4 + _ZN11SurfaceImpl8drawRectERK10PRectangle@Base 2.8.4 + _ZN11SurfaceImpl8drawTextERK10PRectangleR4FontfPKci13ColourDesired@Base 2.8.4 + _ZN11SurfaceImpl9PenColourE13ColourDesired@Base 2.8.4 + _ZN11SurfaceImpl9WidthCharER4Fontc@Base 2.8.4 + _ZN11SurfaceImpl9WidthTextER4FontPKci@Base 2.8.4 + _ZN11SurfaceImplC1Ev@Base 2.8.4 + _ZN11SurfaceImplC2Ev@Base 2.8.4 + _ZN11SurfaceImplD0Ev@Base 2.8.4 + _ZN11SurfaceImplD1Ev@Base 2.8.4 + _ZN11SurfaceImplD2Ev@Base 2.8.4 + _ZN11TextSegmentC1EiiPK14Representation@Base 2.9 + _ZN11TextSegmentC2EiiPK14Representation@Base 2.9 + _ZN11UndoHistory12AppendActionE10actionTypeiPKciRbb@Base 2.8.4 + _ZN11UndoHistory12SetSavePointEv@Base 2.8.4 + _ZN11UndoHistory13EndUndoActionEv@Base 2.8.4 + _ZN11UndoHistory14EnsureUndoRoomEv@Base 2.8.4 + _ZN11UndoHistory14TentativeStartEv@Base 2.9 + _ZN11UndoHistory14TentativeStepsEv@Base 2.9 + _ZN11UndoHistory15BeginUndoActionEv@Base 2.8.4 + _ZN11UndoHistory15TentativeCommitEv@Base 2.9 + _ZN11UndoHistory16DropUndoSequenceEv@Base 2.8.4 + _ZN11UndoHistory17CompletedRedoStepEv@Base 2.8.4 + _ZN11UndoHistory17CompletedUndoStepEv@Base 2.8.4 + _ZN11UndoHistory17DeleteUndoHistoryEv@Base 2.8.4 + _ZN11UndoHistory9StartRedoEv@Base 2.8.4 + _ZN11UndoHistory9StartUndoEv@Base 2.8.4 + _ZN11UndoHistoryC1Ev@Base 2.8.4 + _ZN11UndoHistoryC2Ev@Base 2.8.4 + _ZN11UndoHistoryD1Ev@Base 2.8.4 + _ZN11UndoHistoryD2Ev@Base 2.8.4 + _ZN11WrapPending5ResetEv@Base 2.8.4 + _ZN11WrapPending7WrappedEi@Base 2.8.4 + _ZN11WrapPending8AddRangeEii@Base 2.8.4 + _ZN11WrapPendingC1Ev@Base 2.8.4 + _ZN11WrapPendingC2Ev@Base 2.8.4 + _ZN12AutoComplete10IsStopCharEc@Base 2.8.4 + _ZN12AutoComplete10SetTypesepEc@Base 2.8.4 + _ZN12AutoComplete12IsFillUpCharEc@Base 2.8.4 + _ZN12AutoComplete12SetSeparatorEc@Base 2.8.4 + _ZN12AutoComplete12SetStopCharsEPKc@Base 2.8.4 + _ZN12AutoComplete14SetFillUpCharsEPKc@Base 2.8.4 + _ZN12AutoComplete4MoveEi@Base 2.8.4 + _ZN12AutoComplete4ShowEb@Base 2.8.4 + _ZN12AutoComplete5StartER6Windowii5Pointiibi@Base 2.8.4 + _ZN12AutoComplete6CancelEv@Base 2.8.4 + _ZN12AutoComplete6SelectEPKc@Base 2.8.4 + _ZN12AutoComplete7SetListEPKc@Base 2.8.4 + _ZN12AutoCompleteC1Ev@Base 2.8.4 + _ZN12AutoCompleteC2Ev@Base 2.8.4 + _ZN12AutoCompleteD1Ev@Base 2.8.4 + _ZN12AutoCompleteD2Ev@Base 2.8.4 + _ZN12BuiltinRegex20SubstituteByPositionEP8DocumentPKcPi@Base 2.8.4 + _ZN12BuiltinRegex8FindTextEP8DocumentiiPKcbbbiPi@Base 2.8.4 + _ZN12BuiltinRegexC1EP12CharClassify@Base 2.8.4 + _ZN12BuiltinRegexC2EP12CharClassify@Base 2.8.4 + _ZN12BuiltinRegexD0Ev@Base 2.8.4 + _ZN12BuiltinRegexD1Ev@Base 2.8.4 + _ZN12BuiltinRegexD2Ev@Base 2.8.4 + _ZN12CharClassify14SetCharClassesEPKhNS_2ccE@Base 2.8.4 + _ZN12CharClassify15GetCharsOfClassENS_2ccEPh@Base 2.8.4 + _ZN12CharClassify21SetDefaultCharClassesEb@Base 2.8.4 + _ZN12CharClassifyC1Ev@Base 2.8.4 + _ZN12CharClassifyC2Ev@Base 2.8.4 + _ZN12CharacterSet3AddEi@Base 2.8.4 + _ZN12CharacterSet9AddStringEPKc@Base 2.8.4 + _ZN12CharacterSetC1ENS_7setBaseEPKcib@Base 2.8.4 + _ZN12CharacterSetC2ENS_7setBaseEPKcib@Base 2.8.4 + _ZN12CharacterSetD1Ev@Base 2.8.4 + _ZN12CharacterSetD2Ev@Base 2.8.4 + _ZN12CharacterSetaSERKS_@Base 2.8.4 + _ZN12FontRealised7RealiseER7SurfaceiiRK17FontSpecification@Base 2.8.4 + _ZN12FontRealisedC1Ev@Base 2.8.4 + _ZN12FontRealisedC2Ev@Base 2.8.4 + _ZN12FontRealisedD0Ev@Base 2.8.4 + _ZN12FontRealisedD1Ev@Base 2.8.4 + _ZN12FontRealisedD2Ev@Base 2.8.4 + _ZN12KeyModifiersC1Eii@Base 2.9 + _ZN12KeyModifiersC2Eii@Base 2.9 + _ZN12LexInterface21LineEndTypesSupportedEv@Base 2.8.4 + _ZN12LexInterface9ColouriseEii@Base 2.8.4 + _ZN12LexInterfaceC1EP8Document@Base 2.8.4 + _ZN12LexInterfaceC2EP8Document@Base 2.8.4 + _ZN12LexInterfaceD0Ev@Base 2.8.4 + _ZN12LexInterfaceD1Ev@Base 2.8.4 + _ZN12LexInterfaceD2Ev@Base 2.8.4 + _ZN12LexerHaskell11PrivateCallEiPv@Base 2.8.4 + _ZN12LexerHaskell11PropertySetEPKcS1_@Base 2.8.4 + _ZN12LexerHaskell11WordListSetEiPKc@Base 2.8.4 + _ZN12LexerHaskell12PropertyTypeEPKc@Base 2.8.4 + _ZN12LexerHaskell13PropertyNamesEv@Base 2.8.4 + _ZN12LexerHaskell15HaskellLineInfo11ToLineStateEv@Base 2.8.4 + _ZN12LexerHaskell15HaskellLineInfoC1Ei@Base 2.8.4 + _ZN12LexerHaskell15HaskellLineInfoC2Ei@Base 2.8.4 + _ZN12LexerHaskell16DescribePropertyEPKc@Base 2.8.4 + _ZN12LexerHaskell19LexerFactoryHaskellEv@Base 2.8.4 + _ZN12LexerHaskell20DescribeWordListSetsEv@Base 2.8.4 + _ZN12LexerHaskell27LexerFactoryLiterateHaskellEv@Base 2.8.4 + _ZN12LexerHaskell3LexEjiiP9IDocument@Base 2.8.4 + _ZN12LexerHaskell4FoldEjiiP9IDocument@Base 2.8.4 + _ZN12LexerHaskell7ReleaseEv@Base 2.8.4 + _ZN12LexerHaskellC1Eb@Base 2.8.4 + _ZN12LexerHaskellC2Eb@Base 2.8.4 + _ZN12LexerHaskellD0Ev@Base 2.8.4 + _ZN12LexerHaskellD1Ev@Base 2.8.4 + _ZN12LexerHaskellD2Ev@Base 2.8.4 + _ZN12LexerLibrary7ReleaseEv@Base 2.8.4 + _ZN12LexerLibraryC1EPKc@Base 2.8.4 + _ZN12LexerLibraryC2EPKc@Base 2.8.4 + _ZN12LexerLibraryD1Ev@Base 2.8.4 + _ZN12LexerLibraryD2Ev@Base 2.8.4 + _ZN12LexerManager11GetInstanceEv@Base 2.8.4 + _ZN12LexerManager11theInstanceE@Base 2.8.4 + _ZN12LexerManager14DeleteInstanceEv@Base 2.8.4 + _ZN12LexerManager16LoadLexerLibraryEPKc@Base 2.8.4 + _ZN12LexerManager4LoadEPKc@Base 2.8.4 + _ZN12LexerManager5ClearEv@Base 2.8.4 + _ZN12LexerManagerC1Ev@Base 2.8.4 + _ZN12LexerManagerC2Ev@Base 2.8.4 + _ZN12LexerManagerD1Ev@Base 2.8.4 + _ZN12LexerManagerD2Ev@Base 2.8.4 + _ZN12LexerVerilog10MaskActiveEi@Base 2.9 + _ZN12LexerVerilog11PrivateCallEiPv@Base 2.9 + _ZN12LexerVerilog11PropertySetEPKcS1_@Base 2.9 + _ZN12LexerVerilog11SymbolValueC1ERKS0_@Base 2.9 + _ZN12LexerVerilog11SymbolValueC1ERKSsS2_@Base 2.9 + _ZN12LexerVerilog11SymbolValueC2ERKS0_@Base 2.9 + _ZN12LexerVerilog11SymbolValueC2ERKSsS2_@Base 2.9 + _ZN12LexerVerilog11SymbolValueD1Ev@Base 2.9 + _ZN12LexerVerilog11SymbolValueD2Ev@Base 2.9 + _ZN12LexerVerilog11SymbolValueaSERKS0_@Base 2.9 + _ZN12LexerVerilog11SymbolValueaSERKSs@Base 2.9 + _ZN12LexerVerilog11WordListSetEiPKc@Base 2.9 + _ZN12LexerVerilog12PropertyTypeEPKc@Base 2.9 + _ZN12LexerVerilog13FreeSubStylesEv@Base 2.9 + _ZN12LexerVerilog13PropertyNamesEv@Base 2.9 + _ZN12LexerVerilog14SetIdentifiersEiPKc@Base 2.9 + _ZN12LexerVerilog14SubStylesStartEi@Base 2.9 + _ZN12LexerVerilog15SubStylesLengthEi@Base 2.9 + _ZN12LexerVerilog16DescribePropertyEPKc@Base 2.9 + _ZN12LexerVerilog16GetSubStyleBasesEv@Base 2.9 + _ZN12LexerVerilog17AllocateSubStylesEii@Base 2.9 + _ZN12LexerVerilog17StyleFromSubStyleEi@Base 2.9 + _ZN12LexerVerilog19LexerFactoryVerilogEv@Base 2.9 + _ZN12LexerVerilog20DescribeWordListSetsEv@Base 2.9 + _ZN12LexerVerilog21LineEndTypesSupportedEv@Base 2.9 + _ZN12LexerVerilog21PrimaryStyleFromStyleEi@Base 2.9 + _ZN12LexerVerilog25DistanceToSecondaryStylesEv@Base 2.9 + _ZN12LexerVerilog3LexEjiiP9IDocument@Base 2.9 + _ZN12LexerVerilog4FoldEjiiP9IDocument@Base 2.9 + _ZN12LexerVerilog7ReleaseEv@Base 2.9 + _ZN12LexerVerilogC1Ev@Base 2.9 + _ZN12LexerVerilogC2Ev@Base 2.9 + _ZN12LexerVerilogD0Ev@Base 2.9 + _ZN12LexerVerilogD1Ev@Base 2.9 + _ZN12LexerVerilogD2Ev@Base 2.9 + _ZN12LineTabstops10AddTabstopEii@Base 2.9 + _ZN12LineTabstops10InsertLineEi@Base 2.9 + _ZN12LineTabstops10RemoveLineEi@Base 2.9 + _ZN12LineTabstops13ClearTabstopsEi@Base 2.9 + _ZN12LineTabstops4InitEv@Base 2.9 + _ZN12LineTabstopsC1Ev@Base 2.9 + _ZN12LineTabstopsC2Ev@Base 2.9 + _ZN12LineTabstopsD0Ev@Base 2.9 + _ZN12LineTabstopsD1Ev@Base 2.9 + _ZN12LineTabstopsD2Ev@Base 2.9 + _ZN12OptionSetAsmC1Ev@Base 2.8.4 + _ZN12OptionSetAsmC2Ev@Base 2.8.4 + _ZN12OptionSetAsmD0Ev@Base 2.8.4 + _ZN12OptionSetAsmD1Ev@Base 2.8.4 + _ZN12OptionSetAsmD2Ev@Base 2.8.4 + _ZN12OptionSetSQLC1Ev@Base 2.8.4 + _ZN12OptionSetSQLC2Ev@Base 2.8.4 + _ZN12OptionSetSQLD0Ev@Base 2.8.4 + _ZN12OptionSetSQLD1Ev@Base 2.8.4 + _ZN12OptionSetSQLD2Ev@Base 2.8.4 + _ZN12OptionsBasicC1Ev@Base 2.8.4 + _ZN12OptionsBasicC2Ev@Base 2.8.4 + _ZN12OptionsBasicD1Ev@Base 2.8.4 + _ZN12OptionsBasicD2Ev@Base 2.8.4 + _ZN12Partitioning10InsertTextEii@Base 2.8.4 + _ZN12Partitioning15InsertPartitionEii@Base 2.8.4 + _ZN12Partitioning15RemovePartitionEi@Base 2.8.4 + _ZN12Partitioning25SetPartitionStartPositionEii@Base 2.8.4 + _ZN12Partitioning8AllocateEi@Base 2.8.4 + _ZN12Partitioning8BackStepEi@Base 2.8.4 + _ZN12Partitioning9ApplyStepEi@Base 2.8.4 + _ZN12Partitioning9DeleteAllEv@Base 2.8.4 + _ZN12PartitioningC1Ei@Base 2.8.4 + _ZN12PartitioningC2Ei@Base 2.8.4 + _ZN12PartitioningD1Ev@Base 2.8.4 + _ZN12PartitioningD2Ev@Base 2.8.4 + (optional=templinst)_ZN12QMetaTypeId2I11QTextFormatE14qt_metatype_idEv@Base 2.8.4 + _ZN12QsciDocument11setModifiedEb@Base 2.8.4 + _ZN12QsciDocument6attachERKS_@Base 2.8.4 + _ZN12QsciDocument6detachEv@Base 2.8.4 + _ZN12QsciDocument7displayEP17QsciScintillaBasePKS_@Base 2.8.4 + _ZN12QsciDocument9undisplayEP17QsciScintillaBase@Base 2.8.4 + _ZN12QsciDocumentC1ERKS_@Base 2.8.4 + _ZN12QsciDocumentC1Ev@Base 2.8.4 + _ZN12QsciDocumentC2ERKS_@Base 2.8.4 + _ZN12QsciDocumentC2Ev@Base 2.8.4 + _ZN12QsciDocumentD0Ev@Base 2.8.4 + _ZN12QsciDocumentD1Ev@Base 2.8.4 + _ZN12QsciDocumentD2Ev@Base 2.8.4 + _ZN12QsciDocumentaSERKS_@Base 2.8.4 + _ZN12QsciLexerAVS11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN12QsciLexerAVS11qt_metacastEPKc@Base 2.8.4 + _ZN12QsciLexerAVS14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN12QsciLexerAVS14setCommentPropEv@Base 2.8.4 + _ZN12QsciLexerAVS14setCompactPropEv@Base 2.8.4 + _ZN12QsciLexerAVS14setFoldCompactEb@Base 2.8.4 + _ZN12QsciLexerAVS15setFoldCommentsEb@Base 2.8.4 + _ZN12QsciLexerAVS16staticMetaObjectE@Base 2.8.4 + _ZN12QsciLexerAVS17refreshPropertiesEv@Base 2.8.4 + _ZN12QsciLexerAVS2trEPKcS1_@Base 2.8.4 + _ZN12QsciLexerAVSC1EP7QObject@Base 2.8.4 + _ZN12QsciLexerAVSC2EP7QObject@Base 2.8.4 + _ZN12QsciLexerAVSD0Ev@Base 2.8.4 + _ZN12QsciLexerAVSD1Ev@Base 2.8.4 + _ZN12QsciLexerAVSD2Ev@Base 2.8.4 + _ZN12QsciLexerCPP11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN12QsciLexerCPP11qt_metacastEPKc@Base 2.8.4 + _ZN12QsciLexerCPP13setAtElsePropEv@Base 2.8.4 + _ZN12QsciLexerCPP13setFoldAtElseEb@Base 2.8.4 + _ZN12QsciLexerCPP14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN12QsciLexerCPP14setCommentPropEv@Base 2.8.4 + _ZN12QsciLexerCPP14setCompactPropEv@Base 2.8.4 + _ZN12QsciLexerCPP14setDollarsPropEv@Base 2.8.4 + _ZN12QsciLexerCPP14setFoldCompactEb@Base 2.8.4 + _ZN12QsciLexerCPP14setPreprocPropEv@Base 2.8.4 + _ZN12QsciLexerCPP15setFoldCommentsEb@Base 2.8.4 + _ZN12QsciLexerCPP16staticMetaObjectE@Base 2.8.4 + _ZN12QsciLexerCPP17refreshPropertiesEv@Base 2.8.4 + _ZN12QsciLexerCPP17setDollarsAllowedEb@Base 2.8.4 + _ZN12QsciLexerCPP19setFoldPreprocessorEb@Base 2.8.4 + _ZN12QsciLexerCPP19setStylePreprocPropEv@Base 2.8.4 + _ZN12QsciLexerCPP20setHighlightBackPropEv@Base 2.9 + _ZN12QsciLexerCPP20setHighlightHashPropEv@Base 2.8.4 + _ZN12QsciLexerCPP20setStylePreprocessorEb@Base 2.8.4 + _ZN12QsciLexerCPP22setHighlightEscapePropEv@Base 2.9 + _ZN12QsciLexerCPP22setHighlightTriplePropEv@Base 2.8.4 + _ZN12QsciLexerCPP27setHighlightEscapeSequencesEb@Base 2.9 + _ZN12QsciLexerCPP27setVerbatimStringEscapePropEv@Base 2.9 + _ZN12QsciLexerCPP29setHighlightBackQuotedStringsEb@Base 2.9 + _ZN12QsciLexerCPP29setHighlightHashQuotedStringsEb@Base 2.8.4 + _ZN12QsciLexerCPP2trEPKcS1_@Base 2.8.4 + _ZN12QsciLexerCPP31setHighlightTripleQuotedStringsEb@Base 2.8.4 + _ZN12QsciLexerCPP39setVerbatimStringEscapeSequencesAllowedEb@Base 2.9 + _ZN12QsciLexerCPPC1EP7QObjectb@Base 2.8.4 + _ZN12QsciLexerCPPC2EP7QObjectb@Base 2.8.4 + _ZN12QsciLexerCPPD0Ev@Base 2.8.4 + _ZN12QsciLexerCPPD1Ev@Base 2.8.4 + _ZN12QsciLexerCPPD2Ev@Base 2.8.4 + _ZN12QsciLexerCSS10setHSSPropEv@Base 2.8.4 + _ZN12QsciLexerCSS11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN12QsciLexerCSS11qt_metacastEPKc@Base 2.8.4 + _ZN12QsciLexerCSS11setLessPropEv@Base 2.8.4 + _ZN12QsciLexerCSS11setSCSSPropEv@Base 2.8.4 + _ZN12QsciLexerCSS14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN12QsciLexerCSS14setCommentPropEv@Base 2.8.4 + _ZN12QsciLexerCSS14setCompactPropEv@Base 2.8.4 + _ZN12QsciLexerCSS14setFoldCompactEb@Base 2.8.4 + _ZN12QsciLexerCSS14setHSSLanguageEb@Base 2.8.4 + _ZN12QsciLexerCSS15setFoldCommentsEb@Base 2.8.4 + _ZN12QsciLexerCSS15setLessLanguageEb@Base 2.8.4 + _ZN12QsciLexerCSS15setSCSSLanguageEb@Base 2.8.4 + _ZN12QsciLexerCSS16staticMetaObjectE@Base 2.8.4 + _ZN12QsciLexerCSS17refreshPropertiesEv@Base 2.8.4 + _ZN12QsciLexerCSS2trEPKcS1_@Base 2.8.4 + _ZN12QsciLexerCSSC1EP7QObject@Base 2.8.4 + _ZN12QsciLexerCSSC2EP7QObject@Base 2.8.4 + _ZN12QsciLexerCSSD0Ev@Base 2.8.4 + _ZN12QsciLexerCSSD1Ev@Base 2.8.4 + _ZN12QsciLexerCSSD2Ev@Base 2.8.4 + _ZN12QsciLexerIDL11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN12QsciLexerIDL11qt_metacastEPKc@Base 2.8.4 + _ZN12QsciLexerIDL16staticMetaObjectE@Base 2.8.4 + _ZN12QsciLexerIDL2trEPKcS1_@Base 2.8.4 + _ZN12QsciLexerIDLC1EP7QObject@Base 2.8.4 + _ZN12QsciLexerIDLC2EP7QObject@Base 2.8.4 + _ZN12QsciLexerIDLD0Ev@Base 2.8.4 + _ZN12QsciLexerIDLD1Ev@Base 2.8.4 + _ZN12QsciLexerIDLD2Ev@Base 2.8.4 + _ZN12QsciLexerLua11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN12QsciLexerLua11qt_metacastEPKc@Base 2.8.4 + _ZN12QsciLexerLua14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN12QsciLexerLua14setCompactPropEv@Base 2.8.4 + _ZN12QsciLexerLua14setFoldCompactEb@Base 2.8.4 + _ZN12QsciLexerLua16staticMetaObjectE@Base 2.8.4 + _ZN12QsciLexerLua17refreshPropertiesEv@Base 2.8.4 + _ZN12QsciLexerLua2trEPKcS1_@Base 2.8.4 + _ZN12QsciLexerLuaC1EP7QObject@Base 2.8.4 + _ZN12QsciLexerLuaC2EP7QObject@Base 2.8.4 + _ZN12QsciLexerLuaD0Ev@Base 2.8.4 + _ZN12QsciLexerLuaD1Ev@Base 2.8.4 + _ZN12QsciLexerLuaD2Ev@Base 2.8.4 + _ZN12QsciLexerPOV11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN12QsciLexerPOV11qt_metacastEPKc@Base 2.8.4 + _ZN12QsciLexerPOV14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN12QsciLexerPOV14setCommentPropEv@Base 2.8.4 + _ZN12QsciLexerPOV14setCompactPropEv@Base 2.8.4 + _ZN12QsciLexerPOV14setFoldCompactEb@Base 2.8.4 + _ZN12QsciLexerPOV15setFoldCommentsEb@Base 2.8.4 + _ZN12QsciLexerPOV16setDirectivePropEv@Base 2.8.4 + _ZN12QsciLexerPOV16staticMetaObjectE@Base 2.8.4 + _ZN12QsciLexerPOV17refreshPropertiesEv@Base 2.8.4 + _ZN12QsciLexerPOV17setFoldDirectivesEb@Base 2.8.4 + _ZN12QsciLexerPOV2trEPKcS1_@Base 2.8.4 + _ZN12QsciLexerPOVC1EP7QObject@Base 2.8.4 + _ZN12QsciLexerPOVC2EP7QObject@Base 2.8.4 + _ZN12QsciLexerPOVD0Ev@Base 2.8.4 + _ZN12QsciLexerPOVD1Ev@Base 2.8.4 + _ZN12QsciLexerPOVD2Ev@Base 2.8.4 + _ZN12QsciLexerSQL11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN12QsciLexerSQL11qt_metacastEPKc@Base 2.8.4 + _ZN12QsciLexerSQL13setAtElsePropEv@Base 2.8.4 + _ZN12QsciLexerSQL13setFoldAtElseEb@Base 2.8.4 + _ZN12QsciLexerSQL14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN12QsciLexerSQL14setCommentPropEv@Base 2.8.4 + _ZN12QsciLexerSQL14setCompactPropEv@Base 2.8.4 + _ZN12QsciLexerSQL14setDottedWordsEb@Base 2.8.4 + _ZN12QsciLexerSQL14setFoldCompactEb@Base 2.8.4 + _ZN12QsciLexerSQL15setFoldCommentsEb@Base 2.8.4 + _ZN12QsciLexerSQL15setHashCommentsEb@Base 2.8.4 + _ZN12QsciLexerSQL16setFoldOnlyBeginEb@Base 2.8.4 + _ZN12QsciLexerSQL16setOnlyBeginPropEv@Base 2.8.4 + _ZN12QsciLexerSQL16staticMetaObjectE@Base 2.8.4 + _ZN12QsciLexerSQL17refreshPropertiesEv@Base 2.8.4 + _ZN12QsciLexerSQL19setBackslashEscapesEb@Base 2.8.4 + _ZN12QsciLexerSQL20setQuotedIdentifiersEb@Base 2.8.4 + _ZN12QsciLexerSQL22setAllowDottedWordPropEv@Base 2.8.4 + _ZN12QsciLexerSQL23setBackslashEscapesPropEv@Base 2.8.4 + _ZN12QsciLexerSQL24setNumbersignCommentPropEv@Base 2.8.4 + _ZN12QsciLexerSQL26setBackticksIdentifierPropEv@Base 2.8.4 + _ZN12QsciLexerSQL2trEPKcS1_@Base 2.8.4 + _ZN12QsciLexerSQLC1EP7QObject@Base 2.8.4 + _ZN12QsciLexerSQLC2EP7QObject@Base 2.8.4 + _ZN12QsciLexerSQLD0Ev@Base 2.8.4 + _ZN12QsciLexerSQLD1Ev@Base 2.8.4 + _ZN12QsciLexerSQLD2Ev@Base 2.8.4 + _ZN12QsciLexerTCL11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN12QsciLexerTCL11qt_metacastEPKc@Base 2.8.4 + _ZN12QsciLexerTCL14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN12QsciLexerTCL14setCommentPropEv@Base 2.8.4 + _ZN12QsciLexerTCL15setFoldCommentsEb@Base 2.8.4 + _ZN12QsciLexerTCL16staticMetaObjectE@Base 2.8.4 + _ZN12QsciLexerTCL17refreshPropertiesEv@Base 2.8.4 + _ZN12QsciLexerTCL2trEPKcS1_@Base 2.8.4 + _ZN12QsciLexerTCLC1EP7QObject@Base 2.8.4 + _ZN12QsciLexerTCLC2EP7QObject@Base 2.8.4 + _ZN12QsciLexerTCLD0Ev@Base 2.8.4 + _ZN12QsciLexerTCLD1Ev@Base 2.8.4 + _ZN12QsciLexerTCLD2Ev@Base 2.8.4 + _ZN12QsciLexerTeX11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN12QsciLexerTeX11qt_metacastEPKc@Base 2.8.4 + _ZN12QsciLexerTeX12setProcessIfEb@Base 2.8.4 + _ZN12QsciLexerTeX13setAutoIfPropEv@Base 2.8.4 + _ZN12QsciLexerTeX14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN12QsciLexerTeX14setCommentPropEv@Base 2.8.4 + _ZN12QsciLexerTeX14setCompactPropEv@Base 2.8.4 + _ZN12QsciLexerTeX14setFoldCompactEb@Base 2.8.4 + _ZN12QsciLexerTeX15setFoldCommentsEb@Base 2.8.4 + _ZN12QsciLexerTeX16staticMetaObjectE@Base 2.8.4 + _ZN12QsciLexerTeX17refreshPropertiesEv@Base 2.8.4 + _ZN12QsciLexerTeX18setProcessCommentsEb@Base 2.8.4 + _ZN12QsciLexerTeX22setProcessCommentsPropEv@Base 2.8.4 + _ZN12QsciLexerTeX2trEPKcS1_@Base 2.8.4 + _ZN12QsciLexerTeXC1EP7QObject@Base 2.8.4 + _ZN12QsciLexerTeXC2EP7QObject@Base 2.8.4 + _ZN12QsciLexerTeXD0Ev@Base 2.8.4 + _ZN12QsciLexerTeXD1Ev@Base 2.8.4 + _ZN12QsciLexerTeXD2Ev@Base 2.8.4 + _ZN12QsciLexerXML11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN12QsciLexerXML11qt_metacastEPKc@Base 2.8.4 + _ZN12QsciLexerXML14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN12QsciLexerXML14setScriptsPropEv@Base 2.8.4 + _ZN12QsciLexerXML16setScriptsStyledEb@Base 2.8.4 + _ZN12QsciLexerXML16staticMetaObjectE@Base 2.8.4 + _ZN12QsciLexerXML17refreshPropertiesEv@Base 2.8.4 + _ZN12QsciLexerXMLC1EP7QObject@Base 2.8.4 + _ZN12QsciLexerXMLC2EP7QObject@Base 2.8.4 + _ZN12QsciLexerXMLD0Ev@Base 2.8.4 + _ZN12QsciLexerXMLD1Ev@Base 2.8.4 + _ZN12QsciLexerXMLD2Ev@Base 2.8.4 + _ZN12QsciSciPopup11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN12QsciSciPopup11qt_metacastEPKc@Base 2.8.4 + _ZN12QsciSciPopup12on_triggeredEi@Base 2.8.4 + _ZN12QsciSciPopup16staticMetaObjectE@Base 2.8.4 + _ZN12QsciSciPopup7addItemERK7QStringibP15QsciScintillaQt@Base 2.8.4 + _ZN12QsciSciPopupC1Ev@Base 2.8.4 + _ZN12QsciSciPopupC2Ev@Base 2.8.4 + _ZN12QsciSciPopupD0Ev@Base 2.8.4 + _ZN12QsciSciPopupD1Ev@Base 2.8.4 + _ZN12QsciSciPopupD2Ev@Base 2.8.4 + _ZN12StyleContext10GetCurrentEPcj@Base 2.8.4 + _ZN12StyleContext11ChangeStateEi@Base 2.8.4 + _ZN12StyleContext11GetNextCharEv@Base 2.8.4 + _ZN12StyleContext11GetRelativeEi@Base 2.8.4 + _ZN12StyleContext12ForwardBytesEi@Base 2.8.4 + _ZN12StyleContext15ForwardSetStateEi@Base 2.8.4 + _ZN12StyleContext15MatchIgnoreCaseEPKc@Base 2.8.4 + _ZN12StyleContext17GetCurrentLoweredEPcj@Base 2.8.4 + _ZN12StyleContext20GetRelativeCharacterEi@Base 2.8.4 + _ZN12StyleContext5MatchEPKc@Base 2.8.4 + _ZN12StyleContext7ForwardEi@Base 2.8.4 + _ZN12StyleContext7ForwardEv@Base 2.8.4 + _ZN12StyleContext8CompleteEv@Base 2.8.4 + _ZN12StyleContext8SetStateEi@Base 2.8.4 + _ZN12StyleContextC1EjjiR11LexAccessorc@Base 2.8.4 + _ZN12StyleContextC2EjjiR11LexAccessorc@Base 2.8.4 + _ZN13ColourDesired3SetEjjj@Base 2.8.4 + _ZN13ColourDesiredC1Ejjj@Base 2.8.4 + _ZN13ColourDesiredC1El@Base 2.8.4 + _ZN13ColourDesiredC2Ejjj@Base 2.8.4 + _ZN13ColourDesiredC2El@Base 2.8.4 + _ZN13LexerRegistry11AtValueNameER11LexAccessori@Base 2.9 + _ZN13LexerRegistry11AtValueTypeER11LexAccessori@Base 2.9 + _ZN13LexerRegistry11PrivateCallEiPv@Base 2.9 + _ZN13LexerRegistry11PropertySetEPKcS1_@Base 2.9 + _ZN13LexerRegistry11WordListSetEiPKc@Base 2.9 + _ZN13LexerRegistry12AtKeyPathEndER11LexAccessori@Base 2.9 + _ZN13LexerRegistry12PropertyTypeEPKc@Base 2.9 + _ZN13LexerRegistry13IsStringStateEi@Base 2.9 + _ZN13LexerRegistry13PropertyNamesEv@Base 2.9 + _ZN13LexerRegistry14IsKeyPathStateEi@Base 2.9 + _ZN13LexerRegistry16DescribePropertyEPKc@Base 2.9 + _ZN13LexerRegistry19IsNextNonWhitespaceER11LexAccessoric@Base 2.9 + _ZN13LexerRegistry20DescribeWordListSetsEv@Base 2.9 + _ZN13LexerRegistry20LexerFactoryRegistryEv@Base 2.9 + _ZN13LexerRegistry3LexEjiiP9IDocument@Base 2.9 + _ZN13LexerRegistry4FoldEjiiP9IDocument@Base 2.9 + _ZN13LexerRegistry6AtGUIDER11LexAccessori@Base 2.9 + _ZN13LexerRegistry7ReleaseEv@Base 2.9 + _ZN13LexerRegistryC1Ev@Base 2.9 + _ZN13LexerRegistryC2Ev@Base 2.9 + _ZN13LexerRegistryD0Ev@Base 2.9 + _ZN13LexerRegistryD1Ev@Base 2.9 + _ZN13LexerRegistryD2Ev@Base 2.9 + _ZN13OptionSetPerlC1Ev@Base 2.8.4 + _ZN13OptionSetPerlC2Ev@Base 2.8.4 + _ZN13OptionSetPerlD0Ev@Base 2.8.4 + _ZN13OptionSetPerlD1Ev@Base 2.8.4 + _ZN13OptionSetPerlD2Ev@Base 2.8.4 + _ZN13OptionSetRustC1Ev@Base 2.8.4 + _ZN13OptionSetRustC2Ev@Base 2.8.4 + _ZN13OptionSetRustD0Ev@Base 2.8.4 + _ZN13OptionSetRustD1Ev@Base 2.8.4 + _ZN13OptionSetRustD2Ev@Base 2.8.4 + _ZN13PositionCache13MeasureWidthsEP7SurfaceRK9ViewStylejPKcjPfP8Document@Base 2.9 + _ZN13PositionCache5ClearEv@Base 2.8.4 + (subst)_ZN13PositionCache7SetSizeE{size_t}@Base 2.8.4 + _ZN13PositionCacheC1Ev@Base 2.8.4 + _ZN13PositionCacheC2Ev@Base 2.8.4 + _ZN13PositionCacheD1Ev@Base 2.8.4 + _ZN13PositionCacheD2Ev@Base 2.8.4 + _ZN13PropSetSimple11SetMultipleEPKc@Base 2.8.4 + _ZN13PropSetSimple3SetEPKc@Base 2.8.4 + _ZN13PropSetSimple3SetEPKcS1_ii@Base 2.8.4 + _ZN13PropSetSimpleC1Ev@Base 2.8.4 + _ZN13PropSetSimpleC2Ev@Base 2.8.4 + _ZN13PropSetSimpleD0Ev@Base 2.8.4 + _ZN13PropSetSimpleD1Ev@Base 2.8.4 + _ZN13PropSetSimpleD2Ev@Base 2.8.4 + _ZN13QLatin1StringC1EPKc@Base 2.8.4 + _ZN13QLatin1StringC2EPKc@Base 2.8.4 + _ZN13QsciDocumentPC1Ev@Base 2.8.4 + _ZN13QsciDocumentPC2Ev@Base 2.8.4 + _ZN13QsciLexerBash11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN13QsciLexerBash11qt_metacastEPKc@Base 2.8.4 + _ZN13QsciLexerBash14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN13QsciLexerBash14setCommentPropEv@Base 2.8.4 + _ZN13QsciLexerBash14setCompactPropEv@Base 2.8.4 + _ZN13QsciLexerBash14setFoldCompactEb@Base 2.8.4 + _ZN13QsciLexerBash15setFoldCommentsEb@Base 2.8.4 + _ZN13QsciLexerBash16staticMetaObjectE@Base 2.8.4 + _ZN13QsciLexerBash17refreshPropertiesEv@Base 2.8.4 + _ZN13QsciLexerBash2trEPKcS1_@Base 2.8.4 + _ZN13QsciLexerBashC1EP7QObject@Base 2.8.4 + _ZN13QsciLexerBashC2EP7QObject@Base 2.8.4 + _ZN13QsciLexerBashD0Ev@Base 2.8.4 + _ZN13QsciLexerBashD1Ev@Base 2.8.4 + _ZN13QsciLexerBashD2Ev@Base 2.8.4 + _ZN13QsciLexerDiff11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN13QsciLexerDiff11qt_metacastEPKc@Base 2.8.4 + _ZN13QsciLexerDiff16staticMetaObjectE@Base 2.8.4 + _ZN13QsciLexerDiff2trEPKcS1_@Base 2.8.4 + _ZN13QsciLexerDiffC1EP7QObject@Base 2.8.4 + _ZN13QsciLexerDiffC2EP7QObject@Base 2.8.4 + _ZN13QsciLexerDiffD0Ev@Base 2.8.4 + _ZN13QsciLexerDiffD1Ev@Base 2.8.4 + _ZN13QsciLexerDiffD2Ev@Base 2.8.4 + _ZN13QsciLexerHTML11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN13QsciLexerHTML11qt_metacastEPKc@Base 2.8.4 + _ZN13QsciLexerHTML11setMakoPropEv@Base 2.8.4 + _ZN13QsciLexerHTML13setDjangoPropEv@Base 2.8.4 + _ZN13QsciLexerHTML14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN13QsciLexerHTML14setCompactPropEv@Base 2.8.4 + _ZN13QsciLexerHTML14setFoldCompactEb@Base 2.8.4 + _ZN13QsciLexerHTML14setPreprocPropEv@Base 2.8.4 + _ZN13QsciLexerHTML16setMakoTemplatesEb@Base 2.8.4 + _ZN13QsciLexerHTML16staticMetaObjectE@Base 2.8.4 + _ZN13QsciLexerHTML17refreshPropertiesEv@Base 2.8.4 + _ZN13QsciLexerHTML18setDjangoTemplatesEb@Base 2.8.4 + _ZN13QsciLexerHTML19setCaseSensTagsPropEv@Base 2.8.4 + _ZN13QsciLexerHTML19setFoldPreprocessorEb@Base 2.8.4 + _ZN13QsciLexerHTML20setCaseSensitiveTagsEb@Base 2.8.4 + _ZN13QsciLexerHTML21setFoldScriptCommentsEb@Base 2.8.4 + _ZN13QsciLexerHTML21setFoldScriptHeredocsEb@Base 2.8.4 + _ZN13QsciLexerHTML21setScriptCommentsPropEv@Base 2.8.4 + _ZN13QsciLexerHTML21setScriptHeredocsPropEv@Base 2.8.4 + _ZN13QsciLexerHTML2trEPKcS1_@Base 2.8.4 + _ZN13QsciLexerHTMLC1EP7QObject@Base 2.8.4 + _ZN13QsciLexerHTMLC2EP7QObject@Base 2.8.4 + _ZN13QsciLexerHTMLD0Ev@Base 2.8.4 + _ZN13QsciLexerHTMLD1Ev@Base 2.8.4 + _ZN13QsciLexerHTMLD2Ev@Base 2.8.4 + _ZN13QsciLexerJava11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN13QsciLexerJava11qt_metacastEPKc@Base 2.8.4 + _ZN13QsciLexerJava16staticMetaObjectE@Base 2.8.4 + _ZN13QsciLexerJavaC1EP7QObject@Base 2.8.4 + _ZN13QsciLexerJavaC2EP7QObject@Base 2.8.4 + _ZN13QsciLexerJavaD0Ev@Base 2.8.4 + _ZN13QsciLexerJavaD1Ev@Base 2.8.4 + _ZN13QsciLexerJavaD2Ev@Base 2.8.4 + _ZN13QsciLexerPerl11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN13QsciLexerPerl11qt_metacastEPKc@Base 2.8.4 + _ZN13QsciLexerPerl13setAtElsePropEv@Base 2.8.4 + _ZN13QsciLexerPerl13setFoldAtElseEb@Base 2.8.4 + _ZN13QsciLexerPerl14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN13QsciLexerPerl14setCommentPropEv@Base 2.8.4 + _ZN13QsciLexerPerl14setCompactPropEv@Base 2.8.4 + _ZN13QsciLexerPerl14setFoldCompactEb@Base 2.8.4 + _ZN13QsciLexerPerl15setFoldCommentsEb@Base 2.8.4 + _ZN13QsciLexerPerl15setFoldPackagesEb@Base 2.8.4 + _ZN13QsciLexerPerl15setPackagesPropEv@Base 2.8.4 + _ZN13QsciLexerPerl16setFoldPODBlocksEb@Base 2.8.4 + _ZN13QsciLexerPerl16setPODBlocksPropEv@Base 2.8.4 + _ZN13QsciLexerPerl16staticMetaObjectE@Base 2.8.4 + _ZN13QsciLexerPerl17refreshPropertiesEv@Base 2.8.4 + _ZN13QsciLexerPerl2trEPKcS1_@Base 2.8.4 + _ZN13QsciLexerPerlC1EP7QObject@Base 2.8.4 + _ZN13QsciLexerPerlC2EP7QObject@Base 2.8.4 + _ZN13QsciLexerPerlD0Ev@Base 2.8.4 + _ZN13QsciLexerPerlD1Ev@Base 2.8.4 + _ZN13QsciLexerPerlD2Ev@Base 2.8.4 + _ZN13QsciLexerRuby11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN13QsciLexerRuby11qt_metacastEPKc@Base 2.8.4 + _ZN13QsciLexerRuby14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN13QsciLexerRuby14setCommentPropEv@Base 2.8.4 + _ZN13QsciLexerRuby14setCompactPropEv@Base 2.8.4 + _ZN13QsciLexerRuby14setFoldCompactEb@Base 2.8.4 + _ZN13QsciLexerRuby15setFoldCommentsEb@Base 2.8.4 + _ZN13QsciLexerRuby16staticMetaObjectE@Base 2.8.4 + _ZN13QsciLexerRuby17refreshPropertiesEv@Base 2.8.4 + _ZN13QsciLexerRuby2trEPKcS1_@Base 2.8.4 + _ZN13QsciLexerRubyC1EP7QObject@Base 2.8.4 + _ZN13QsciLexerRubyC2EP7QObject@Base 2.8.4 + _ZN13QsciLexerRubyD0Ev@Base 2.8.4 + _ZN13QsciLexerRubyD1Ev@Base 2.8.4 + _ZN13QsciLexerRubyD2Ev@Base 2.8.4 + _ZN13QsciLexerVHDL11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN13QsciLexerVHDL11qt_metacastEPKc@Base 2.8.4 + _ZN13QsciLexerVHDL13setAtElsePropEv@Base 2.8.4 + _ZN13QsciLexerVHDL13setFoldAtElseEb@Base 2.8.4 + _ZN13QsciLexerVHDL14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN13QsciLexerVHDL14setAtBeginPropEv@Base 2.8.4 + _ZN13QsciLexerVHDL14setCommentPropEv@Base 2.8.4 + _ZN13QsciLexerVHDL14setCompactPropEv@Base 2.8.4 + _ZN13QsciLexerVHDL14setFoldAtBeginEb@Base 2.8.4 + _ZN13QsciLexerVHDL14setFoldCompactEb@Base 2.8.4 + _ZN13QsciLexerVHDL15setFoldCommentsEb@Base 2.8.4 + _ZN13QsciLexerVHDL16setAtParenthPropEv@Base 2.8.4 + _ZN13QsciLexerVHDL16staticMetaObjectE@Base 2.8.4 + _ZN13QsciLexerVHDL17refreshPropertiesEv@Base 2.8.4 + _ZN13QsciLexerVHDL20setFoldAtParenthesisEb@Base 2.8.4 + _ZN13QsciLexerVHDL2trEPKcS1_@Base 2.8.4 + _ZN13QsciLexerVHDLC1EP7QObject@Base 2.8.4 + _ZN13QsciLexerVHDLC2EP7QObject@Base 2.8.4 + _ZN13QsciLexerVHDLD0Ev@Base 2.8.4 + _ZN13QsciLexerVHDLD1Ev@Base 2.8.4 + _ZN13QsciLexerVHDLD2Ev@Base 2.8.4 + _ZN13QsciLexerYAML11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN13QsciLexerYAML11qt_metacastEPKc@Base 2.8.4 + _ZN13QsciLexerYAML14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN13QsciLexerYAML14setCommentPropEv@Base 2.8.4 + _ZN13QsciLexerYAML15setFoldCommentsEb@Base 2.8.4 + _ZN13QsciLexerYAML16staticMetaObjectE@Base 2.8.4 + _ZN13QsciLexerYAML17refreshPropertiesEv@Base 2.8.4 + _ZN13QsciLexerYAML2trEPKcS1_@Base 2.8.4 + _ZN13QsciLexerYAMLC1EP7QObject@Base 2.8.4 + _ZN13QsciLexerYAMLC2EP7QObject@Base 2.8.4 + _ZN13QsciLexerYAMLD0Ev@Base 2.8.4 + _ZN13QsciLexerYAMLD1Ev@Base 2.8.4 + _ZN13QsciLexerYAMLD2Ev@Base 2.8.4 + _ZN13QsciListBoxQt12GetSelectionEv@Base 2.8.4 + _ZN13QsciListBoxQt13CaretFromEdgeEv@Base 2.8.4 + _ZN13QsciListBoxQt13RegisterImageEiPKc@Base 2.8.4 + _ZN13QsciListBoxQt14GetDesiredRectEv@Base 2.8.4 + _ZN13QsciListBoxQt14SetVisibleRowsEi@Base 2.8.4 + _ZN13QsciListBoxQt17RegisterRGBAImageEiiiPKh@Base 2.8.4 + _ZN13QsciListBoxQt19SetAverageCharWidthEi@Base 2.8.4 + _ZN13QsciListBoxQt20SetDoubleClickActionEPFvPvES0_@Base 2.8.4 + _ZN13QsciListBoxQt21ClearRegisteredImagesEv@Base 2.8.4 + _ZN13QsciListBoxQt4FindEPKc@Base 2.8.4 + _ZN13QsciListBoxQt4SortEv@Base 2.8.4 + _ZN13QsciListBoxQt5ClearEv@Base 2.8.4 + _ZN13QsciListBoxQt6AppendEPci@Base 2.8.4 + _ZN13QsciListBoxQt6CreateER6Windowi5Pointibi@Base 2.8.4 + _ZN13QsciListBoxQt6LengthEv@Base 2.8.4 + _ZN13QsciListBoxQt6SelectEi@Base 2.8.4 + _ZN13QsciListBoxQt7SetFontER4Font@Base 2.8.4 + _ZN13QsciListBoxQt7SetListEPKccc@Base 2.8.4 + _ZN13QsciListBoxQt8GetValueEiPci@Base 2.8.4 + _ZN13QsciListBoxQtC1Ev@Base 2.8.4 + _ZN13QsciListBoxQtC2Ev@Base 2.8.4 + _ZN13QsciListBoxQtD0Ev@Base 2.8.4 + _ZN13QsciListBoxQtD1Ev@Base 2.8.4 + _ZN13QsciListBoxQtD2Ev@Base 2.8.4 + _ZN13QsciScintilla10allocateIdERiRjii@Base 2.8.4 + _ZN13QsciScintilla10apiContextEiRiS0_@Base 2.8.4 + _ZN13QsciScintilla10braceMatchEv@Base 2.8.4 + _ZN13QsciScintilla10cancelListEv@Base 2.8.4 + _ZN13QsciScintilla10checkBraceEliRb@Base 2.8.4 + _ZN13QsciScintilla10clearFoldsEv@Base 2.8.4 + _ZN13QsciScintilla10foldExpandERibbii@Base 2.8.4 + _ZN13QsciScintilla10setEolModeENS_7EolModeE@Base 2.8.4 + _ZN13QsciScintilla10setFoldingENS_9FoldStyleEi@Base 2.8.4 + _ZN13QsciScintilla10simpleFindEv@Base 2.8.4 + _ZN13QsciScintilla11blockIndentEi@Base 2.8.4 + _ZN13QsciScintilla11changeEventEP6QEvent@Base 2.8.4 + _ZN13QsciScintilla11checkMarkerERi@Base 2.8.4 + _ZN13QsciScintilla11convertEolsENS_7EolModeE@Base 2.8.4 + _ZN13QsciScintilla11detachLexerEv@Base 2.8.4 + _ZN13QsciScintilla11foldChangedEiii@Base 2.8.4 + _ZN13QsciScintilla11insertAtPosERK7QStringi@Base 2.8.4 + _ZN13QsciScintilla11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN13QsciScintilla11qt_metacastEPKc@Base 2.8.4 + _ZN13QsciScintilla11setDocumentERK12QsciDocument@Base 2.8.4 + _ZN13QsciScintilla11setEdgeModeENS_8EdgeModeE@Base 2.8.4 + _ZN13QsciScintilla11setModifiedEb@Base 2.8.4 + _ZN13QsciScintilla11setReadOnlyEb@Base 2.8.4 + _ZN13QsciScintilla11setTabWidthEi@Base 2.8.4 + _ZN13QsciScintilla11setWrapModeENS_8WrapModeE@Base 2.8.4 + _ZN13QsciScintilla11textChangedEv@Base 2.8.4 + _ZN13QsciScintilla12linesChangedEv@Base 2.8.4 + _ZN13QsciScintilla12mapModifiersEi@Base 2.8.4 + _ZN13QsciScintilla12markerDefineENS_12MarkerSymbolEi@Base 2.8.4 + _ZN13QsciScintilla12markerDefineERK6QImagei@Base 2.8.4 + _ZN13QsciScintilla12markerDefineERK7QPixmapi@Base 2.8.4 + _ZN13QsciScintilla12markerDefineEci@Base 2.8.4 + _ZN13QsciScintilla12markerDeleteEii@Base 2.8.4 + _ZN13QsciScintilla12setEdgeColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla12setSelectionEiiii@Base 2.8.4 + _ZN13QsciScintilla12showUserListEiRK11QStringList@Base 2.8.4 + _ZN13QsciScintilla13copyAvailableEb@Base 2.8.4 + _ZN13QsciScintilla13endUndoActionEv@Base 2.8.4 + _ZN13QsciScintilla13marginClickedEii6QFlagsIN2Qt16KeyboardModifierEE@Base 2.8.4 + _ZN13QsciScintilla13registerImageEiRK6QImage@Base 2.8.4 + _ZN13QsciScintilla13registerImageEiRK7QPixmap@Base 2.8.4 + _ZN13QsciScintilla13setAutoIndentEb@Base 2.8.4 + _ZN13QsciScintilla13setCaretWidthEi@Base 2.8.4 + _ZN13QsciScintilla13setEdgeColumnEi@Base 2.8.4 + _ZN13QsciScintilla13setFoldMarkerEii@Base 2.8.4 + _ZN13QsciScintilla13setLexerStyleEi@Base 2.8.4 + _ZN13QsciScintilla13setMarginTextEiRK14QsciStyledText@Base 2.8.4 + _ZN13QsciScintilla13setMarginTextEiRK5QListI14QsciStyledTextE@Base 2.8.4 + _ZN13QsciScintilla13setMarginTextEiRK7QStringRK9QsciStyle@Base 2.8.4 + _ZN13QsciScintilla13setMarginTextEiRK7QStringi@Base 2.8.4 + _ZN13QsciScintilla13setMarginTypeEiNS_10MarginTypeE@Base 2.8.4 + _ZN13QsciScintilla13setStylesFontERK5QFonti@Base 2.8.4 + _ZN13QsciScintilla13setTabIndentsEb@Base 2.8.4 + _ZN13QsciScintilla14autoIndentLineElii@Base 2.8.4 + _ZN13QsciScintilla14checkIndicatorERi@Base 2.8.4 + _ZN13QsciScintilla14findStyledWordEPKciS1_@Base 2.8.4 + _ZN13QsciScintilla14getIndentStateEi@Base 2.8.4 + _ZN13QsciScintilla14handleModifiedEiiPKciiiiiii@Base 2.8.4 + _ZN13QsciScintilla14handleUpdateUIEi@Base 2.8.4 + _ZN13QsciScintilla14setExtraAscentEi@Base 2.8.4 + _ZN13QsciScintilla14setHotspotWrapEb@Base 2.8.4 + _ZN13QsciScintilla14setIndentationEii@Base 2.8.4 + _ZN13QsciScintilla14setMarginWidthEiRK7QString@Base 2.8.4 + _ZN13QsciScintilla14setMarginWidthEii@Base 2.8.4 + _ZN13QsciScintilla14setMarginsFontERK5QFont@Base 2.8.4 + _ZN13QsciScintilla15autoIndentationEcl@Base 2.8.4 + _ZN13QsciScintilla15beginUndoActionEv@Base 2.8.4 + _ZN13QsciScintilla15clearMarginTextEi@Base 2.8.4 + _ZN13QsciScintilla15handleCharAddedEi@Base 2.8.4 + _ZN13QsciScintilla15indicatorDefineENS_14IndicatorStyleEi@Base 2.8.4 + _ZN13QsciScintilla15markerDeleteAllEi@Base 2.8.4 + _ZN13QsciScintilla15setExtraDescentEi@Base 2.8.4 + _ZN13QsciScintilla16clearAnnotationsEi@Base 2.8.4 + _ZN13QsciScintilla16contextMenuEventEP17QContextMenuEvent@Base 2.8.4 + _ZN13QsciScintilla16delete_selectionEv@Base 2.8.4 + _ZN13QsciScintilla16indicatorClickedEii6QFlagsIN2Qt16KeyboardModifierEE@Base 2.8.4 + _ZN13QsciScintilla16selectionChangedEv@Base 2.8.4 + _ZN13QsciScintilla16setBraceMatchingENS_10BraceMatchE@Base 2.8.4 + _ZN13QsciScintilla16setCallTipsStyleENS_13CallTipsStyleE@Base 2.8.4 + _ZN13QsciScintilla16setEnabledColorsEiR6QColorS1_@Base 2.8.4 + _ZN13QsciScintilla16setEolVisibilityEb@Base 2.8.4 + _ZN13QsciScintilla16setMarginOptionsEi@Base 2.8.4 + _ZN13QsciScintilla16setOverwriteModeEb@Base 2.8.4 + _ZN13QsciScintilla16staticMetaObjectE@Base 2.8.4 + _ZN13QsciScintilla17ensureLineVisibleEi@Base 2.8.4 + _ZN13QsciScintilla17findMatchingBraceERlS0_NS_10BraceMatchE@Base 2.8.4 + _ZN13QsciScintilla17gotoMatchingBraceEb@Base 2.8.4 + _ZN13QsciScintilla17handleMarginClickEiii@Base 2.8.4 + _ZN13QsciScintilla17indicatorReleasedEii6QFlagsIN2Qt16KeyboardModifierEE@Base 2.8.4 + _ZN13QsciScintilla17rangeIsWhitespaceEll@Base 2.8.4 + _ZN13QsciScintilla17setCursorPositionEii@Base 2.8.4 + _ZN13QsciScintilla17setSelectionToEolEb@Base 2.8.4 + _ZN13QsciScintilla17setWhitespaceSizeEi@Base 2.8.4 + _ZN13QsciScintilla17setWrapIndentModeENS_14WrapIndentModeE@Base 2.8.4 + _ZN13QsciScintilla17userListActivatedEiRK7QString@Base 2.8.4 + _ZN13QsciScintilla18fillIndicatorRangeEiiiii@Base 2.8.4 + _ZN13QsciScintilla18handleCallTipClickEi@Base 2.8.4 + _ZN13QsciScintilla18markerDeleteHandleEi@Base 2.8.4 + _ZN13QsciScintilla18removeSelectedTextEv@Base 2.8.4 + _ZN13QsciScintilla18setCallTipsVisibleEi@Base 2.8.4 + _ZN13QsciScintilla18setContractedFoldsERK5QListIiE@Base 2.8.4 + _ZN13QsciScintilla18setWrapVisualFlagsENS_14WrapVisualFlagES0_i@Base 2.8.4 + _ZN13QsciScintilla19autoCompleteFromAllEv@Base 2.8.4 + _ZN13QsciScintilla19clearIndicatorRangeEiiiii@Base 2.8.4 + _ZN13QsciScintilla19ensureCursorVisibleEv@Base 2.8.4 + _ZN13QsciScintilla19handleSavePointLeftEv@Base 2.8.4 + _ZN13QsciScintilla19maintainIndentationEcl@Base 2.8.4 + _ZN13QsciScintilla19modificationChangedEb@Base 2.8.4 + _ZN13QsciScintilla19moveToMatchingBraceEv@Base 2.8.4 + _ZN13QsciScintilla19replaceSelectedTextERK7QString@Base 2.8.4 + _ZN13QsciScintilla19setCallTipsPositionENS_16CallTipsPositionE@Base 2.8.4 + _ZN13QsciScintilla19setCaretLineVisibleEb@Base 2.8.4 + _ZN13QsciScintilla19setFirstVisibleLineEi@Base 2.8.4 + _ZN13QsciScintilla19setFoldMarginColorsERK6QColorS2_@Base 2.8.4 + _ZN13QsciScintilla19setHotspotUnderlineEb@Base 2.8.4 + _ZN13QsciScintilla19setIndentationWidthEi@Base 2.8.4 + _ZN13QsciScintilla19setMarginMarkerMaskEii@Base 2.8.4 + _ZN13QsciScintilla19startAutoCompletionENS_20AutoCompletionSourceEbb@Base 2.8.4 + _ZN13QsciScintilla20autoCompleteFromAPIsEv@Base 2.8.4 + _ZN13QsciScintilla20findFirstInSelectionERK7QStringbbbbbb@Base 2.8.4 + _ZN13QsciScintilla20handleIndicatorClickEii@Base 2.8.4 + _ZN13QsciScintilla20handlePropertyChangeEPKcS1_@Base 2.8.4 + _ZN13QsciScintilla20setAnnotationDisplayENS_17AnnotationDisplayE@Base 2.8.4 + _ZN13QsciScintilla20setIndentationGuidesEb@Base 2.8.4 + _ZN13QsciScintilla20setMarginLineNumbersEib@Base 2.8.4 + _ZN13QsciScintilla20setMarginSensitivityEib@Base 2.8.4 + _ZN13QsciScintilla21clearRegisteredImagesEv@Base 2.8.4 + _ZN13QsciScintilla21cursorPositionChangedEii@Base 2.8.4 + _ZN13QsciScintilla21handleStyleFontChangeERK5QFonti@Base 2.8.4 + _ZN13QsciScintilla21modificationAttemptedEv@Base 2.8.4 + _ZN13QsciScintilla21resetFoldMarginColorsEv@Base 2.8.4 + _ZN13QsciScintilla21selectToMatchingBraceEv@Base 2.8.4 + _ZN13QsciScintilla21setBackspaceUnindentsEb@Base 2.8.4 + _ZN13QsciScintilla21setIndicatorDrawUnderEbi@Base 2.8.4 + _ZN13QsciScintilla22handleIndicatorReleaseEii@Base 2.8.4 + _ZN13QsciScintilla22handleSavePointReachedEv@Base 2.8.4 + _ZN13QsciScintilla22handleSelectionChangedEb@Base 2.8.4 + _ZN13QsciScintilla22handleStyleColorChangeERK6QColori@Base 2.8.4 + _ZN13QsciScintilla22handleStylePaperChangeERK6QColori@Base 2.8.4 + _ZN13QsciScintilla22setIndentationsUseTabsEb@Base 2.8.4 + _ZN13QsciScintilla22setIndicatorHoverStyleENS_14IndicatorStyleEi@Base 2.9 + _ZN13QsciScintilla23handleUserListSelectionEPKci@Base 2.8.4 + _ZN13QsciScintilla23setAutoCompletionSourceENS_20AutoCompletionSourceE@Base 2.8.4 + _ZN13QsciScintilla23setCaretForegroundColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla23setWhitespaceVisibilityENS_20WhitespaceVisibilityE@Base 2.8.4 + _ZN13QsciScintilla24autoCompleteFromDocumentEv@Base 2.8.4 + _ZN13QsciScintilla24handleStyleEolFillChangeEbi@Base 2.8.4 + _ZN13QsciScintilla24setAutoCompletionFillupsEPKc@Base 2.8.4 + _ZN13QsciScintilla24setIndicatorOutlineColorERK6QColori@Base 2.8.4 + _ZN13QsciScintilla24setMarkerBackgroundColorERK6QColori@Base 2.8.4 + _ZN13QsciScintilla24setMarkerForegroundColorERK6QColori@Base 2.8.4 + _ZN13QsciScintilla24setMatchedBraceIndicatorEi@Base 2.8.4 + _ZN13QsciScintilla25createStandardContextMenuEv@Base 2.8.4 + _ZN13QsciScintilla25setCallTipsHighlightColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla25setHotspotBackgroundColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla25setHotspotForegroundColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla25setMarginsBackgroundColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla25setMarginsForegroundColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla26resetMatchedBraceIndicatorEv@Base 2.8.4 + _ZN13QsciScintilla26setAutoCompletionThresholdEi@Base 2.8.4 + _ZN13QsciScintilla26setAutoCompletionUseSingleENS_23AutoCompletionUseSingleE@Base 2.8.4 + _ZN13QsciScintilla26setCallTipsBackgroundColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla26setCallTipsForegroundColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla26setUnmatchedBraceIndicatorEi@Base 2.8.4 + _ZN13QsciScintilla27resetHotspotBackgroundColorEv@Base 2.8.4 + _ZN13QsciScintilla27resetHotspotForegroundColorEv@Base 2.8.4 + _ZN13QsciScintilla27setAutoCompletionShowSingleEb@Base 2.8.4 + _ZN13QsciScintilla27setCaretLineBackgroundColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla27setIndicatorForegroundColorERK6QColori@Base 2.8.4 + _ZN13QsciScintilla27setSelectionBackgroundColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla27setSelectionForegroundColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla28resetUnmatchedBraceIndicatorEv@Base 2.8.4 + _ZN13QsciScintilla28setAutoCompletionReplaceWordEb@Base 2.8.4 + _ZN13QsciScintilla28setWhitespaceBackgroundColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla28setWhitespaceForegroundColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla29handleAutoCompletionSelectionEv@Base 2.8.4 + _ZN13QsciScintilla29resetSelectionBackgroundColorEv@Base 2.8.4 + _ZN13QsciScintilla29resetSelectionForegroundColorEv@Base 2.8.4 + _ZN13QsciScintilla2trEPKcS1_@Base 2.8.4 + _ZN13QsciScintilla30setMatchedBraceBackgroundColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla30setMatchedBraceForegroundColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla31setAutoCompletionFillupsEnabledEb@Base 2.8.4 + _ZN13QsciScintilla31setAutoCompletionWordSeparatorsERK11QStringList@Base 2.8.4 + _ZN13QsciScintilla32setAutoCompletionCaseSensitivityEb@Base 2.8.4 + _ZN13QsciScintilla32setIndicatorHoverForegroundColorERK6QColori@Base 2.9 + _ZN13QsciScintilla32setUnmatchedBraceBackgroundColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla32setUnmatchedBraceForegroundColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla35setIndentationGuidesBackgroundColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla35setIndentationGuidesForegroundColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla3cutEv@Base 2.8.4 + _ZN13QsciScintilla4copyEv@Base 2.8.4 + _ZN13QsciScintilla4readEP9QIODevice@Base 2.8.4 + _ZN13QsciScintilla4redoEv@Base 2.8.4 + _ZN13QsciScintilla4undoEv@Base 2.8.4 + _ZN13QsciScintilla5clearEv@Base 2.8.4 + _ZN13QsciScintilla5eventEP6QEvent@Base 2.8.4 + _ZN13QsciScintilla5pasteEv@Base 2.8.4 + _ZN13QsciScintilla6appendERK7QString@Base 2.8.4 + _ZN13QsciScintilla6doFindEv@Base 2.8.4 + _ZN13QsciScintilla6indentEi@Base 2.8.4 + _ZN13QsciScintilla6insertERK7QString@Base 2.8.4 + _ZN13QsciScintilla6zoomInEi@Base 2.8.4 + _ZN13QsciScintilla6zoomInEv@Base 2.8.4 + _ZN13QsciScintilla6zoomToEi@Base 2.8.4 + _ZN13QsciScintilla7callTipEv@Base 2.8.4 + _ZN13QsciScintilla7foldAllEb@Base 2.8.4 + _ZN13QsciScintilla7recolorEii@Base 2.8.4 + _ZN13QsciScintilla7replaceERK7QString@Base 2.8.4 + _ZN13QsciScintilla7setFontERK5QFont@Base 2.8.4 + _ZN13QsciScintilla7setTextERK7QString@Base 2.8.4 + _ZN13QsciScintilla7setUtf8Eb@Base 2.8.4 + _ZN13QsciScintilla7zoomOutEi@Base 2.8.4 + _ZN13QsciScintilla7zoomOutEv@Base 2.8.4 + _ZN13QsciScintilla8annotateEiRK14QsciStyledText@Base 2.8.4 + _ZN13QsciScintilla8annotateEiRK5QListI14QsciStyledTextE@Base 2.8.4 + _ZN13QsciScintilla8annotateEiRK7QStringRK9QsciStyle@Base 2.8.4 + _ZN13QsciScintilla8annotateEiRK7QStringi@Base 2.8.4 + _ZN13QsciScintilla8ensureRWEv@Base 2.8.4 + _ZN13QsciScintilla8findNextEv@Base 2.8.4 + _ZN13QsciScintilla8foldLineEi@Base 2.8.4 + _ZN13QsciScintilla8insertAtERK7QStringii@Base 2.8.4 + _ZN13QsciScintilla8setColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla8setLexerEP9QsciLexer@Base 2.8.4 + _ZN13QsciScintilla8setPaperERK6QColor@Base 2.8.4 + _ZN13QsciScintilla8unindentEi@Base 2.8.4 + _ZN13QsciScintilla9FindStateC1Ev@Base 2.8.4 + _ZN13QsciScintilla9FindStateC2Ev@Base 2.8.4 + _ZN13QsciScintilla9FindStateD1Ev@Base 2.8.4 + _ZN13QsciScintilla9FindStateD2Ev@Base 2.8.4 + _ZN13QsciScintilla9findFirstERK7QStringbbbbbiibb@Base 2.8.4 + _ZN13QsciScintilla9foldClickEii@Base 2.8.4 + _ZN13QsciScintilla9markerAddEii@Base 2.8.4 + _ZN13QsciScintilla9selectAllEb@Base 2.8.4 + _ZN13QsciScintilla9styleTextERK5QListI14QsciStyledTextEPPci@Base 2.8.4 + _ZN13QsciScintillaC1EP7QWidget@Base 2.8.4 + _ZN13QsciScintillaC2EP7QWidget@Base 2.8.4 + _ZN13QsciScintillaD0Ev@Base 2.8.4 + _ZN13QsciScintillaD1Ev@Base 2.8.4 + _ZN13QsciScintillaD2Ev@Base 2.8.4 + _ZN13ScintillaBase10AddCharUTFEPKcjb@Base 2.9 + _ZN13ScintillaBase10ButtonDownE5Pointjbbb@Base 2.8.4 + _ZN13ScintillaBase10KeyCommandEj@Base 2.8.4 + _ZN13ScintillaBase11CallTipShowE5PointPKc@Base 2.8.4 + _ZN13ScintillaBase11CancelModesEv@Base 2.8.4 + _ZN13ScintillaBase11ContextMenuE5Point@Base 2.8.4 + _ZN13ScintillaBase12CallTipClickEv@Base 2.8.4 + _ZN13ScintillaBase16AutoCompleteMoveEi@Base 2.8.4 + _ZN13ScintillaBase16DocumentLexStateEv@Base 2.8.4 + _ZN13ScintillaBase17AutoCompleteStartEiPKc@Base 2.8.4 + _ZN13ScintillaBase18AutoCompleteCancelEv@Base 2.8.4 + _ZN13ScintillaBase18AutoCompleteInsertEiiPKci@Base 2.8.4 + _ZN13ScintillaBase18NotifyLexerChangedEP8DocumentPv@Base 2.8.4 + _ZN13ScintillaBase19NotifyStyleToNeededEi@Base 2.8.4 + _ZN13ScintillaBase21AutoCompleteCompletedEv@Base 2.8.4 + _ZN13ScintillaBase23AutoCompleteDoubleClickEPv@Base 2.8.4 + _ZN13ScintillaBase23ButtonDownWithModifiersE5Pointji@Base 2.9 + _ZN13ScintillaBase26AutoCompleteCharacterAddedEc@Base 2.8.4 + _ZN13ScintillaBase28AutoCompleteCharacterDeletedEv@Base 2.8.4 + _ZN13ScintillaBase29AutoCompleteMoveToCurrentWordEv@Base 2.8.4 + _ZN13ScintillaBase7CommandEi@Base 2.8.4 + _ZN13ScintillaBase7WndProcEjml@Base 2.8.4 + _ZN13ScintillaBase8FinaliseEv@Base 2.8.4 + _ZN13ScintillaBaseC1Ev@Base 2.8.4 + _ZN13ScintillaBaseC2Ev@Base 2.8.4 + _ZN13ScintillaBaseD0Ev@Base 2.8.4 + _ZN13ScintillaBaseD1Ev@Base 2.8.4 + _ZN13ScintillaBaseD2Ev@Base 2.8.4 + _ZN13SelectionText24FixSelectionForClipboardEv@Base 2.8.4 + _ZN13SelectionText4CopyERKSsiibb@Base 2.8.4 + _ZN13SelectionText5ClearEv@Base 2.8.4 + _ZN13SelectionTextC1Ev@Base 2.8.4 + _ZN13SelectionTextC2Ev@Base 2.8.4 + _ZN13SelectionTextD1Ev@Base 2.8.4 + _ZN13SelectionTextD2Ev@Base 2.8.4 + _ZN13latexFoldSaveC1ERKS_@Base 2.8.4 + _ZN13latexFoldSaveC1Ev@Base 2.8.4 + _ZN13latexFoldSaveC2ERKS_@Base 2.8.4 + _ZN13latexFoldSaveC2Ev@Base 2.8.4 + _ZN14AutoLineLayout3SetEP10LineLayout@Base 2.8.4 + _ZN14AutoLineLayoutC1ER15LineLayoutCacheP10LineLayout@Base 2.8.4 + _ZN14AutoLineLayoutC2ER15LineLayoutCacheP10LineLayout@Base 2.8.4 + _ZN14AutoLineLayoutD1Ev@Base 2.8.4 + _ZN14AutoLineLayoutD2Ev@Base 2.8.4 + _ZN14ColourOptionalC1E13ColourDesiredb@Base 2.8.4 + _ZN14ColourOptionalC1Eml@Base 2.8.4 + _ZN14ColourOptionalC2E13ColourDesiredb@Base 2.8.4 + _ZN14ColourOptionalC2Eml@Base 2.8.4 + _ZN14DecorationList11DeleteRangeEii@Base 2.8.4 + _ZN14DecorationList11InsertSpaceEii@Base 2.8.4 + _ZN14DecorationList14DeleteAnyEmptyEv@Base 2.8.4 + _ZN14DecorationList15SetCurrentValueEi@Base 2.8.4 + _ZN14DecorationList19SetCurrentIndicatorEi@Base 2.8.4 + _ZN14DecorationList23DecorationFromIndicatorEi@Base 2.8.4 + _ZN14DecorationList3EndEii@Base 2.8.4 + _ZN14DecorationList5StartEii@Base 2.8.4 + _ZN14DecorationList6CreateEii@Base 2.8.4 + _ZN14DecorationList6DeleteEi@Base 2.8.4 + _ZN14DecorationList7ValueAtEii@Base 2.8.4 + _ZN14DecorationList9FillRangeERiiS0_@Base 2.8.4 + _ZN14DecorationListC1Ev@Base 2.8.4 + _ZN14DecorationListC2Ev@Base 2.8.4 + _ZN14DecorationListD1Ev@Base 2.8.4 + _ZN14DecorationListD2Ev@Base 2.8.4 + _ZN14DynamicLibrary4LoadEPKc@Base 2.8.4 + _ZN14DynamicLibraryC1Ev@Base 2.8.4 + _ZN14DynamicLibraryC2Ev@Base 2.8.4 + _ZN14DynamicLibraryD0Ev@Base 2.8.4 + _ZN14DynamicLibraryD1Ev@Base 2.8.4 + _ZN14DynamicLibraryD2Ev@Base 2.8.4 + _ZN14FontParametersC1EPKcfibiii@Base 2.8.4 + _ZN14FontParametersC2EPKcfibiii@Base 2.8.4 + _ZN14ICaseConverterC1Ev@Base 2.8.4 + _ZN14ICaseConverterC2Ev@Base 2.8.4 + _ZN14LineAnnotation10InsertLineEi@Base 2.8.4 + _ZN14LineAnnotation10RemoveLineEi@Base 2.8.4 + _ZN14LineAnnotation4InitEv@Base 2.8.4 + _ZN14LineAnnotation7SetTextEiPKc@Base 2.8.4 + _ZN14LineAnnotation8ClearAllEv@Base 2.8.4 + _ZN14LineAnnotation8SetStyleEii@Base 2.8.4 + _ZN14LineAnnotation9SetStylesEiPKh@Base 2.8.4 + _ZN14LineAnnotationC1Ev@Base 2.8.4 + _ZN14LineAnnotationC2Ev@Base 2.8.4 + _ZN14LineAnnotationD0Ev@Base 2.8.4 + _ZN14LineAnnotationD1Ev@Base 2.8.4 + _ZN14LineAnnotationD2Ev@Base 2.8.4 + _ZN14OptionSetBasicC1EPKPKc@Base 2.8.4 + _ZN14OptionSetBasicC2EPKPKc@Base 2.8.4 + _ZN14OptionSetBasicD0Ev@Base 2.8.4 + _ZN14OptionSetBasicD1Ev@Base 2.8.4 + _ZN14OptionSetBasicD2Ev@Base 2.8.4 + _ZN14OptionsHaskellC1Ev@Base 2.8.4 + _ZN14OptionsHaskellC2Ev@Base 2.8.4 + _ZN14QsciAPIsWorker3runEv@Base 2.8.4 + _ZN14QsciAPIsWorkerC1EP8QsciAPIs@Base 2.8.4 + _ZN14QsciAPIsWorkerC2EP8QsciAPIs@Base 2.8.4 + _ZN14QsciAPIsWorkerD0Ev@Base 2.8.4 + _ZN14QsciAPIsWorkerD1Ev@Base 2.8.4 + _ZN14QsciAPIsWorkerD2Ev@Base 2.8.4 + _ZN14QsciCommandSet12readSettingsER9QSettingsPKc@Base 2.8.4 + _ZN14QsciCommandSet13writeSettingsER9QSettingsPKc@Base 2.8.4 + _ZN14QsciCommandSet18clearAlternateKeysEv@Base 2.8.4 + _ZN14QsciCommandSet9clearKeysEv@Base 2.8.4 + _ZN14QsciCommandSetC1EP13QsciScintilla@Base 2.8.4 + _ZN14QsciCommandSetC2EP13QsciScintilla@Base 2.8.4 + _ZN14QsciCommandSetD1Ev@Base 2.8.4 + _ZN14QsciCommandSetD2Ev@Base 2.8.4 + _ZN14QsciLexerBatch11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN14QsciLexerBatch11qt_metacastEPKc@Base 2.8.4 + _ZN14QsciLexerBatch16staticMetaObjectE@Base 2.8.4 + _ZN14QsciLexerBatch2trEPKcS1_@Base 2.8.4 + _ZN14QsciLexerBatchC1EP7QObject@Base 2.8.4 + _ZN14QsciLexerBatchC2EP7QObject@Base 2.8.4 + _ZN14QsciLexerBatchD0Ev@Base 2.8.4 + _ZN14QsciLexerBatchD1Ev@Base 2.8.4 + _ZN14QsciLexerBatchD2Ev@Base 2.8.4 + _ZN14QsciLexerCMake11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN14QsciLexerCMake11qt_metacastEPKc@Base 2.8.4 + _ZN14QsciLexerCMake13setAtElsePropEv@Base 2.8.4 + _ZN14QsciLexerCMake13setFoldAtElseEb@Base 2.8.4 + _ZN14QsciLexerCMake14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN14QsciLexerCMake16staticMetaObjectE@Base 2.8.4 + _ZN14QsciLexerCMake17refreshPropertiesEv@Base 2.8.4 + _ZN14QsciLexerCMake2trEPKcS1_@Base 2.8.4 + _ZN14QsciLexerCMakeC1EP7QObject@Base 2.8.4 + _ZN14QsciLexerCMakeC2EP7QObject@Base 2.8.4 + _ZN14QsciLexerCMakeD0Ev@Base 2.8.4 + _ZN14QsciLexerCMakeD1Ev@Base 2.8.4 + _ZN14QsciLexerCMakeD2Ev@Base 2.8.4 + _ZN14QsciLexerSpice11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN14QsciLexerSpice11qt_metacastEPKc@Base 2.8.4 + _ZN14QsciLexerSpice16staticMetaObjectE@Base 2.8.4 + _ZN14QsciLexerSpice2trEPKcS1_@Base 2.8.4 + _ZN14QsciLexerSpiceC1EP7QObject@Base 2.8.4 + _ZN14QsciLexerSpiceC2EP7QObject@Base 2.8.4 + _ZN14QsciLexerSpiceD0Ev@Base 2.8.4 + _ZN14QsciLexerSpiceD1Ev@Base 2.8.4 + _ZN14QsciLexerSpiceD2Ev@Base 2.8.4 + _ZN14QsciSciCallTip10paintEventEP11QPaintEvent@Base 2.8.4 + _ZN14QsciSciCallTip11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN14QsciSciCallTip11qt_metacastEPKc@Base 2.8.4 + _ZN14QsciSciCallTip15mousePressEventEP11QMouseEvent@Base 2.8.4 + _ZN14QsciSciCallTip16staticMetaObjectE@Base 2.8.4 + _ZN14QsciSciCallTipC1EP7QWidgetP15QsciScintillaQt@Base 2.8.4 + _ZN14QsciSciCallTipC2EP7QWidgetP15QsciScintillaQt@Base 2.8.4 + _ZN14QsciSciCallTipD0Ev@Base 2.8.4 + _ZN14QsciSciCallTipD1Ev@Base 2.8.4 + _ZN14QsciSciCallTipD2Ev@Base 2.8.4 + _ZN14QsciSciListBox11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN14QsciSciListBox11qt_metacastEPKc@Base 2.8.4 + _ZN14QsciSciListBox13addItemPixmapERK7QPixmapRK7QString@Base 2.8.4 + _ZN14QsciSciListBox13keyPressEventEP9QKeyEvent@Base 2.8.4 + _ZN14QsciSciListBox15handleSelectionEv@Base 2.8.4 + _ZN14QsciSciListBox16staticMetaObjectE@Base 2.8.4 + _ZN14QsciSciListBox4findERK7QString@Base 2.8.4 + _ZN14QsciSciListBox4textEi@Base 2.8.4 + _ZN14QsciSciListBoxC1EP7QWidgetP13QsciListBoxQt@Base 2.8.4 + _ZN14QsciSciListBoxC2EP7QWidgetP13QsciListBoxQt@Base 2.8.4 + _ZN14QsciSciListBoxD0Ev@Base 2.8.4 + _ZN14QsciSciListBoxD1Ev@Base 2.8.4 + _ZN14QsciSciListBoxD2Ev@Base 2.8.4 + _ZN14QsciStyledTextC1ERK7QStringRK9QsciStyle@Base 2.8.4 + _ZN14QsciStyledTextC1ERK7QStringi@Base 2.8.4 + _ZN14QsciStyledTextC2ERK7QStringRK9QsciStyle@Base 2.8.4 + _ZN14QsciStyledTextC2ERK7QStringi@Base 2.8.4 + _ZN14RepresentationC1EPKc@Base 2.8.4 + _ZN14RepresentationC1ERKS_@Base 2.8.4 + _ZN14RepresentationC2EPKc@Base 2.8.4 + _ZN14RepresentationC2ERKS_@Base 2.8.4 + _ZN14RepresentationD1Ev@Base 2.8.4 + _ZN14RepresentationD2Ev@Base 2.8.4 + _ZN14RepresentationaSERKS_@Base 2.8.4 + _ZN14SelectionRange17ClearVirtualSpaceEv@Base 2.8.4 + _ZN14SelectionRange19MoveForInsertDeleteEbii@Base 2.9 + _ZN14SelectionRange20MinimizeVirtualSpaceEv@Base 2.8.4 + _ZN14SelectionRange4TrimES_@Base 2.8.4 + _ZN14SelectionRange5ResetEv@Base 2.8.4 + _ZN14SelectionRangeC1E17SelectionPosition@Base 2.8.4 + _ZN14SelectionRangeC1E17SelectionPositionS0_@Base 2.8.4 + _ZN14SelectionRangeC1Ei@Base 2.8.4 + _ZN14SelectionRangeC1Eii@Base 2.8.4 + _ZN14SelectionRangeC1Ev@Base 2.8.4 + _ZN14SelectionRangeC2E17SelectionPosition@Base 2.8.4 + _ZN14SelectionRangeC2E17SelectionPositionS0_@Base 2.8.4 + _ZN14SelectionRangeC2Ei@Base 2.8.4 + _ZN14SelectionRangeC2Eii@Base 2.8.4 + _ZN14SelectionRangeC2Ev@Base 2.8.4 + _ZN14StyleAndColourC1Ei13ColourDesired@Base 2.9 + _ZN14StyleAndColourC1Ev@Base 2.9 + _ZN14StyleAndColourC2Ei13ColourDesired@Base 2.9 + _ZN14StyleAndColourC2Ev@Base 2.9 + _ZN14WordClassifier14SetIdentifiersEiPKc@Base 2.8.4 + _ZN14WordClassifier5ClearEv@Base 2.8.4 + _ZN14WordClassifier8AllocateEii@Base 2.8.4 + _ZN14WordClassifierC1ERKS_@Base 2.8.4 + _ZN14WordClassifierC1Ei@Base 2.8.4 + _ZN14WordClassifierC2ERKS_@Base 2.8.4 + _ZN14WordClassifierC2Ei@Base 2.8.4 + _ZN14WordClassifierD1Ev@Base 2.8.4 + _ZN14WordClassifierD2Ev@Base 2.8.4 + _ZN14WordClassifieraSERKS_@Base 2.8.4 + _ZN15CaseFolderASCIIC1Ev@Base 2.8.4 + _ZN15CaseFolderASCIIC2Ev@Base 2.8.4 + _ZN15CaseFolderASCIID0Ev@Base 2.8.4 + _ZN15CaseFolderASCIID1Ev@Base 2.8.4 + _ZN15CaseFolderASCIID2Ev@Base 2.8.4 + _ZN15CaseFolderTable13StandardASCIIEv@Base 2.8.4 + _ZN15CaseFolderTable14SetTranslationEcc@Base 2.8.4 + (subst)_ZN15CaseFolderTable4FoldEPc{size_t}PKc{size_t}@Base 2.8.4 + _ZN15CaseFolderTableC1Ev@Base 2.8.4 + _ZN15CaseFolderTableC2Ev@Base 2.8.4 + _ZN15CaseFolderTableD0Ev@Base 2.8.4 + _ZN15CaseFolderTableD1Ev@Base 2.8.4 + _ZN15CaseFolderTableD2Ev@Base 2.8.4 + _ZN15DocModificationC1EiRK6Actioni@Base 2.8.4 + _ZN15DocModificationC1EiiiiPKci@Base 2.8.4 + _ZN15DocModificationC2EiRK6Actioni@Base 2.8.4 + _ZN15DocModificationC2EiiiiPKci@Base 2.8.4 + _ZN15ForeBackColoursC1Ev@Base 2.8.4 + _ZN15ForeBackColoursC2Ev@Base 2.8.4 + _ZN15LineLayoutCache10DeallocateEv@Base 2.8.4 + _ZN15LineLayoutCache10InvalidateEN10LineLayout10validLevelE@Base 2.8.4 + _ZN15LineLayoutCache16AllocateForLevelEii@Base 2.8.4 + _ZN15LineLayoutCache7DisposeEP10LineLayout@Base 2.8.4 + (subst)_ZN15LineLayoutCache8AllocateE{size_t}@Base 2.8.4 + _ZN15LineLayoutCache8RetrieveEiiiiii@Base 2.8.4 + _ZN15LineLayoutCache8SetLevelEi@Base 2.8.4 + _ZN15LineLayoutCacheC1Ev@Base 2.8.4 + _ZN15LineLayoutCacheC2Ev@Base 2.8.4 + _ZN15LineLayoutCacheD0Ev@Base 2.8.4 + _ZN15LineLayoutCacheD1Ev@Base 2.8.4 + _ZN15LineLayoutCacheD2Ev@Base 2.8.4 + _ZN15MarkerHandleSet11CombineWithEPS_@Base 2.8.4 + _ZN15MarkerHandleSet12InsertHandleEii@Base 2.8.4 + _ZN15MarkerHandleSet12RemoveHandleEi@Base 2.8.4 + _ZN15MarkerHandleSet12RemoveNumberEib@Base 2.8.4 + _ZN15MarkerHandleSetC1Ev@Base 2.8.4 + _ZN15MarkerHandleSetC2Ev@Base 2.8.4 + _ZN15MarkerHandleSetD1Ev@Base 2.8.4 + _ZN15MarkerHandleSetD2Ev@Base 2.8.4 + _ZN15OptionsRegistryC1Ev@Base 2.9 + _ZN15OptionsRegistryC2Ev@Base 2.9 + _ZN15PrintParametersC1Ev@Base 2.8.4 + _ZN15PrintParametersC2Ev@Base 2.8.4 + _ZN15QBasicAtomicInt3refEv@Base 2.8.4 + _ZN15QBasicAtomicInt5derefEv@Base 2.8.4 + _ZN15QBasicAtomicIntaSEi@Base 2.8.4 + _ZN15QTextCharFormatD1Ev@Base 2.8.4 + _ZN15QTextCharFormatD2Ev@Base 2.8.4 + (optional=templinst)_ZN15QVarLengthArrayIcLi1024EE4dataEv@Base 2.8.4 + (optional=templinst)_ZN15QVarLengthArrayIcLi1024EEC1Ei@Base 2.8.4 + (optional=templinst)_ZN15QVarLengthArrayIcLi1024EEC2Ei@Base 2.8.4 + (optional=templinst)_ZN15QVarLengthArrayIcLi1024EED1Ev@Base 2.8.4 + (optional=templinst)_ZN15QVarLengthArrayIcLi1024EED2Ev@Base 2.8.4 + _ZN15QsciLexerCSharp11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN15QsciLexerCSharp11qt_metacastEPKc@Base 2.8.4 + _ZN15QsciLexerCSharp16staticMetaObjectE@Base 2.8.4 + _ZN15QsciLexerCSharp2trEPKcS1_@Base 2.8.4 + _ZN15QsciLexerCSharpC1EP7QObject@Base 2.8.4 + _ZN15QsciLexerCSharpC2EP7QObject@Base 2.8.4 + _ZN15QsciLexerCSharpD0Ev@Base 2.8.4 + _ZN15QsciLexerCSharpD1Ev@Base 2.8.4 + _ZN15QsciLexerCSharpD2Ev@Base 2.8.4 + _ZN15QsciLexerCustom10setStylingEiRK9QsciStyle@Base 2.8.4 + _ZN15QsciLexerCustom10setStylingEii@Base 2.8.4 + _ZN15QsciLexerCustom11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN15QsciLexerCustom11qt_metacastEPKc@Base 2.8.4 + _ZN15QsciLexerCustom12startStylingEii@Base 2.8.4 + _ZN15QsciLexerCustom16staticMetaObjectE@Base 2.8.4 + _ZN15QsciLexerCustom17handleStyleNeededEi@Base 2.8.4 + _ZN15QsciLexerCustom9setEditorEP13QsciScintilla@Base 2.8.4 + _ZN15QsciLexerCustomC1EP7QObject@Base 2.8.4 + _ZN15QsciLexerCustomC2EP7QObject@Base 2.8.4 + _ZN15QsciLexerCustomD0Ev@Base 2.8.4 + _ZN15QsciLexerCustomD1Ev@Base 2.8.4 + _ZN15QsciLexerCustomD2Ev@Base 2.8.4 + _ZN15QsciLexerMatlab11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN15QsciLexerMatlab11qt_metacastEPKc@Base 2.8.4 + _ZN15QsciLexerMatlab16staticMetaObjectE@Base 2.8.4 + _ZN15QsciLexerMatlab2trEPKcS1_@Base 2.8.4 + _ZN15QsciLexerMatlabC1EP7QObject@Base 2.8.4 + _ZN15QsciLexerMatlabC2EP7QObject@Base 2.8.4 + _ZN15QsciLexerMatlabD0Ev@Base 2.8.4 + _ZN15QsciLexerMatlabD1Ev@Base 2.8.4 + _ZN15QsciLexerMatlabD2Ev@Base 2.8.4 + _ZN15QsciLexerOctave11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN15QsciLexerOctave11qt_metacastEPKc@Base 2.8.4 + _ZN15QsciLexerOctave16staticMetaObjectE@Base 2.8.4 + _ZN15QsciLexerOctaveC1EP7QObject@Base 2.8.4 + _ZN15QsciLexerOctaveC2EP7QObject@Base 2.8.4 + _ZN15QsciLexerOctaveD0Ev@Base 2.8.4 + _ZN15QsciLexerOctaveD1Ev@Base 2.8.4 + _ZN15QsciLexerOctaveD2Ev@Base 2.8.4 + _ZN15QsciLexerPascal11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN15QsciLexerPascal11qt_metacastEPKc@Base 2.8.4 + _ZN15QsciLexerPascal14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN15QsciLexerPascal14setCommentPropEv@Base 2.8.4 + _ZN15QsciLexerPascal14setCompactPropEv@Base 2.8.4 + _ZN15QsciLexerPascal14setFoldCompactEb@Base 2.8.4 + _ZN15QsciLexerPascal14setPreprocPropEv@Base 2.8.4 + _ZN15QsciLexerPascal15setFoldCommentsEb@Base 2.8.4 + _ZN15QsciLexerPascal16staticMetaObjectE@Base 2.8.4 + _ZN15QsciLexerPascal17refreshPropertiesEv@Base 2.8.4 + _ZN15QsciLexerPascal19setFoldPreprocessorEb@Base 2.8.4 + _ZN15QsciLexerPascal20setSmartHighlightingEb@Base 2.8.4 + _ZN15QsciLexerPascal21setSmartHighlightPropEv@Base 2.8.4 + _ZN15QsciLexerPascal2trEPKcS1_@Base 2.8.4 + _ZN15QsciLexerPascalC1EP7QObject@Base 2.8.4 + _ZN15QsciLexerPascalC2EP7QObject@Base 2.8.4 + _ZN15QsciLexerPascalD0Ev@Base 2.8.4 + _ZN15QsciLexerPascalD1Ev@Base 2.8.4 + _ZN15QsciLexerPascalD2Ev@Base 2.8.4 + _ZN15QsciLexerPython11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN15QsciLexerPython11qt_metacastEPKc@Base 2.8.4 + _ZN15QsciLexerPython12keywordClassE@Base 2.8.4 + _ZN15QsciLexerPython13setFoldQuotesEb@Base 2.8.4 + _ZN15QsciLexerPython13setQuotesPropEv@Base 2.8.4 + _ZN15QsciLexerPython14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN15QsciLexerPython14setCommentPropEv@Base 2.8.4 + _ZN15QsciLexerPython14setCompactPropEv@Base 2.8.4 + _ZN15QsciLexerPython14setFoldCompactEb@Base 2.8.4 + _ZN15QsciLexerPython14setV3BytesPropEv@Base 2.8.4 + _ZN15QsciLexerPython15setFoldCommentsEb@Base 2.8.4 + _ZN15QsciLexerPython16setTabWhingePropEv@Base 2.8.4 + _ZN15QsciLexerPython16setV2UnicodePropEv@Base 2.8.4 + _ZN15QsciLexerPython16staticMetaObjectE@Base 2.8.4 + _ZN15QsciLexerPython17refreshPropertiesEv@Base 2.8.4 + _ZN15QsciLexerPython17setV3BytesAllowedEb@Base 2.8.4 + _ZN15QsciLexerPython19setV2UnicodeAllowedEb@Base 2.8.4 + _ZN15QsciLexerPython20setV3BinaryOctalPropEv@Base 2.8.4 + _ZN15QsciLexerPython21setIndentationWarningENS_18IndentationWarningE@Base 2.8.4 + _ZN15QsciLexerPython22setHighlightSubidsPropEv@Base 2.8.4 + _ZN15QsciLexerPython23setV3BinaryOctalAllowedEb@Base 2.8.4 + _ZN15QsciLexerPython25setStringsOverNewlinePropEv@Base 2.8.4 + _ZN15QsciLexerPython26setHighlightSubidentifiersEb@Base 2.8.4 + _ZN15QsciLexerPython28setStringsOverNewlineAllowedEb@Base 2.8.4 + _ZN15QsciLexerPython2trEPKcS1_@Base 2.8.4 + _ZN15QsciLexerPythonC1EP7QObject@Base 2.8.4 + _ZN15QsciLexerPythonC2EP7QObject@Base 2.8.4 + _ZN15QsciLexerPythonD0Ev@Base 2.8.4 + _ZN15QsciLexerPythonD1Ev@Base 2.8.4 + _ZN15QsciLexerPythonD2Ev@Base 2.8.4 + _ZN15QsciScintillaQt10AddToPopUpEPKcib@Base 2.8.4 + _ZN15QsciScintillaQt10DefWndProcEjml@Base 2.8.4 + _ZN15QsciScintillaQt10InitialiseEv@Base 2.8.4 + _ZN15QsciScintillaQt10paintEventEP11QPaintEvent@Base 2.8.4 + _ZN15QsciScintillaQt10timerEventEP11QTimerEvent@Base 2.9 + _ZN15QsciScintillaQt11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.9 + _ZN15QsciScintillaQt11qt_metacastEPKc@Base 2.9 + _ZN15QsciScintillaQt12NotifyChangeEv@Base 2.8.4 + _ZN15QsciScintillaQt12NotifyParentE14SCNotification@Base 2.8.4 + _ZN15QsciScintillaQt14ClaimSelectionEv@Base 2.8.4 + _ZN15QsciScintillaQt14DirectFunctionEPS_jml@Base 2.8.4 + _ZN15QsciScintillaQt15CopyToClipboardERK13SelectionText@Base 2.8.4 + _ZN15QsciScintillaQt15FineTickerStartEN6Editor10TickReasonEii@Base 2.9 + _ZN15QsciScintillaQt15SetMouseCaptureEb@Base 2.8.4 + _ZN15QsciScintillaQt16FineTickerCancelEN6Editor10TickReasonE@Base 2.9 + _ZN15QsciScintillaQt16HaveMouseCaptureEv@Base 2.8.4 + _ZN15QsciScintillaQt16ModifyScrollBarsEii@Base 2.8.4 + _ZN15QsciScintillaQt16UnclaimSelectionEv@Base 2.8.4 + _ZN15QsciScintillaQt16staticMetaObjectE@Base 2.9 + _ZN15QsciScintillaQt17FineTickerRunningEN6Editor10TickReasonE@Base 2.9 + _ZN15QsciScintillaQt18pasteFromClipboardEN10QClipboard4ModeE@Base 2.8.4 + _ZN15QsciScintillaQt19CreateCallTipWindowE10PRectangle@Base 2.8.4 + _ZN15QsciScintillaQt19FineTickerAvailableEv@Base 2.9 + _ZN15QsciScintillaQt20SetVerticalScrollPosEv@Base 2.8.4 + _ZN15QsciScintillaQt21ReconfigureScrollBarsEv@Base 2.8.4 + _ZN15QsciScintillaQt22SetHorizontalScrollPosEv@Base 2.8.4 + _ZN15QsciScintillaQt4CopyEv@Base 2.8.4 + _ZN15QsciScintillaQt5PasteEv@Base 2.8.4 + _ZN15QsciScintillaQt6onIdleEv@Base 2.9 + _ZN15QsciScintillaQt7SetIdleEb@Base 2.9 + _ZN15QsciScintillaQt7WndProcEjml@Base 2.8.4 + _ZN15QsciScintillaQt8FinaliseEv@Base 2.8.4 + _ZN15QsciScintillaQt9StartDragEv@Base 2.8.4 + _ZN15QsciScintillaQtC1EP17QsciScintillaBase@Base 2.8.4 + _ZN15QsciScintillaQtC2EP17QsciScintillaBase@Base 2.8.4 + _ZN15QsciScintillaQtD0Ev@Base 2.8.4 + _ZN15QsciScintillaQtD1Ev@Base 2.8.4 + _ZN15QsciScintillaQtD2Ev@Base 2.8.4 + _ZN15RegexSearchBaseC1Ev@Base 2.8.4 + _ZN15RegexSearchBaseC2Ev@Base 2.8.4 + _ZN15RegexSearchBaseD0Ev@Base 2.8.4 + _ZN15RegexSearchBaseD1Ev@Base 2.8.4 + _ZN15RegexSearchBaseD2Ev@Base 2.8.4 + _ZN16CharacterIndexerC1Ev@Base 2.8.4 + _ZN16CharacterIndexerC2Ev@Base 2.8.4 + _ZN16CharacterIndexerD0Ev@Base 2.8.4 + _ZN16CharacterIndexerD1Ev@Base 2.8.4 + _ZN16CharacterIndexerD2Ev@Base 2.8.4 + _ZN16ContractionState10DeleteLineEi@Base 2.8.4 + _ZN16ContractionState10EnsureDataEv@Base 2.8.4 + _ZN16ContractionState10InsertLineEi@Base 2.8.4 + _ZN16ContractionState10SetVisibleEiib@Base 2.8.4 + _ZN16ContractionState11DeleteLinesEii@Base 2.8.4 + _ZN16ContractionState11InsertLinesEii@Base 2.8.4 + _ZN16ContractionState11SetExpandedEib@Base 2.8.4 + _ZN16ContractionState5ClearEv@Base 2.8.4 + _ZN16ContractionState7ShowAllEv@Base 2.8.4 + _ZN16ContractionState9SetHeightEii@Base 2.8.4 + _ZN16ContractionStateC1Ev@Base 2.8.4 + _ZN16ContractionStateC2Ev@Base 2.8.4 + _ZN16ContractionStateD0Ev@Base 2.8.4 + _ZN16ContractionStateD1Ev@Base 2.8.4 + _ZN16ContractionStateD2Ev@Base 2.8.4 + _ZN16FontMeasurements5ClearEv@Base 2.8.4 + _ZN16FontMeasurementsC1Ev@Base 2.8.4 + _ZN16FontMeasurementsC2Ev@Base 2.8.4 + _ZN16OptionSetHaskellC1Ev@Base 2.8.4 + _ZN16OptionSetHaskellC2Ev@Base 2.8.4 + _ZN16OptionSetHaskellD0Ev@Base 2.8.4 + _ZN16OptionSetHaskellD1Ev@Base 2.8.4 + _ZN16OptionSetHaskellD2Ev@Base 2.8.4 + _ZN16QCoreApplication8instanceEv@Base 2.8.4 + _ZN16QCoreApplication9sendEventEP7QObjectP6QEvent@Base 2.8.4 + (optional=templinst)_ZN16QVectorTypedDataI7QPointFE4freeEPS1_i@Base 2.8.4 + (optional=templinst)_ZN16QVectorTypedDataIlE4freeEPS0_i@Base 2.8.4 + _ZN16QsciAPIsPrepared11apiBaseNameERK7QString@Base 2.8.4 + _ZN16QsciAPIsPreparedC1Ev@Base 2.8.4 + _ZN16QsciAPIsPreparedC2Ev@Base 2.8.4 + _ZN16QsciAPIsPreparedD1Ev@Base 2.8.4 + _ZN16QsciAPIsPreparedD2Ev@Base 2.8.4 + _ZN16QsciAbstractAPIs11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN16QsciAbstractAPIs11qt_metacastEPKc@Base 2.8.4 + _ZN16QsciAbstractAPIs16staticMetaObjectE@Base 2.8.4 + _ZN16QsciAbstractAPIs22autoCompletionSelectedERK7QString@Base 2.8.4 + _ZN16QsciAbstractAPIsC1EP9QsciLexer@Base 2.8.4 + _ZN16QsciAbstractAPIsC2EP9QsciLexer@Base 2.8.4 + _ZN16QsciAbstractAPIsD0Ev@Base 2.8.4 + _ZN16QsciAbstractAPIsD1Ev@Base 2.8.4 + _ZN16QsciAbstractAPIsD2Ev@Base 2.8.4 + _ZN16QsciLexerFortran11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN16QsciLexerFortran11qt_metacastEPKc@Base 2.8.4 + _ZN16QsciLexerFortran16staticMetaObjectE@Base 2.8.4 + _ZN16QsciLexerFortranC1EP7QObject@Base 2.8.4 + _ZN16QsciLexerFortranC2EP7QObject@Base 2.8.4 + _ZN16QsciLexerFortranD0Ev@Base 2.8.4 + _ZN16QsciLexerFortranD1Ev@Base 2.8.4 + _ZN16QsciLexerFortranD2Ev@Base 2.8.4 + _ZN16QsciLexerVerilog11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN16QsciLexerVerilog11qt_metacastEPKc@Base 2.8.4 + _ZN16QsciLexerVerilog13setAtElsePropEv@Base 2.8.4 + _ZN16QsciLexerVerilog13setFoldAtElseEb@Base 2.8.4 + _ZN16QsciLexerVerilog14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN16QsciLexerVerilog14setCommentPropEv@Base 2.8.4 + _ZN16QsciLexerVerilog14setCompactPropEv@Base 2.8.4 + _ZN16QsciLexerVerilog14setFoldCompactEb@Base 2.8.4 + _ZN16QsciLexerVerilog14setPreprocPropEv@Base 2.8.4 + _ZN16QsciLexerVerilog15setAtModulePropEv@Base 2.8.4 + _ZN16QsciLexerVerilog15setFoldAtModuleEb@Base 2.8.4 + _ZN16QsciLexerVerilog15setFoldCommentsEb@Base 2.8.4 + _ZN16QsciLexerVerilog16staticMetaObjectE@Base 2.8.4 + _ZN16QsciLexerVerilog17refreshPropertiesEv@Base 2.8.4 + _ZN16QsciLexerVerilog19setFoldPreprocessorEb@Base 2.8.4 + _ZN16QsciLexerVerilog2trEPKcS1_@Base 2.8.4 + _ZN16QsciLexerVerilogC1EP7QObject@Base 2.8.4 + _ZN16QsciLexerVerilogC2EP7QObject@Base 2.8.4 + _ZN16QsciLexerVerilogD0Ev@Base 2.8.4 + _ZN16QsciLexerVerilogD1Ev@Base 2.8.4 + _ZN16QsciLexerVerilogD2Ev@Base 2.8.4 + _ZN16SelectionSegment6ExtendE17SelectionPosition@Base 2.8.4 + _ZN16SelectionSegmentC1E17SelectionPositionS0_@Base 2.8.4 + _ZN16SelectionSegmentC1Ev@Base 2.8.4 + _ZN16SelectionSegmentC2E17SelectionPositionS0_@Base 2.8.4 + _ZN16SelectionSegmentC2Ev@Base 2.8.4 + (subst)_ZN17CaseFolderUnicode4FoldEPc{size_t}PKc{size_t}@Base 2.8.4 + _ZN17CaseFolderUnicodeC1Ev@Base 2.8.4 + _ZN17CaseFolderUnicodeC2Ev@Base 2.8.4 + _ZN17CaseFolderUnicodeD0Ev@Base 2.8.4 + _ZN17CaseFolderUnicodeD1Ev@Base 2.8.4 + _ZN17CaseFolderUnicodeD2Ev@Base 2.8.4 + _ZN17FontSpecificationC1Ev@Base 2.8.4 + _ZN17FontSpecificationC2Ev@Base 2.8.4 + _ZN17LexerNoExceptions11PropertySetEPKcS1_@Base 2.8.4 + _ZN17LexerNoExceptions11WordListSetEiPKc@Base 2.8.4 + _ZN17LexerNoExceptions3LexEjiiP9IDocument@Base 2.8.4 + _ZN17LexerNoExceptions4FoldEjiiP9IDocument@Base 2.8.4 + _ZN17LexerVisualProlog11PrivateCallEiPv@Base 2.8.4 + _ZN17LexerVisualProlog11PropertySetEPKcS1_@Base 2.8.4 + _ZN17LexerVisualProlog11WordListSetEiPKc@Base 2.8.4 + _ZN17LexerVisualProlog12PropertyTypeEPKc@Base 2.8.4 + _ZN17LexerVisualProlog13PropertyNamesEv@Base 2.8.4 + _ZN17LexerVisualProlog16DescribePropertyEPKc@Base 2.8.4 + _ZN17LexerVisualProlog20DescribeWordListSetsEv@Base 2.8.4 + _ZN17LexerVisualProlog24LexerFactoryVisualPrologEv@Base 2.8.4 + _ZN17LexerVisualProlog3LexEjiiP9IDocument@Base 2.8.4 + _ZN17LexerVisualProlog4FoldEjiiP9IDocument@Base 2.8.4 + _ZN17LexerVisualProlog7ReleaseEv@Base 2.8.4 + _ZN17LexerVisualPrologC1Ev@Base 2.8.4 + _ZN17LexerVisualPrologC2Ev@Base 2.8.4 + _ZN17LexerVisualPrologD0Ev@Base 2.8.4 + _ZN17LexerVisualPrologD1Ev@Base 2.8.4 + _ZN17LexerVisualPrologD2Ev@Base 2.8.4 + _ZN17OptionSetRegistryC1Ev@Base 2.9 + _ZN17OptionSetRegistryC2Ev@Base 2.9 + _ZN17OptionSetRegistryD0Ev@Base 2.9 + _ZN17OptionSetRegistryD1Ev@Base 2.9 + _ZN17OptionSetRegistryD2Ev@Base 2.9 + (optional=templinst)_ZN17QForeachContainerI5QListI9QFileInfoEEC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN17QForeachContainerI5QListI9QFileInfoEEC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN17QForeachContainerI5QListI9QFileInfoEED1Ev@Base 2.8.4 + (optional=templinst)_ZN17QForeachContainerI5QListI9QFileInfoEED2Ev@Base 2.8.4 + (optional=templinst)_ZN17QForeachContainerIK5QListIN17QInputMethodEvent9AttributeEEEC1ERS4_@Base 2.8.4 + (optional=templinst)_ZN17QForeachContainerIK5QListIN17QInputMethodEvent9AttributeEEEC2ERS4_@Base 2.8.4 + (optional=templinst)_ZN17QForeachContainerIK5QListIN17QInputMethodEvent9AttributeEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN17QForeachContainerIK5QListIN17QInputMethodEvent9AttributeEEED2Ev@Base 2.8.4 + _ZN17QInputMethodEvent9AttributeC1ERKS0_@Base 2.8.4 + _ZN17QInputMethodEvent9AttributeC2ERKS0_@Base 2.8.4 + _ZN17QInputMethodEvent9AttributeD1Ev@Base 2.8.4 + _ZN17QInputMethodEvent9AttributeD2Ev@Base 2.8.4 + _ZN17QsciLexerMakefile11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN17QsciLexerMakefile11qt_metacastEPKc@Base 2.8.4 + _ZN17QsciLexerMakefile16staticMetaObjectE@Base 2.8.4 + _ZN17QsciLexerMakefile2trEPKcS1_@Base 2.8.4 + _ZN17QsciLexerMakefileC1EP7QObject@Base 2.8.4 + _ZN17QsciLexerMakefileC2EP7QObject@Base 2.8.4 + _ZN17QsciLexerMakefileD0Ev@Base 2.8.4 + _ZN17QsciLexerMakefileD1Ev@Base 2.8.4 + _ZN17QsciLexerMakefileD2Ev@Base 2.8.4 + _ZN17QsciScintillaBase10commandKeyEiRi@Base 2.8.4 + _ZN17QsciScintillaBase10paintEventEP11QPaintEvent@Base 2.8.4 + _ZN17QsciScintillaBase11SCEN_CHANGEEv@Base 2.8.4 + _ZN17QsciScintillaBase11SCN_FOCUSINEv@Base 2.8.4 + _ZN17QsciScintillaBase11SCN_PAINTEDEv@Base 2.8.4 + _ZN17QsciScintillaBase11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN17QsciScintillaBase11qt_metacastEPKc@Base 2.8.4 + _ZN17QsciScintillaBase11resizeEventEP12QResizeEvent@Base 2.8.4 + _ZN17QsciScintillaBase12SCN_DWELLENDEiii@Base 2.8.4 + _ZN17QsciScintillaBase12SCN_FOCUSOUTEv@Base 2.8.4 + _ZN17QsciScintillaBase12SCN_MODIFIEDEiiPKciiiiiii@Base 2.8.4 + _ZN17QsciScintillaBase12SCN_UPDATEUIEi@Base 2.8.4 + _ZN17QsciScintillaBase12acceptActionEP10QDropEvent@Base 2.8.4 + _ZN17QsciScintillaBase12focusInEventEP11QFocusEvent@Base 2.8.4 + _ZN17QsciScintillaBase13SCN_CHARADDEDEi@Base 2.8.4 + _ZN17QsciScintillaBase13SCN_NEEDSHOWNEii@Base 2.8.4 + _ZN17QsciScintillaBase13dragMoveEventEP14QDragMoveEvent@Base 2.8.4 + _ZN17QsciScintillaBase13focusOutEventEP11QFocusEvent@Base 2.8.4 + _ZN17QsciScintillaBase13keyPressEventEP9QKeyEvent@Base 2.8.4 + _ZN17QsciScintillaBase13setScrollBarsEv@Base 2.8.4 + _ZN17QsciScintillaBase14SCN_DWELLSTARTEiii@Base 2.8.4 + _ZN17QsciScintillaBase14dragEnterEventEP15QDragEnterEvent@Base 2.8.4 + _ZN17QsciScintillaBase14dragLeaveEventEP15QDragLeaveEvent@Base 2.8.4 + _ZN17QsciScintillaBase14mouseMoveEventEP11QMouseEvent@Base 2.8.4 + _ZN17QsciScintillaBase15QSCN_SELCHANGEDEb@Base 2.8.4 + _ZN17QsciScintillaBase15SCN_DOUBLECLICKEiii@Base 2.8.4 + _ZN17QsciScintillaBase15SCN_MACRORECORDEjmPv@Base 2.8.4 + _ZN17QsciScintillaBase15SCN_MARGINCLICKEiii@Base 2.8.4 + _ZN17QsciScintillaBase15SCN_STYLENEEDEDEi@Base 2.8.4 + _ZN17QsciScintillaBase15handleSelectionEv@Base 2.8.4 + _ZN17QsciScintillaBase15mousePressEventEP11QMouseEvent@Base 2.8.4 + _ZN17QsciScintillaBase16SCN_CALLTIPCLICKEi@Base 2.8.4 + _ZN17QsciScintillaBase16SCN_HOTSPOTCLICKEii@Base 2.8.4 + _ZN17QsciScintillaBase16contextMenuEventEP17QContextMenuEvent@Base 2.8.4 + _ZN17QsciScintillaBase16inputMethodEventEP17QInputMethodEvent@Base 2.8.4 + _ZN17QsciScintillaBase16scrollContentsByEii@Base 2.8.4 + _ZN17QsciScintillaBase16staticMetaObjectE@Base 2.8.4 + _ZN17QsciScintillaBase17SCN_SAVEPOINTLEFTEv@Base 2.8.4 + _ZN17QsciScintillaBase17mouseReleaseEventEP11QMouseEvent@Base 2.8.4 + _ZN17QsciScintillaBase18SCN_AUTOCCANCELLEDEv@Base 2.8.4 + _ZN17QsciScintillaBase18SCN_AUTOCSELECTIONEPKci@Base 2.8.4 + _ZN17QsciScintillaBase18SCN_INDICATORCLICKEii@Base 2.8.4 + _ZN17QsciScintillaBase18focusNextPrevChildEb@Base 2.8.4 + _ZN17QsciScintillaBase19SCN_MODIFYATTEMPTROEv@Base 2.8.4 + _ZN17QsciScintillaBase20SCN_AUTOCCHARDELETEDEv@Base 2.8.4 + _ZN17QsciScintillaBase20SCN_INDICATORRELEASEEii@Base 2.8.4 + _ZN17QsciScintillaBase20SCN_SAVEPOINTREACHEDEv@Base 2.8.4 + _ZN17QsciScintillaBase21SCN_USERLISTSELECTIONEPKci@Base 2.8.4 + _ZN17QsciScintillaBase21mouseDoubleClickEventEP11QMouseEvent@Base 2.8.4 + _ZN17QsciScintillaBase22SCN_HOTSPOTDOUBLECLICKEii@Base 2.8.4 + _ZN17QsciScintillaBase23SCN_HOTSPOTRELEASECLICKEii@Base 2.8.4 + _ZN17QsciScintillaBase4poolEv@Base 2.8.4 + _ZN17QsciScintillaBase8SCN_ZOOMEv@Base 2.8.4 + _ZN17QsciScintillaBase9dropEventEP10QDropEvent@Base 2.8.4 + _ZN17QsciScintillaBase9handleHSbEi@Base 2.8.4 + _ZN17QsciScintillaBase9handleVSbEi@Base 2.8.4 + _ZN17QsciScintillaBaseC1EP7QWidget@Base 2.8.4 + _ZN17QsciScintillaBaseC2EP7QWidget@Base 2.8.4 + _ZN17QsciScintillaBaseD0Ev@Base 2.8.4 + _ZN17QsciScintillaBaseD1Ev@Base 2.8.4 + _ZN17QsciScintillaBaseD2Ev@Base 2.8.4 + _ZN17SelectionPosition11SetPositionEi@Base 2.8.4 + _ZN17SelectionPosition15SetVirtualSpaceEi@Base 2.8.4 + _ZN17SelectionPosition19MoveForInsertDeleteEbii@Base 2.8.4 + _ZN17SelectionPosition3AddEi@Base 2.8.4 + _ZN17SelectionPosition5ResetEv@Base 2.8.4 + _ZN17SelectionPositionC1Eii@Base 2.8.4 + _ZN17SelectionPositionC2Eii@Base 2.8.4 + _ZN18DynamicLibraryImpl12FindFunctionEPKc@Base 2.8.4 + _ZN18DynamicLibraryImpl7IsValidEv@Base 2.8.4 + _ZN18DynamicLibraryImplC1EPKc@Base 2.8.4 + _ZN18DynamicLibraryImplC2EPKc@Base 2.8.4 + _ZN18DynamicLibraryImplD0Ev@Base 2.8.4 + _ZN18DynamicLibraryImplD1Ev@Base 2.8.4 + _ZN18DynamicLibraryImplD2Ev@Base 2.8.4 + _ZN18HighlightDelimiter5ClearEv@Base 2.8.4 + _ZN18HighlightDelimiterC1Ev@Base 2.8.4 + _ZN18HighlightDelimiterC2Ev@Base 2.8.4 + _ZN18PositionCacheEntry10ResetClockEv@Base 2.8.4 + _ZN18PositionCacheEntry3SetEjPKcjPfj@Base 2.8.4 + _ZN18PositionCacheEntry4HashEjPKcj@Base 2.8.4 + _ZN18PositionCacheEntry5ClearEv@Base 2.8.4 + _ZN18PositionCacheEntryC1Ev@Base 2.8.4 + _ZN18PositionCacheEntryC2Ev@Base 2.8.4 + _ZN18PositionCacheEntryD1Ev@Base 2.8.4 + _ZN18PositionCacheEntryD2Ev@Base 2.8.4 + (optional=templinst)_ZN18QAlgorithmsPrivate17qLowerBoundHelperIN5QListI7QStringE14const_iteratorES2_5qLessIS2_EEET_S7_S7_RKT0_T1_@Base 2.8.4 + _ZN18QsciLexerFortran7711qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN18QsciLexerFortran7711qt_metacastEPKc@Base 2.8.4 + _ZN18QsciLexerFortran7714readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN18QsciLexerFortran7714setCompactPropEv@Base 2.8.4 + _ZN18QsciLexerFortran7714setFoldCompactEb@Base 2.8.4 + _ZN18QsciLexerFortran7716staticMetaObjectE@Base 2.8.4 + _ZN18QsciLexerFortran7717refreshPropertiesEv@Base 2.8.4 + _ZN18QsciLexerFortran772trEPKcS1_@Base 2.8.4 + _ZN18QsciLexerFortran77C1EP7QObject@Base 2.8.4 + _ZN18QsciLexerFortran77C2EP7QObject@Base 2.8.4 + _ZN18QsciLexerFortran77D0Ev@Base 2.8.4 + _ZN18QsciLexerFortran77D1Ev@Base 2.8.4 + _ZN18QsciLexerFortran77D2Ev@Base 2.8.4 + _ZN19ExternalLexerModule11SetExternalEPFPFP6ILexervEjEi@Base 2.8.4 + _ZN19ExternalLexerModuleC1EiPFvjiiPP8WordListR8AccessorEPKcS6_@Base 2.8.4 + _ZN19ExternalLexerModuleC2EiPFvjiiPP8WordListR8AccessorEPKcS6_@Base 2.8.4 + _ZN19ExternalLexerModuleD0Ev@Base 2.8.4 + _ZN19ExternalLexerModuleD1Ev@Base 2.8.4 + _ZN19ExternalLexerModuleD2Ev@Base 2.8.4 + _ZN19ILexerWithSubStylesC1Ev@Base 2.8.4 + _ZN19ILexerWithSubStylesC2Ev@Base 2.8.4 + _ZN19OptionsVisualPrologC1Ev@Base 2.8.4 + _ZN19OptionsVisualPrologC2Ev@Base 2.8.4 + _ZN19QsciLexerJavaScript11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN19QsciLexerJavaScript11qt_metacastEPKc@Base 2.8.4 + _ZN19QsciLexerJavaScript12keywordClassE@Base 2.8.4 + _ZN19QsciLexerJavaScript16staticMetaObjectE@Base 2.8.4 + _ZN19QsciLexerJavaScript2trEPKcS1_@Base 2.8.4 + _ZN19QsciLexerJavaScriptC1EP7QObject@Base 2.8.4 + _ZN19QsciLexerJavaScriptC2EP7QObject@Base 2.8.4 + _ZN19QsciLexerJavaScriptD0Ev@Base 2.8.4 + _ZN19QsciLexerJavaScriptD1Ev@Base 2.8.4 + _ZN19QsciLexerJavaScriptD2Ev@Base 2.8.4 + _ZN19QsciLexerPostScript11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN19QsciLexerPostScript11qt_metacastEPKc@Base 2.8.4 + _ZN19QsciLexerPostScript11setTokenizeEb@Base 2.8.4 + _ZN19QsciLexerPostScript12setLevelPropEv@Base 2.8.4 + _ZN19QsciLexerPostScript13setAtElsePropEv@Base 2.8.4 + _ZN19QsciLexerPostScript13setFoldAtElseEb@Base 2.8.4 + _ZN19QsciLexerPostScript14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN19QsciLexerPostScript14setCompactPropEv@Base 2.8.4 + _ZN19QsciLexerPostScript14setFoldCompactEb@Base 2.8.4 + _ZN19QsciLexerPostScript15setTokenizePropEv@Base 2.8.4 + _ZN19QsciLexerPostScript16staticMetaObjectE@Base 2.8.4 + _ZN19QsciLexerPostScript17refreshPropertiesEv@Base 2.8.4 + _ZN19QsciLexerPostScript2trEPKcS1_@Base 2.8.4 + _ZN19QsciLexerPostScript8setLevelEi@Base 2.8.4 + _ZN19QsciLexerPostScriptC1EP7QObject@Base 2.8.4 + _ZN19QsciLexerPostScriptC2EP7QObject@Base 2.8.4 + _ZN19QsciLexerPostScriptD0Ev@Base 2.8.4 + _ZN19QsciLexerPostScriptD1Ev@Base 2.8.4 + _ZN19QsciLexerPostScriptD2Ev@Base 2.8.4 + _ZN19QsciLexerProperties11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN19QsciLexerProperties11qt_metacastEPKc@Base 2.8.4 + _ZN19QsciLexerProperties14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN19QsciLexerProperties14setCompactPropEv@Base 2.8.4 + _ZN19QsciLexerProperties14setFoldCompactEb@Base 2.8.4 + _ZN19QsciLexerProperties16setInitialSpacesEb@Base 2.8.4 + _ZN19QsciLexerProperties16staticMetaObjectE@Base 2.8.4 + _ZN19QsciLexerProperties17refreshPropertiesEv@Base 2.8.4 + _ZN19QsciLexerProperties20setInitialSpacesPropEv@Base 2.8.4 + _ZN19QsciLexerProperties2trEPKcS1_@Base 2.8.4 + _ZN19QsciLexerPropertiesC1EP7QObject@Base 2.8.4 + _ZN19QsciLexerPropertiesC2EP7QObject@Base 2.8.4 + _ZN19QsciLexerPropertiesD0Ev@Base 2.8.4 + _ZN19QsciLexerPropertiesD1Ev@Base 2.8.4 + _ZN19QsciLexerPropertiesD2Ev@Base 2.8.4 + _ZN20IDocumentWithLineEndC1Ev@Base 2.8.4 + _ZN20IDocumentWithLineEndC2Ev@Base 2.8.4 + _ZN20IdentifierClassifier18ClassifyIdentifierER12StyleContext@Base 2.8.4 + _ZN20IdentifierClassifierC1EPP8WordList@Base 2.8.4 + _ZN20IdentifierClassifierC2EPP8WordList@Base 2.8.4 + _ZN21OptionSetVisualPrologC1Ev@Base 2.8.4 + _ZN21OptionSetVisualPrologC2Ev@Base 2.8.4 + _ZN21OptionSetVisualPrologD0Ev@Base 2.8.4 + _ZN21OptionSetVisualPrologD1Ev@Base 2.8.4 + _ZN21OptionSetVisualPrologD2Ev@Base 2.8.4 + _ZN21QsciLexerCoffeeScript11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN21QsciLexerCoffeeScript11qt_metacastEPKc@Base 2.8.4 + _ZN21QsciLexerCoffeeScript14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN21QsciLexerCoffeeScript14setCommentPropEv@Base 2.8.4 + _ZN21QsciLexerCoffeeScript14setCompactPropEv@Base 2.8.4 + _ZN21QsciLexerCoffeeScript14setDollarsPropEv@Base 2.8.4 + _ZN21QsciLexerCoffeeScript14setFoldCompactEb@Base 2.8.4 + _ZN21QsciLexerCoffeeScript15setFoldCommentsEb@Base 2.8.4 + _ZN21QsciLexerCoffeeScript16staticMetaObjectE@Base 2.8.4 + _ZN21QsciLexerCoffeeScript17refreshPropertiesEv@Base 2.8.4 + _ZN21QsciLexerCoffeeScript17setDollarsAllowedEb@Base 2.8.4 + _ZN21QsciLexerCoffeeScript19setStylePreprocPropEv@Base 2.8.4 + _ZN21QsciLexerCoffeeScript20setStylePreprocessorEb@Base 2.8.4 + _ZN21QsciLexerCoffeeScript2trEPKcS1_@Base 2.8.4 + _ZN21QsciLexerCoffeeScriptC1EP7QObject@Base 2.8.4 + _ZN21QsciLexerCoffeeScriptC2EP7QObject@Base 2.8.4 + _ZN21QsciLexerCoffeeScriptD0Ev@Base 2.8.4 + _ZN21QsciLexerCoffeeScriptD1Ev@Base 2.8.4 + _ZN21QsciLexerCoffeeScriptD2Ev@Base 2.8.4 + _ZN22SpecialRepresentations17SetRepresentationEPKcS1_@Base 2.8.4 + _ZN22SpecialRepresentations19ClearRepresentationEPKc@Base 2.8.4 + _ZN22SpecialRepresentations5ClearEv@Base 2.8.4 + _ZN22SpecialRepresentationsC1Ev@Base 2.8.4 + _ZN22SpecialRepresentationsC2Ev@Base 2.8.4 + _ZN22SpecialRepresentationsD1Ev@Base 2.8.4 + _ZN22SpecialRepresentationsD2Ev@Base 2.8.4 + _ZN23SplitVectorWithRangeAdd13RangeAddDeltaEiii@Base 2.8.4 + _ZN23SplitVectorWithRangeAddC1Ei@Base 2.8.4 + _ZN23SplitVectorWithRangeAddC2Ei@Base 2.8.4 + _ZN23SplitVectorWithRangeAddD1Ev@Base 2.8.4 + _ZN23SplitVectorWithRangeAddD2Ev@Base 2.8.4 + _ZN3XPM4DrawEP7SurfaceR10PRectangle@Base 2.8.4 + _ZN3XPMC1EPKPKc@Base 2.8.4 + _ZN3XPMC1EPKc@Base 2.8.4 + _ZN3XPMC2EPKPKc@Base 2.8.4 + _ZN3XPMC2EPKc@Base 2.8.4 + _ZN3XPMD1Ev@Base 2.8.4 + _ZN3XPMD2Ev@Base 2.8.4 + _ZN4Font5GetIDEv@Base 2.8.4 + _ZN4Font5SetIDEPv@Base 2.8.4 + _ZN4Font6CreateERK14FontParameters@Base 2.8.4 + _ZN4Font7ReleaseEv@Base 2.8.4 + _ZN4FontC1Ev@Base 2.8.4 + _ZN4FontC2Ev@Base 2.8.4 + _ZN4FontD0Ev@Base 2.8.4 + _ZN4FontD1Ev@Base 2.8.4 + _ZN4FontD2Ev@Base 2.8.4 + _ZN4Menu11CreatePopUpEv@Base 2.8.4 + _ZN4Menu4ShowE5PointR6Window@Base 2.8.4 + _ZN4Menu5GetIDEv@Base 2.8.4 + _ZN4Menu7DestroyEv@Base 2.8.4 + _ZN4MenuC1Ev@Base 2.8.4 + _ZN4MenuC2Ev@Base 2.8.4 + _ZN4QDir4homeEv@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEE10lowerBoundERKS0_@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEE11insertMultiERKS0_RKS4_@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEE11node_createEP8QMapDataPPNS6_4NodeERKS0_RKS4_@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEE13detach_helperEv@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEE14const_iteratorC1EPN8QMapData4NodeE@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEE14const_iteratorC1ERKNS5_8iteratorE@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEE14const_iteratorC2EPN8QMapData4NodeE@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEE14const_iteratorC2ERKNS5_8iteratorE@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEE14const_iteratormmEv@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEE14const_iteratorppEv@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEE16setInsertInOrderEb@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEE3endEv@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEE5beginEv@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEE5clearEv@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEE6detachEv@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEE7payloadEv@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEE8concreteEPN8QMapData4NodeE@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEE8freeDataEP8QMapData@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEE8iteratorC1EPN8QMapData4NodeE@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEE8iteratorC2EPN8QMapData4NodeE@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEE9alignmentEv@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEED2Ev@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEEaSERKS5_@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEEixERKS0_@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_E10lowerBoundERKS0_@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_E11node_createEP8QMapDataPPNS2_4NodeERKS0_S8_@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_E13detach_helperEv@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_E14const_iteratorC1EPN8QMapData4NodeE@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_E14const_iteratorC1ERKNS1_8iteratorE@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_E14const_iteratorC2EPN8QMapData4NodeE@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_E14const_iteratorC2ERKNS1_8iteratorE@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_E14const_iteratorppEv@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_E3endEv@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_E5clearEv@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_E6detachEv@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_E7payloadEv@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_E8concreteEPN8QMapData4NodeE@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_E8freeDataEP8QMapData@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_E8iteratorC1EPN8QMapData4NodeE@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_E8iteratorC2EPN8QMapData4NodeE@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_E9alignmentEv@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_EC1Ev@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_EC2Ev@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_ED1Ev@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_ED2Ev@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_EaSERKS1_@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_EixERKS0_@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapE11node_createEP8QMapDataPPNS2_4NodeERKiRKS0_@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapE13detach_helperEv@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapE14const_iteratorC1ERKNS1_8iteratorE@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapE14const_iteratorC1Ev@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapE14const_iteratorC2ERKNS1_8iteratorE@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapE14const_iteratorC2Ev@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapE14const_iteratorppEv@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapE3endEv@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapE4findERKi@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapE5beginEv@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapE5clearEv@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapE6detachEv@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapE6insertERKiRKS0_@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapE7payloadEv@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapE8concreteEPN8QMapData4NodeE@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapE8freeDataEP8QMapData@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapE8iteratorC1EPN8QMapData4NodeE@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapE8iteratorC2EPN8QMapData4NodeE@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapE9alignmentEv@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapEC1Ev@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapEC2Ev@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapED1Ev@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapED2Ev@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapEaSERKS1_@Base 2.8.4 + (optional=templinst)_ZN4QMapIiN9QsciLexer9StyleDataEE11node_createEP8QMapDataPPNS3_4NodeERKiRKS1_@Base 2.8.4 + (optional=templinst)_ZN4QMapIiN9QsciLexer9StyleDataEE13detach_helperEv@Base 2.8.4 + (optional=templinst)_ZN4QMapIiN9QsciLexer9StyleDataEE6detachEv@Base 2.8.4 + (optional=templinst)_ZN4QMapIiN9QsciLexer9StyleDataEE7payloadEv@Base 2.8.4 + (optional=templinst)_ZN4QMapIiN9QsciLexer9StyleDataEE8concreteEPN8QMapData4NodeE@Base 2.8.4 + (optional=templinst)_ZN4QMapIiN9QsciLexer9StyleDataEE8freeDataEP8QMapData@Base 2.8.4 + (optional=templinst)_ZN4QMapIiN9QsciLexer9StyleDataEE9alignmentEv@Base 2.8.4 + (optional=templinst)_ZN4QMapIiN9QsciLexer9StyleDataEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN4QMapIiN9QsciLexer9StyleDataEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN4QMapIiN9QsciLexer9StyleDataEED1Ev@Base 2.8.4 + (optional=templinst)_ZN4QMapIiN9QsciLexer9StyleDataEED2Ev@Base 2.8.4 + (optional=templinst)_ZN4QMapIiN9QsciLexer9StyleDataEEixERKi@Base 2.8.4 + _ZN5AfterC1Ei@Base 2.8.4 + _ZN5AfterC2Ei@Base 2.8.4 + _ZN5CaretC1Ev@Base 2.8.4 + _ZN5CaretC2Ev@Base 2.8.4 + _ZN5IdlerC1Ev@Base 2.8.4 + _ZN5IdlerC2Ev@Base 2.8.4 + _ZN5Point8FromIntsEii@Base 2.9 + _ZN5Point8FromLongEl@Base 2.8.4 + _ZN5PointC1Eff@Base 2.8.4 + _ZN5PointC2Eff@Base 2.8.4 + _ZN5QChar7unicodeEv@Base 2.8.4 + _ZN5QCharC1E11QLatin1Char@Base 2.8.4 + _ZN5QCharC1Ei@Base 2.8.4 + _ZN5QCharC1Et@Base 2.8.4 + _ZN5QCharC2E11QLatin1Char@Base 2.8.4 + _ZN5QCharC2Ei@Base 2.8.4 + _ZN5QCharC2Et@Base 2.8.4 + _ZN5QFlagC1Ei@Base 2.8.4 + _ZN5QFlagC2Ei@Base 2.8.4 + _ZN5QFont7setBoldEb@Base 2.8.4 + _ZN5QFont9setItalicEb@Base 2.8.4 + _ZN5QLineC1Eiiii@Base 2.8.4 + _ZN5QLineC2Eiiii@Base 2.8.4 + (optional=templinst)_ZN5QListI14QsciStyledTextE4Node1tEv@Base 2.8.4 + (optional=templinst)_ZN5QListI5QPairIjjEE13detach_helperEi@Base 2.8.4 + (optional=templinst)_ZN5QListI5QPairIjjEE13detach_helperEv@Base 2.8.4 + (optional=templinst)_ZN5QListI5QPairIjjEE13node_destructEPNS2_4NodeES4_@Base 2.8.4 + (optional=templinst)_ZN5QListI5QPairIjjEE14node_constructEPNS2_4NodeERKS1_@Base 2.8.4 + (optional=templinst)_ZN5QListI5QPairIjjEE18detach_helper_growEii@Base 2.8.4 + (optional=templinst)_ZN5QListI5QPairIjjEE4Node1tEv@Base 2.8.4 + (optional=templinst)_ZN5QListI5QPairIjjEE4freeEPN9QListData4DataE@Base 2.8.4 + (optional=templinst)_ZN5QListI5QPairIjjEE5clearEv@Base 2.8.4 + (optional=templinst)_ZN5QListI5QPairIjjEE6appendERKS1_@Base 2.8.4 + (optional=templinst)_ZN5QListI5QPairIjjEE7reserveEi@Base 2.8.4 + (optional=templinst)_ZN5QListI5QPairIjjEE9node_copyEPNS2_4NodeES4_S4_@Base 2.8.4 + (optional=templinst)_ZN5QListI5QPairIjjEEC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN5QListI5QPairIjjEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN5QListI5QPairIjjEEC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN5QListI5QPairIjjEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN5QListI5QPairIjjEED1Ev@Base 2.8.4 + (optional=templinst)_ZN5QListI5QPairIjjEED2Ev@Base 2.8.4 + (optional=templinst)_ZN5QListI5QPairIjjEEaSERKS2_@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE10removeLastEv@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE13detach_helperEi@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE13detach_helperEv@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE13node_destructEPNS1_4NodeE@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE13node_destructEPNS1_4NodeES3_@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE14const_iteratorC1EPNS1_4NodeE@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE14const_iteratorC1ERKNS1_8iteratorE@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE14const_iteratorC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE14const_iteratorC1Ev@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE14const_iteratorC2EPNS1_4NodeE@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE14const_iteratorC2ERKNS1_8iteratorE@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE14const_iteratorC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE14const_iteratorC2Ev@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE14const_iteratorppEv@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE14node_constructEPNS1_4NodeERKS0_@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE18detach_helper_growEii@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE3endEv@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE4Node1tEv@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE4freeEPN9QListData4DataE@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE4lastEv@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE5beginEv@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE5clearEv@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE5eraseENS1_8iteratorE@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE5firstEv@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE6appendERKS0_@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE6detachEv@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE7prependERKS0_@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE7reserveEi@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE8iteratorC1EPNS1_4NodeE@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE8iteratorC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE8iteratorC2EPNS1_4NodeE@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE8iteratorC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE8iteratormmEv@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE8removeAtEi@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE9node_copyEPNS1_4NodeES3_S3_@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringEC1ERKS1_@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringEC1Ev@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringEC2ERKS1_@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringEC2Ev@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringED1Ev@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringED2Ev@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringEaSERKS1_@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringEixEi@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringEpLERKS0_@Base 2.8.4 + (optional=templinst)_ZN5QListI9QFileInfoE13detach_helperEi@Base 2.8.4 + (optional=templinst)_ZN5QListI9QFileInfoE13detach_helperEv@Base 2.8.4 + (optional=templinst)_ZN5QListI9QFileInfoE13node_destructEPNS1_4NodeES3_@Base 2.8.4 + (optional=templinst)_ZN5QListI9QFileInfoE14const_iteratorC1EPNS1_4NodeE@Base 2.8.4 + (optional=templinst)_ZN5QListI9QFileInfoE14const_iteratorC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN5QListI9QFileInfoE14const_iteratorC2EPNS1_4NodeE@Base 2.8.4 + (optional=templinst)_ZN5QListI9QFileInfoE14const_iteratorC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN5QListI9QFileInfoE14const_iteratorppEv@Base 2.8.4 + (optional=templinst)_ZN5QListI9QFileInfoE4Node1tEv@Base 2.8.4 + (optional=templinst)_ZN5QListI9QFileInfoE4freeEPN9QListData4DataE@Base 2.8.4 + (optional=templinst)_ZN5QListI9QFileInfoE9node_copyEPNS1_4NodeES3_S3_@Base 2.8.4 + (optional=templinst)_ZN5QListI9QFileInfoEC1ERKS1_@Base 2.8.4 + (optional=templinst)_ZN5QListI9QFileInfoEC2ERKS1_@Base 2.8.4 + (optional=templinst)_ZN5QListI9QFileInfoED1Ev@Base 2.8.4 + (optional=templinst)_ZN5QListI9QFileInfoED2Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIN17QInputMethodEvent9AttributeEE13detach_helperEi@Base 2.8.4 + (optional=templinst)_ZN5QListIN17QInputMethodEvent9AttributeEE13detach_helperEv@Base 2.8.4 + (optional=templinst)_ZN5QListIN17QInputMethodEvent9AttributeEE13node_destructEPNS2_4NodeES4_@Base 2.8.4 + (optional=templinst)_ZN5QListIN17QInputMethodEvent9AttributeEE14const_iteratorC1EPNS2_4NodeE@Base 2.8.4 + (optional=templinst)_ZN5QListIN17QInputMethodEvent9AttributeEE14const_iteratorC1ERKS3_@Base 2.8.4 + (optional=templinst)_ZN5QListIN17QInputMethodEvent9AttributeEE14const_iteratorC2EPNS2_4NodeE@Base 2.8.4 + (optional=templinst)_ZN5QListIN17QInputMethodEvent9AttributeEE14const_iteratorC2ERKS3_@Base 2.8.4 + (optional=templinst)_ZN5QListIN17QInputMethodEvent9AttributeEE14const_iteratorppEv@Base 2.8.4 + (optional=templinst)_ZN5QListIN17QInputMethodEvent9AttributeEE4Node1tEv@Base 2.8.4 + (optional=templinst)_ZN5QListIN17QInputMethodEvent9AttributeEE4freeEPN9QListData4DataE@Base 2.8.4 + (optional=templinst)_ZN5QListIN17QInputMethodEvent9AttributeEE9node_copyEPNS2_4NodeES4_S4_@Base 2.8.4 + (optional=templinst)_ZN5QListIN17QInputMethodEvent9AttributeEEC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN5QListIN17QInputMethodEvent9AttributeEEC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN5QListIN17QInputMethodEvent9AttributeEED1Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIN17QInputMethodEvent9AttributeEED2Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE13detach_helperEi@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE13detach_helperEv@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE13node_destructEPNS2_4NodeES4_@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE14const_iteratorC1EPNS2_4NodeE@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE14const_iteratorC1ERKNS2_8iteratorE@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE14const_iteratorC1Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE14const_iteratorC2EPNS2_4NodeE@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE14const_iteratorC2ERKNS2_8iteratorE@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE14const_iteratorC2Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE14const_iteratorppEv@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE14node_constructEPNS2_4NodeERKS1_@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE18detach_helper_growEii@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE3endEv@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE4Node1tEv@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE4freeEPN9QListData4DataE@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE4lastEv@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE5beginEv@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE5clearEv@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE6appendERKS1_@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE6detachEv@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE8iteratorC1EPNS2_4NodeE@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE8iteratorC2EPNS2_4NodeE@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE8iteratormmEv@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE9node_copyEPNS2_4NodeES4_S4_@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEED1Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEED2Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEEaSERKS2_@Base 2.8.4 + (optional=templinst)_ZN5QListIP11QsciCommandE13node_destructEPNS2_4NodeE@Base 2.8.4 + (optional=templinst)_ZN5QListIP11QsciCommandE13node_destructEPNS2_4NodeES4_@Base 2.8.4 + (optional=templinst)_ZN5QListIP11QsciCommandE14node_constructEPNS2_4NodeERKS1_@Base 2.8.4 + (optional=templinst)_ZN5QListIP11QsciCommandE18detach_helper_growEii@Base 2.8.4 + (optional=templinst)_ZN5QListIP11QsciCommandE4Node1tEv@Base 2.8.4 + (optional=templinst)_ZN5QListIP11QsciCommandE4freeEPN9QListData4DataE@Base 2.8.4 + (optional=templinst)_ZN5QListIP11QsciCommandE6appendERKS1_@Base 2.8.4 + (optional=templinst)_ZN5QListIP11QsciCommandE9node_copyEPNS2_4NodeES4_S4_@Base 2.8.4 + (optional=templinst)_ZN5QListIP11QsciCommandEC1Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIP11QsciCommandEC2Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIP11QsciCommandED1Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIP11QsciCommandED2Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIP15QListWidgetItemE13detach_helperEi@Base 2.8.4 + (optional=templinst)_ZN5QListIP15QListWidgetItemE13detach_helperEv@Base 2.8.4 + (optional=templinst)_ZN5QListIP15QListWidgetItemE13node_destructEPNS2_4NodeES4_@Base 2.8.4 + (optional=templinst)_ZN5QListIP15QListWidgetItemE4Node1tEv@Base 2.8.4 + (optional=templinst)_ZN5QListIP15QListWidgetItemE4freeEPN9QListData4DataE@Base 2.8.4 + (optional=templinst)_ZN5QListIP15QListWidgetItemE6detachEv@Base 2.8.4 + (optional=templinst)_ZN5QListIP15QListWidgetItemE9node_copyEPNS2_4NodeES4_S4_@Base 2.8.4 + (optional=templinst)_ZN5QListIP15QListWidgetItemED1Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIP15QListWidgetItemED2Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIP15QListWidgetItemEixEi@Base 2.8.4 + (optional=templinst)_ZN5QListIP17QsciScintillaBaseE13detach_helperEi@Base 2.8.4 + (optional=templinst)_ZN5QListIP17QsciScintillaBaseE13detach_helperEv@Base 2.8.4 + (optional=templinst)_ZN5QListIP17QsciScintillaBaseE13node_destructEPNS2_4NodeE@Base 2.8.4 + (optional=templinst)_ZN5QListIP17QsciScintillaBaseE13node_destructEPNS2_4NodeES4_@Base 2.8.4 + (optional=templinst)_ZN5QListIP17QsciScintillaBaseE14node_constructEPNS2_4NodeERKS1_@Base 2.8.4 + (optional=templinst)_ZN5QListIP17QsciScintillaBaseE18detach_helper_growEii@Base 2.8.4 + (optional=templinst)_ZN5QListIP17QsciScintillaBaseE4Node1tEv@Base 2.8.4 + (optional=templinst)_ZN5QListIP17QsciScintillaBaseE4freeEPN9QListData4DataE@Base 2.8.4 + (optional=templinst)_ZN5QListIP17QsciScintillaBaseE5beginEv@Base 2.8.4 + (optional=templinst)_ZN5QListIP17QsciScintillaBaseE5firstEv@Base 2.8.4 + (optional=templinst)_ZN5QListIP17QsciScintillaBaseE6appendERKS1_@Base 2.8.4 + (optional=templinst)_ZN5QListIP17QsciScintillaBaseE6detachEv@Base 2.8.4 + (optional=templinst)_ZN5QListIP17QsciScintillaBaseE8iteratorC1EPNS2_4NodeE@Base 2.8.4 + (optional=templinst)_ZN5QListIP17QsciScintillaBaseE8iteratorC2EPNS2_4NodeE@Base 2.8.4 + (optional=templinst)_ZN5QListIP17QsciScintillaBaseE8removeAtEi@Base 2.8.4 + (optional=templinst)_ZN5QListIP17QsciScintillaBaseE9node_copyEPNS2_4NodeES4_S4_@Base 2.8.4 + (optional=templinst)_ZN5QListIP17QsciScintillaBaseEC1Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIP17QsciScintillaBaseEC2Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIP17QsciScintillaBaseED1Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIP17QsciScintillaBaseED2Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIiE13detach_helperEi@Base 2.8.4 + (optional=templinst)_ZN5QListIiE13detach_helperEv@Base 2.8.4 + (optional=templinst)_ZN5QListIiE13node_destructEPNS0_4NodeE@Base 2.8.4 + (optional=templinst)_ZN5QListIiE13node_destructEPNS0_4NodeES2_@Base 2.8.4 + (optional=templinst)_ZN5QListIiE14node_constructEPNS0_4NodeERKi@Base 2.8.4 + (optional=templinst)_ZN5QListIiE18detach_helper_growEii@Base 2.8.4 + (optional=templinst)_ZN5QListIiE4Node1tEv@Base 2.8.4 + (optional=templinst)_ZN5QListIiE4freeEPN9QListData4DataE@Base 2.8.4 + (optional=templinst)_ZN5QListIiE5beginEv@Base 2.8.4 + (optional=templinst)_ZN5QListIiE5clearEv@Base 2.8.4 + (optional=templinst)_ZN5QListIiE5firstEv@Base 2.8.4 + (optional=templinst)_ZN5QListIiE6appendERKi@Base 2.8.4 + (optional=templinst)_ZN5QListIiE6detachEv@Base 2.8.4 + (optional=templinst)_ZN5QListIiE8iteratorC1EPNS0_4NodeE@Base 2.8.4 + (optional=templinst)_ZN5QListIiE8iteratorC2EPNS0_4NodeE@Base 2.8.4 + (optional=templinst)_ZN5QListIiE9node_copyEPNS0_4NodeES2_S2_@Base 2.8.4 + (optional=templinst)_ZN5QListIiEC1Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIiEC2Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIiED1Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIiED2Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIiEaSERKS0_@Base 2.8.4 + (optional=templinst)_ZN5QListIiEixEi@Base 2.8.4 + (optional=templinst)_ZN5QListIiElsERKi@Base 2.8.4 + (optional=templinst)_ZN5QPairIjjEC1ERKjS2_@Base 2.8.4 + (optional=templinst)_ZN5QPairIjjEC1Ev@Base 2.8.4 + (optional=templinst)_ZN5QPairIjjEC2ERKjS2_@Base 2.8.4 + (optional=templinst)_ZN5QPairIjjEC2Ev@Base 2.8.4 + _ZN5QRect4setXEi@Base 2.8.4 + _ZN5QRect4setYEi@Base 2.8.4 + _ZN5QRect8setWidthEi@Base 2.8.4 + _ZN5QRect9setHeightEi@Base 2.8.4 + _ZN5QRectC1Eiiii@Base 2.8.4 + _ZN5QRectC1Ev@Base 2.8.4 + _ZN5QRectC2Eiiii@Base 2.8.4 + _ZN5QRectC2Ev@Base 2.8.4 + _ZN5QSizeC1Eii@Base 2.8.4 + _ZN5QSizeC2Eii@Base 2.8.4 + _ZN5RangeC1Ei@Base 2.8.4 + _ZN5RangeC1Eii@Base 2.8.4 + _ZN5RangeC2Ei@Base 2.8.4 + _ZN5RangeC2Eii@Base 2.8.4 + _ZN5Style4CopyER4FontRK16FontMeasurements@Base 2.8.4 + _ZN5Style5ClearE13ColourDesiredS0_iPKciibbbNS_11ecaseForcedEbbb@Base 2.8.4 + _ZN5Style7ClearToERKS_@Base 2.8.4 + _ZN5StyleC1ERKS_@Base 2.8.4 + _ZN5StyleC1Ev@Base 2.8.4 + _ZN5StyleC2ERKS_@Base 2.8.4 + _ZN5StyleC2Ev@Base 2.8.4 + _ZN5StyleD1Ev@Base 2.8.4 + _ZN5StyleD2Ev@Base 2.8.4 + _ZN5StyleaSERKS_@Base 2.8.4 + _ZN5TimerC1Ev@Base 2.8.4 + _ZN5TimerC2Ev@Base 2.8.4 + _ZN6Action4GrabEPS_@Base 2.8.4 + _ZN6Action6CreateE10actionTypeiPKcib@Base 2.8.4 + _ZN6Action7DestroyEv@Base 2.8.4 + _ZN6ActionC1Ev@Base 2.8.4 + _ZN6ActionC2Ev@Base 2.8.4 + _ZN6ActionD1Ev@Base 2.8.4 + _ZN6ActionD2Ev@Base 2.8.4 + _ZN6Editor10AddCharUTFEPKcjb@Base 2.9 + _ZN6Editor10ButtonDownE5Pointjbbb@Base 2.8.4 + _ZN6Editor10ButtonMoveE5Point@Base 2.8.4 + _ZN6Editor10ChangeSizeEv@Base 2.8.4 + _ZN6Editor10ExpandLineEi@Base 2.8.4 + _ZN6Editor10FoldExpandEiii@Base 2.8.4 + _ZN6Editor10KeyCommandEj@Base 2.8.4 + _ZN6Editor10KeyDefaultEii@Base 2.8.4 + _ZN6Editor10LinesSplitEi@Base 2.8.4 + _ZN6Editor10MouseLeaveEv@Base 2.8.4 + _ZN6Editor10NotifyCharEi@Base 2.8.4 + _ZN6Editor10NotifyZoomEv@Base 2.8.4 + _ZN6Editor10RedrawRectE10PRectangle@Base 2.8.4 + _ZN6Editor10ScrollTextEi@Base 2.8.4 + _ZN6Editor10SearchTextEjml@Base 2.8.4 + _ZN6Editor10SetTickingEb@Base 2.9 + _ZN6Editor10SetTopLineEi@Base 2.8.4 + (subst)_ZN6Editor11BytesResultElPKh{size_t}@Base 2.9 + _ZN6Editor11CancelModesEv@Base 2.8.4 + _ZN6Editor11DelCharBackEb@Base 2.8.4 + _ZN6Editor11FoldChangedEiii@Base 2.8.4 + _ZN6Editor11FormatRangeEbP17Sci_RangeToFormat@Base 2.8.4 + _ZN6Editor11InsertPasteEPKci@Base 2.9 + _ZN6Editor11InsertSpaceEij@Base 2.8.4 + _ZN6Editor11NotifyFocusEb@Base 2.8.4 + _ZN6Editor11ScrollRangeE14SelectionRange@Base 2.8.4 + _ZN6Editor11SetXYScrollENS_16XYScrollPositionE@Base 2.8.4 + _ZN6Editor11WrapOneLineEP7Surfacei@Base 2.8.4 + _ZN6Editor12AbandonPaintEv@Base 2.8.4 + _ZN6Editor12DropGraphicsEb@Base 2.8.4 + _ZN6Editor12NeedWrappingEii@Base 2.8.4 + _ZN6Editor12ParaUpOrDownEiN9Selection8selTypesE@Base 2.8.4 + _ZN6Editor12SearchAnchorEv@Base 2.8.4 + _ZN6Editor12SelectionEndEv@Base 2.8.4 + _ZN6Editor12SetSelectionE17SelectionPosition@Base 2.8.4 + _ZN6Editor12SetSelectionE17SelectionPositionS0_@Base 2.8.4 + _ZN6Editor12SetSelectionEi@Base 2.8.4 + _ZN6Editor12SetSelectionEii@Base 2.8.4 + _ZN6Editor12StringResultElPKc@Base 2.8.4 + _ZN6Editor13AddStyledTextEPci@Base 2.8.4 + _ZN6Editor13CaseMapStringERKSsi@Base 2.8.4 + _ZN6Editor13CopyAllowLineEv@Base 2.8.4 + _ZN6Editor13DisplayCursorEN6Window6CursorE@Base 2.8.4 + _ZN6Editor13DragThresholdE5PointS0_@Base 2.8.4 + _ZN6Editor13LineSelectionEiib@Base 2.8.4 + _ZN6Editor13LineTransposeEv@Base 2.8.4 + _ZN6Editor13ModifierFlagsEbbbb@Base 2.9 + _ZN6Editor13NotifyDeletedEP8DocumentPv@Base 2.8.4 + _ZN6Editor13NotifyPaintedEv@Base 2.8.4 + _ZN6Editor13PaintContainsE10PRectangle@Base 2.8.4 + _ZN6Editor13QueueIdleWorkEN10WorkNeeded9workItemsEi@Base 2.8.4 + _ZN6Editor13ReplaceTargetEbPKci@Base 2.8.4 + _ZN6Editor13SetDocPointerEP8Document@Base 2.8.4 + _ZN6Editor13SetFocusStateEb@Base 2.8.4 + _ZN6Editor13SetScrollBarsEv@Base 2.8.4 + _ZN6Editor13WordSelectionEi@Base 2.8.4 + _ZN6Editor13XFromPositionE17SelectionPosition@Base 2.8.4 + _ZN6Editor13XFromPositionEi@Base 2.8.4 + _ZN6Editor14CaretSetPeriodEi@Base 2.9 + _ZN6Editor14ClearSelectionEb@Base 2.8.4 + _ZN6Editor14CursorUpOrDownEiN9Selection8selTypesE@Base 2.8.4 + _ZN6Editor14MovePositionToE17SelectionPositionN9Selection8selTypesEb@Base 2.8.4 + _ZN6Editor14MovePositionToEiN9Selection8selTypesEb@Base 2.8.4 + _ZN6Editor14NotifyDwellingE5Pointb@Base 2.8.4 + _ZN6Editor14NotifyModifiedEP8Document15DocModificationPv@Base 2.8.4 + _ZN6Editor14NotifyUpdateUIEv@Base 2.8.4 + _ZN6Editor14PaintSelMarginEP7SurfaceR10PRectangle@Base 2.8.4 + _ZN6Editor14PointIsHotspotE5Point@Base 2.8.4 + _ZN6Editor14PointMainCaretEv@Base 2.8.4 + _ZN6Editor14RefreshPixMapsEP7Surface@Base 2.8.4 + _ZN6Editor14SearchInTargetEPKci@Base 2.8.4 + _ZN6Editor14SelectionStartEv@Base 2.8.4 + _ZN6Editor14SetLastXChosenEv@Base 2.8.4 + _ZN6Editor15DiscardOverdrawEv@Base 2.9 + _ZN6Editor15FineTickerStartENS_10TickReasonEii@Base 2.9 + _ZN6Editor15InvalidateCaretEv@Base 2.8.4 + _ZN6Editor15InvalidateRangeEii@Base 2.8.4 + _ZN6Editor15NotifyNeedShownEii@Base 2.8.4 + _ZN6Editor15NotifySavePointEP8DocumentPvb@Base 2.8.4 + _ZN6Editor15NotifySavePointEb@Base 2.8.4 + _ZN6Editor15RedrawSelMarginEib@Base 2.8.4 + _ZN6Editor15SetDragPositionE17SelectionPosition@Base 2.8.4 + _ZN6Editor15SetFoldExpandedEib@Base 2.8.4 + _ZN6Editor15SetHotSpotRangeEP5Point@Base 2.8.4 + _ZN6Editor15StyleGetMessageEjml@Base 2.8.4 + _ZN6Editor15StyleSetMessageEjml@Base 2.8.4 + _ZN6Editor16AllocateGraphicsEv@Base 2.8.4 + _ZN6Editor16FillVirtualSpaceEv@Base 2.9 + _ZN6Editor16FilterSelectionsEv@Base 2.8.4 + _ZN6Editor16FineTickerCancelENS_10TickReasonE@Base 2.9 + _ZN6Editor16InsertPasteShapeEPKciNS_10PasteShapeE@Base 2.9 + _ZN6Editor16PasteRectangularE17SelectionPositionPKci@Base 2.8.4 + _ZN6Editor16PointInSelectionE5Point@Base 2.8.4 + _ZN6Editor16RefreshStyleDataEv@Base 2.8.4 + _ZN6Editor16XYScrollPositionC1Eii@Base 2.8.4 + _ZN6Editor16XYScrollPositionC2Eii@Base 2.8.4 + _ZN6Editor17EnsureLineVisibleEib@Base 2.8.4 + _ZN6Editor17FineTickerRunningENS_10TickReasonE@Base 2.9 + _ZN6Editor17MoveSelectedLinesEi@Base 2.8.4 + _ZN6Editor17NotifyDoubleClickE5Pointbbb@Base 2.8.4 + _ZN6Editor17NotifyDoubleClickE5Pointi@Base 2.9 + _ZN6Editor17NotifyMacroRecordEjml@Base 2.8.4 + _ZN6Editor17NotifyMarginClickE5Pointbbb@Base 2.8.4 + _ZN6Editor17NotifyMarginClickE5Pointi@Base 2.9 + _ZN6Editor17NotifyStyleNeededEP8DocumentPvi@Base 2.8.4 + _ZN6Editor17PositionFromLineXEii@Base 2.8.4 + _ZN6Editor17SetBraceHighlightEiii@Base 2.8.4 + _ZN6Editor17SetEmptySelectionE17SelectionPosition@Base 2.8.4 + _ZN6Editor17SetEmptySelectionEi@Base 2.8.4 + _ZN6Editor17StringFromEOLModeEi@Base 2.8.4 + _ZN6Editor17UpdateSystemCaretEv@Base 2.8.4 + _ZN6Editor18ClearDocumentStyleEv@Base 2.8.4 + _ZN6Editor18CopySelectionRangeEP13SelectionTextb@Base 2.8.4 + _ZN6Editor18EnsureCaretVisibleEbbb@Base 2.8.4 + _ZN6Editor18HorizontalScrollToEi@Base 2.8.4 + _ZN6Editor18NotifyLexerChangedEP8DocumentPv@Base 2.8.4 + _ZN6Editor18RectangleFromRangeE5Rangei@Base 2.9 + _ZN6Editor18SPositionFromLineXEii@Base 2.8.4 + _ZN6Editor18SetRepresentationsEv@Base 2.8.4 + _ZN6Editor19DisplayFromPositionEi@Base 2.8.4 + _ZN6Editor19FineTickerAvailableEv@Base 2.9 + _ZN6Editor19InvalidateSelectionE14SelectionRangeb@Base 2.8.4 + _ZN6Editor19InvalidateStyleDataEv@Base 2.8.4 + _ZN6Editor19MoveCaretInsideViewEb@Base 2.8.4 + _ZN6Editor19MoveSelectedLinesUpEv@Base 2.8.4 + _ZN6Editor19NotifyErrorOccurredEP8DocumentPvi@Base 2.8.4 + _ZN6Editor19NotifyModifyAttemptEP8DocumentPv@Base 2.8.4 + _ZN6Editor19NotifyModifyAttemptEv@Base 2.8.4 + _ZN6Editor19NotifyStyleToNeededEi@Base 2.8.4 + _ZN6Editor19PaintContainsMarginEv@Base 2.8.4 + _ZN6Editor19PositionInSelectionEi@Base 2.8.4 + _ZN6Editor19SetRectangularRangeEv@Base 2.8.4 + _ZN6Editor19StartEndDisplayLineEib@Base 2.8.4 + _ZN6Editor19TrimAndSetSelectionEii@Base 2.8.4 + _ZN6Editor19VerticalCentreCaretEv@Base 2.8.4 + _ZN6Editor20ContainerNeedsUpdateEi@Base 2.8.4 + _ZN6Editor20CopyRangeToClipboardEii@Base 2.8.4 + _ZN6Editor20KeyDownWithModifiersEiiPb@Base 2.8.4 + _ZN6Editor20LocationFromPositionE17SelectionPosition@Base 2.8.4 + _ZN6Editor20LocationFromPositionEi@Base 2.8.4 + _ZN6Editor20NotifyHotSpotClickedEibbb@Base 2.8.4 + _ZN6Editor20NotifyHotSpotClickedEii@Base 2.9 + _ZN6Editor20NotifyIndicatorClickEbibbb@Base 2.8.4 + _ZN6Editor20NotifyIndicatorClickEbii@Base 2.9 + _ZN6Editor20PositionFromLocationE5Pointbb@Base 2.8.4 + _ZN6Editor20SetAnnotationHeightsEii@Base 2.8.4 + _ZN6Editor20SetAnnotationVisibleEi@Base 2.8.4 + _ZN6Editor20ThinRectangularRangeEv@Base 2.8.4 + _ZN6Editor21CaseFolderForEncodingEv@Base 2.8.4 + _ZN6Editor21ChangeCaseOfSelectionEi@Base 2.8.4 + _ZN6Editor21InvalidateStyleRedrawEv@Base 2.8.4 + _ZN6Editor21MovePositionSoVisibleE17SelectionPositioni@Base 2.8.4 + _ZN6Editor21MovePositionSoVisibleEii@Base 2.8.4 + _ZN6Editor21MoveSelectedLinesDownEv@Base 2.8.4 + _ZN6Editor21ReconfigureScrollBarsEv@Base 2.8.4 + _ZN6Editor21SPositionFromLocationE5Pointbbb@Base 2.8.4 + _ZN6Editor21StyleToPositionInViewEi@Base 2.8.4 + _ZN6Editor21XYScrollToMakeVisibleERK14SelectionRangeNS_15XYScrollOptionsE@Base 2.9 + _ZN6Editor22SetHoverIndicatorPointE5Point@Base 2.9 + _ZN6Editor23ButtonDownWithModifiersE5Pointji@Base 2.9 + _ZN6Editor23ButtonMoveWithModifiersE5Pointi@Base 2.8.4 + _ZN6Editor24CheckModificationForWrapE15DocModification@Base 2.8.4 + _ZN6Editor25GetClientDrawingRectangleEv@Base 2.9 + _ZN6Editor25NotifyHotSpotReleaseClickEibbb@Base 2.8.4 + _ZN6Editor25NotifyHotSpotReleaseClickEii@Base 2.9 + _ZN6Editor25SetHoverIndicatorPositionEi@Base 2.9 + _ZN6Editor26CheckForChangeOutsidePaintE5Range@Base 2.8.4 + _ZN6Editor26NotifyHotSpotDoubleClickedEibbb@Base 2.8.4 + _ZN6Editor26NotifyHotSpotDoubleClickedEii@Base 2.9 + _ZN6Editor26SelectionContainsProtectedEv@Base 2.8.4 + _ZN6Editor26ShowCaretAtCurrentPositionEv@Base 2.8.4 + _ZN6Editor3CutEv@Base 2.8.4 + _ZN6Editor4IdleEv@Base 2.8.4 + _ZN6Editor4RedoEv@Base 2.8.4 + _ZN6Editor4TickEv@Base 2.8.4 + _ZN6Editor4UndoEv@Base 2.8.4 + _ZN6Editor5ClearEv@Base 2.8.4 + _ZN6Editor5PaintEP7Surface10PRectangle@Base 2.8.4 + _ZN6Editor6DropAtE17SelectionPositionPKcbb@Base 2.8.4 + (subst)_ZN6Editor6DropAtE17SelectionPositionPKc{size_t}bb@Base 2.8.4 + _ZN6Editor6GetTagEPci@Base 2.8.4 + _ZN6Editor6IndentEb@Base 2.8.4 + _ZN6Editor6RedrawEv@Base 2.8.4 + _ZN6Editor7AddCharEc@Base 2.8.4 + _ZN6Editor7FoldAllEi@Base 2.8.4 + _ZN6Editor7KeyDownEibbbPb@Base 2.8.4 + _ZN6Editor7NewLineEv@Base 2.8.4 + _ZN6Editor7SetIdleEb@Base 2.8.4 + _ZN6Editor7TickForENS_10TickReasonE@Base 2.9 + _ZN6Editor7WndProcEjml@Base 2.8.4 + _ZN6Editor8ButtonUpE5Pointjb@Base 2.8.4 + _ZN6Editor8CanPasteEv@Base 2.8.4 + _ZN6Editor8ClearAllEv@Base 2.8.4 + _ZN6Editor8CopyTextEiPKc@Base 2.8.4 + _ZN6Editor8DwellEndEb@Base 2.8.4 + _ZN6Editor8FinaliseEv@Base 2.8.4 + _ZN6Editor8FindTextEml@Base 2.8.4 + _ZN6Editor8FoldLineEii@Base 2.8.4 + _ZN6Editor8GoToLineEi@Base 2.8.4 + _ZN6Editor8IdleWorkEv@Base 2.8.4 + _ZN6Editor8PageMoveEiN9Selection8selTypesEb@Base 2.8.4 + _ZN6Editor8ScrollToEib@Base 2.8.4 + _ZN6Editor9DropCaretEv@Base 2.8.4 + _ZN6Editor9DuplicateEb@Base 2.8.4 + _ZN6Editor9GetCtrlIDEv@Base 2.8.4 + _ZN6Editor9LinesJoinEv@Base 2.8.4 + _ZN6Editor9NeedShownEii@Base 2.8.4 + _ZN6Editor9SelectAllEv@Base 2.8.4 + _ZN6Editor9SetCtrlIDEi@Base 2.8.4 + _ZN6Editor9StartDragEv@Base 2.8.4 + _ZN6Editor9TextWidthEiPKc@Base 2.8.4 + _ZN6Editor9WrapCountEi@Base 2.8.4 + _ZN6Editor9WrapLinesENS_9wrapScopeE@Base 2.8.4 + _ZN6EditorC1Ev@Base 2.8.4 + _ZN6EditorC2Ev@Base 2.8.4 + _ZN6EditorD0Ev@Base 2.8.4 + _ZN6EditorD1Ev@Base 2.8.4 + _ZN6EditorD2Ev@Base 2.8.4 + _ZN6ILexerC1Ev@Base 2.8.4 + _ZN6ILexerC2Ev@Base 2.8.4 + _ZN6KeyMap10MapDefaultE@Base 2.8.4 + _ZN6KeyMap12AssignCmdKeyEiij@Base 2.8.4 + _ZN6KeyMap5ClearEv@Base 2.8.4 + _ZN6KeyMapC1Ev@Base 2.8.4 + _ZN6KeyMapC2Ev@Base 2.8.4 + _ZN6KeyMapD1Ev@Base 2.8.4 + _ZN6KeyMapD2Ev@Base 2.8.4 + _ZN6LexerD11PrivateCallEiPv@Base 2.8.4 + _ZN6LexerD11PropertySetEPKcS1_@Base 2.8.4 + _ZN6LexerD11WordListSetEiPKc@Base 2.8.4 + _ZN6LexerD12PropertyTypeEPKc@Base 2.8.4 + _ZN6LexerD13LexerFactoryDEv@Base 2.8.4 + _ZN6LexerD13PropertyNamesEv@Base 2.8.4 + _ZN6LexerD16DescribePropertyEPKc@Base 2.8.4 + _ZN6LexerD20DescribeWordListSetsEv@Base 2.8.4 + _ZN6LexerD3LexEjiiP9IDocument@Base 2.8.4 + _ZN6LexerD4FoldEjiiP9IDocument@Base 2.8.4 + _ZN6LexerD7ReleaseEv@Base 2.8.4 + _ZN6LexerDC1Eb@Base 2.8.4 + _ZN6LexerDC2Eb@Base 2.8.4 + _ZN6LexerDD0Ev@Base 2.8.4 + _ZN6LexerDD1Ev@Base 2.8.4 + _ZN6LexerDD2Ev@Base 2.8.4 + _ZN6QColorC1ERKS_@Base 2.8.4 + _ZN6QColorC1Eiiii@Base 2.8.4 + _ZN6QColorC1Ev@Base 2.8.4 + _ZN6QColorC2ERKS_@Base 2.8.4 + _ZN6QColorC2Eiiii@Base 2.8.4 + _ZN6QColorC2Ev@Base 2.8.4 + _ZN6QEvent6acceptEv@Base 2.8.4 + _ZN6QEvent6ignoreEv@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN2Qt10DropActionEEC1ES1_@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN2Qt10DropActionEEC2ES1_@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN2Qt10WindowTypeEEC1E5QFlag@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN2Qt10WindowTypeEEC1ES1_@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN2Qt10WindowTypeEEC2E5QFlag@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN2Qt10WindowTypeEEC2ES1_@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN2Qt15InputMethodHintEEC1ES1_@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN2Qt15InputMethodHintEEC2ES1_@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN2Qt16KeyboardModifierEEC1E5QFlag@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN2Qt16KeyboardModifierEEC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN2Qt16KeyboardModifierEEC1ES1_@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN2Qt16KeyboardModifierEEC2E5QFlag@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN2Qt16KeyboardModifierEEC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN2Qt16KeyboardModifierEEC2ES1_@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN2Qt19ImageConversionFlagEEC1ES1_@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN2Qt19ImageConversionFlagEEC2ES1_@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN2Qt9MatchFlagEEC1ES1_@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN2Qt9MatchFlagEEC2ES1_@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN4QDir6FilterEEC1ES1_@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN4QDir6FilterEEC2ES1_@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN4QDir8SortFlagEEC1ES1_@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN4QDir8SortFlagEEC2ES1_@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN9QIODevice12OpenModeFlagEEC1ES1_@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN9QIODevice12OpenModeFlagEEC2ES1_@Base 2.8.4 + _ZN6QPointC1Eii@Base 2.8.4 + _ZN6QPointC1Ev@Base 2.8.4 + _ZN6QPointC2Eii@Base 2.8.4 + _ZN6QPointC2Ev@Base 2.8.4 + (subst)_ZN6QRectFC1E{qreal}{qreal}{qreal}{qreal}@Base 2.8.4 + (subst)_ZN6QRectFC2E{qreal}{qreal}{qreal}{qreal}@Base 2.8.4 + (optional=templinst)_ZN6QStackIlE3popEv@Base 2.8.4 + (optional=templinst)_ZN6QStackIlE4pushERKl@Base 2.8.4 + (optional=templinst)_ZN6QStackIlEC1Ev@Base 2.8.4 + (optional=templinst)_ZN6QStackIlEC2Ev@Base 2.8.4 + (optional=templinst)_ZN6QStackIlED1Ev@Base 2.8.4 + (optional=templinst)_ZN6QStackIlED2Ev@Base 2.8.4 + _ZN6QTimer13setSingleShotEb@Base 2.8.4 + _ZN6SorterC1EP12AutoCompletePKc@Base 2.8.4 + _ZN6SorterC1ERKS_@Base 2.8.4 + _ZN6SorterC2EP12AutoCompletePKc@Base 2.8.4 + _ZN6SorterC2ERKS_@Base 2.8.4 + _ZN6SorterD1Ev@Base 2.8.4 + _ZN6SorterD2Ev@Base 2.8.4 + _ZN6SorterclEii@Base 2.8.4 + _ZN6Window11GetPositionEv@Base 2.8.4 + _ZN6Window11SetPositionE10PRectangle@Base 2.8.4 + _ZN6Window13InvalidateAllEv@Base 2.8.4 + _ZN6Window14GetMonitorRectE5Point@Base 2.8.4 + _ZN6Window17GetClientPositionEv@Base 2.8.4 + _ZN6Window19InvalidateRectangleE10PRectangle@Base 2.8.4 + _ZN6Window19SetPositionRelativeE10PRectangleS_@Base 2.8.4 + _ZN6Window4ShowEb@Base 2.8.4 + _ZN6Window7DestroyEv@Base 2.8.4 + _ZN6Window7SetFontER4Font@Base 2.8.4 + _ZN6Window8HasFocusEv@Base 2.8.4 + _ZN6Window8SetTitleEPKc@Base 2.8.4 + _ZN6Window9SetCursorENS_6CursorE@Base 2.8.4 + _ZN6WindowC1ERKS_@Base 2.8.4 + _ZN6WindowC1Ev@Base 2.8.4 + _ZN6WindowC2ERKS_@Base 2.8.4 + _ZN6WindowC2Ev@Base 2.8.4 + _ZN6WindowD0Ev@Base 2.8.4 + _ZN6WindowD1Ev@Base 2.8.4 + _ZN6WindowD2Ev@Base 2.8.4 + _ZN6WindowaSEPv@Base 2.8.4 + _ZN6WindowaSERKS_@Base 2.8.4 + _ZN7CallTip10MouseClickE5Point@Base 2.8.4 + _ZN7CallTip10SetTabSizeEi@Base 2.8.4 + _ZN7CallTip11SetForeBackERK13ColourDesiredS2_@Base 2.8.4 + _ZN7CallTip11SetPositionEb@Base 2.8.4 + _ZN7CallTip12CallTipStartEi5PointiPKcS2_iiiiR6Window@Base 2.8.4 + _ZN7CallTip12SetHighlightEii@Base 2.8.4 + _ZN7CallTip13CallTipCancelEv@Base 2.8.4 + _ZN7CallTip13PaintContentsEP7Surfaceb@Base 2.8.4 + _ZN7CallTip7PaintCTEP7Surface@Base 2.8.4 + _ZN7CallTip9DrawChunkEP7SurfaceRiPKciii10PRectanglebb@Base 2.8.4 + _ZN7CallTipC1Ev@Base 2.8.4 + _ZN7CallTipC2Ev@Base 2.8.4 + _ZN7CallTipD1Ev@Base 2.8.4 + _ZN7CallTipD2Ev@Base 2.8.4 + _ZN7ILoaderC1Ev@Base 2.8.4 + _ZN7ILoaderC2Ev@Base 2.8.4 + _ZN7ListBox8AllocateEv@Base 2.8.4 + _ZN7ListBoxC1Ev@Base 2.8.4 + _ZN7ListBoxC2Ev@Base 2.8.4 + _ZN7ListBoxD0Ev@Base 2.8.4 + _ZN7ListBoxD1Ev@Base 2.8.4 + _ZN7ListBoxD2Ev@Base 2.8.4 + _ZN7PerLineC1Ev@Base 2.8.4 + _ZN7PerLineC2Ev@Base 2.8.4 + _ZN7PerLineD0Ev@Base 2.8.4 + _ZN7PerLineD1Ev@Base 2.8.4 + _ZN7PerLineD2Ev@Base 2.8.4 + _ZN7QObject10disconnectEPKS_PKc@Base 2.8.4 + _ZN7QPointFC1Ev@Base 2.8.4 + (subst)_ZN7QPointFC1E{qreal}{qreal}@Base 2.8.4 + _ZN7QPointFC2Ev@Base 2.8.4 + (subst)_ZN7QPointFC2E{qreal}{qreal}@Base 2.8.4 + _ZN7QString7prependE5QChar@Base 2.8.4 + _ZN7QStringC1EPKc@Base 2.8.4 + _ZN7QStringC1ERK13QLatin1String@Base 2.8.4 + _ZN7QStringC1ERKS_@Base 2.8.4 + _ZN7QStringC1Ev@Base 2.8.4 + _ZN7QStringC2EPKc@Base 2.8.4 + _ZN7QStringC2ERK13QLatin1String@Base 2.8.4 + _ZN7QStringC2ERKS_@Base 2.8.4 + _ZN7QStringC2Ev@Base 2.8.4 + _ZN7QStringD1Ev@Base 2.8.4 + _ZN7QStringD2Ev@Base 2.8.4 + _ZN7QStringaSEPKc@Base 2.8.4 + _ZN7QStringixEi@Base 2.8.4 + _ZN7QStringpLE5QChar@Base 2.8.4 + _ZN7QStringpLERKS_@Base 2.8.4 + _ZN7QStringpLEc@Base 2.8.4 + (optional=templinst)_ZN7QVectorI7QPointFE13detach_helperEv@Base 2.8.4 + (optional=templinst)_ZN7QVectorI7QPointFE15sizeOfTypedDataEv@Base 2.8.4 + (optional=templinst)_ZN7QVectorI7QPointFE4dataEv@Base 2.8.4 + (optional=templinst)_ZN7QVectorI7QPointFE4freeEP16QVectorTypedDataIS0_E@Base 2.8.4 + (optional=templinst)_ZN7QVectorI7QPointFE6detachEv@Base 2.8.4 + (optional=templinst)_ZN7QVectorI7QPointFE6mallocEi@Base 2.8.4 + (optional=templinst)_ZN7QVectorI7QPointFE7reallocEii@Base 2.8.4 + (optional=templinst)_ZN7QVectorI7QPointFEC1Ei@Base 2.8.4 + (optional=templinst)_ZN7QVectorI7QPointFEC2Ei@Base 2.8.4 + (optional=templinst)_ZN7QVectorI7QPointFED1Ev@Base 2.8.4 + (optional=templinst)_ZN7QVectorI7QPointFED2Ev@Base 2.8.4 + (optional=templinst)_ZN7QVectorI7QPointFEixEi@Base 2.8.4 + (optional=templinst)_ZN7QVectorIlE13detach_helperEv@Base 2.8.4 + (optional=templinst)_ZN7QVectorIlE15sizeOfTypedDataEv@Base 2.8.4 + (optional=templinst)_ZN7QVectorIlE4dataEv@Base 2.8.4 + (optional=templinst)_ZN7QVectorIlE4freeEP16QVectorTypedDataIlE@Base 2.8.4 + (optional=templinst)_ZN7QVectorIlE6appendERKl@Base 2.8.4 + (optional=templinst)_ZN7QVectorIlE6detachEv@Base 2.8.4 + (optional=templinst)_ZN7QVectorIlE6mallocEi@Base 2.8.4 + (optional=templinst)_ZN7QVectorIlE6resizeEi@Base 2.8.4 + (optional=templinst)_ZN7QVectorIlE7reallocEii@Base 2.8.4 + (optional=templinst)_ZN7QVectorIlEC1Ev@Base 2.8.4 + (optional=templinst)_ZN7QVectorIlEC2Ev@Base 2.8.4 + (optional=templinst)_ZN7QVectorIlED1Ev@Base 2.8.4 + (optional=templinst)_ZN7QVectorIlED2Ev@Base 2.8.4 + _ZN7QWidget11setGeometryEiiii@Base 2.8.4 + _ZN7QWidget16setMouseTrackingEb@Base 2.8.4 + _ZN7QWidget4hideEv@Base 2.8.4 + _ZN7QWidget4showEv@Base 2.8.4 + _ZN7QWidget6resizeEii@Base 2.8.4 + _ZN7QWidget6updateEiiii@Base 2.8.4 + _ZN7QWidget8setFocusEv@Base 2.8.4 + _ZN7Surface8AllocateEi@Base 2.8.4 + _ZN7SurfaceC1Ev@Base 2.8.4 + _ZN7SurfaceC2Ev@Base 2.8.4 + _ZN7SurfaceD0Ev@Base 2.8.4 + _ZN7SurfaceD1Ev@Base 2.8.4 + _ZN7SurfaceD2Ev@Base 2.8.4 + _ZN8Accessor12IndentAmountEiPiPFbRS_iiE@Base 2.8.4 + _ZN8AccessorC1EP9IDocumentP13PropSetSimple@Base 2.8.4 + _ZN8AccessorC2EP9IDocumentP13PropSetSimple@Base 2.8.4 + _ZN8Document10AddMarkSetEii@Base 2.8.4 + _ZN8Document10AddWatcherEP10DocWatcherPv@Base 2.8.4 + _ZN8Document10BraceMatchEii@Base 2.8.4 + _ZN8Document10DeleteMarkEii@Base 2.8.4 + _ZN8Document10FindColumnEii@Base 2.8.4 + _ZN8Document10InsertLineEi@Base 2.8.4 + _ZN8Document10ModifiedAtEi@Base 2.8.4 + _ZN8Document10RemoveLineEi@Base 2.8.4 + _ZN8Document11ClearLevelsEv@Base 2.8.4 + _ZN8Document11DelCharBackEi@Base 2.8.4 + _ZN8Document11DeleteCharsEii@Base 2.8.4 + _ZN8Document11NextWordEndEii@Base 2.8.4 + _ZN8Document11SetReadOnlyEb@Base 2.8.4 + _ZN8Document11SetStyleForEic@Base 2.8.4 + _ZN8Document12GetLastChildEiii@Base 2.8.4 + _ZN8Document12InsertStringEiPKci@Base 2.8.4 + _ZN8Document12LexerChangedEv@Base 2.8.4 + _ZN8Document12RangePointerEii@Base 2.8.4 + _ZN8Document12SetLineStateEii@Base 2.8.4 + _ZN8Document12SetSavePointEv@Base 2.8.4 + _ZN8Document12StartStylingEic@Base 2.8.4 + _ZN8Document12WordPartLeftEi@Base 2.8.4 + _ZN8Document13AddUndoActionEib@Base 2.8.4 + _ZN8Document13BufferPointerEv@Base 2.8.4 + _ZN8Document13CheckReadOnlyEv@Base 2.8.4 + _ZN8Document13EndUndoActionEv@Base 2.8.4 + _ZN8Document13MarginSetTextEiPKc@Base 2.8.4 + _ZN8Document13NextWordStartEii@Base 2.8.4 + _ZN8Document13RemoveWatcherEP10DocWatcherPv@Base 2.8.4 + _ZN8Document13SetCaseFolderEP10CaseFolder@Base 2.8.4 + _ZN8Document13TentativeUndoEv@Base 2.9 + _ZN8Document13WordPartRightEi@Base 2.8.4 + _ZN8Document14DeleteAllMarksEi@Base 2.8.4 + _ZN8Document14EnsureStyledToEi@Base 2.8.4 + _ZN8Document14LineFromHandleEi@Base 2.8.4 + _ZN8Document14MarginClearAllEv@Base 2.8.4 + _ZN8Document14MarginSetStyleEii@Base 2.8.4 + _ZN8Document14NotifyModifiedE15DocModification@Base 2.8.4 + _ZN8Document14SetCharClassesEPKhN12CharClassify2ccE@Base 2.8.4 + _ZN8Document14SetErrorStatusEi@Base 2.8.4 + _ZN8Document15BeginUndoActionEv@Base 2.8.4 + _ZN8Document15ChangeInsertionEPKci@Base 2.9 + _ZN8Document15ConvertLineEndsEi@Base 2.8.4 + _ZN8Document15GetCharsOfClassEN12CharClassify2ccEPh@Base 2.8.4 + _ZN8Document15GetMaxLineStateEv@Base 2.8.4 + _ZN8Document15MarginSetStylesEiPKh@Base 2.8.4 + _ZN8Document15NotifySavePointEb@Base 2.8.4 + _ZN8Document15SetDBCSCodePageEi@Base 2.8.4 + _ZN8Document16ChangeLexerStateEii@Base 2.8.4 + _ZN8Document16ExtendStyleRangeEiib@Base 2.8.4 + _ZN8Document16ExtendWordSelectEiib@Base 2.8.4 + _ZN8Document17AnnotationSetTextEiPKc@Base 2.8.4 + _ZN8Document17ConvertToDocumentEv@Base 2.8.4 + _ZN8Document17DeleteUndoHistoryEv@Base 2.8.4 + _ZN8Document17SetUndoCollectionEb@Base 2.8.4 + (subst)_ZN8Document17TransformLineEndsEPKc{size_t}i@Base 2.8.4 + _ZN8Document18AnnotationClearAllEv@Base 2.8.4 + _ZN8Document18AnnotationSetStyleEii@Base 2.8.4 + _ZN8Document18CharacterExtractedC1Ejj@Base 2.9 + _ZN8Document18CharacterExtractedC2Ejj@Base 2.9 + _ZN8Document18GetLineIndentationEi@Base 2.8.4 + _ZN8Document18SetLineIndentationEii@Base 2.8.4 + _ZN8Document19AnnotationSetStylesEiPKh@Base 2.8.4 + _ZN8Document19DecorationFillRangeEiii@Base 2.8.4 + _ZN8Document19IncrementStyleClockEv@Base 2.8.4 + _ZN8Document19NotifyModifyAttemptEv@Base 2.8.4 + _ZN8Document19WatcherWithUserDataC1EP10DocWatcherPv@Base 2.8.4 + _ZN8Document19WatcherWithUserDataC2EP10DocWatcherPv@Base 2.8.4 + _ZN8Document20DeleteMarkFromHandleEi@Base 2.8.4 + _ZN8Document20SubstituteByPositionEPKcPi@Base 2.8.4 + _ZN8Document21SetDefaultCharClassesEb@Base 2.8.4 + _ZN8Document22GetHighlightDelimitersER18HighlightDelimiterii@Base 2.8.4 + _ZN8Document22SetLineEndTypesAllowedEi@Base 2.8.4 + _ZN8Document29DecorationSetCurrentIndicatorEi@Base 2.8.4 + _ZN8Document4InitEv@Base 2.8.4 + _ZN8Document4RedoEv@Base 2.8.4 + _ZN8Document4UndoEv@Base 2.8.4 + _ZN8Document6AddRefEv@Base 2.8.4 + _ZN8Document6IndentEbii@Base 2.8.4 + _ZN8Document7AddDataEPci@Base 2.8.4 + _ZN8Document7AddMarkEii@Base 2.8.4 + _ZN8Document7DelCharEi@Base 2.8.4 + _ZN8Document7GetMarkEi@Base 2.8.4 + _ZN8Document7LenCharEi@Base 2.8.4 + _ZN8Document7ReleaseEv@Base 2.8.4 + _ZN8Document8AllocateEi@Base 2.8.4 + _ZN8Document8FindTextEiiPKcbbbbiPi@Base 2.8.4 + _ZN8Document8SetLevelEii@Base 2.8.4 + _ZN8Document9GetColumnEi@Base 2.8.4 + _ZN8Document9SetStylesEiPKc@Base 2.8.4 + _ZN8DocumentC1Ev@Base 2.8.4 + _ZN8DocumentC2Ev@Base 2.8.4 + _ZN8DocumentD0Ev@Base 2.8.4 + _ZN8DocumentD1Ev@Base 2.8.4 + _ZN8DocumentD2Ev@Base 2.8.4 + _ZN8EditView10AddTabstopEii@Base 2.9 + _ZN8EditView10LayoutLineERK9EditModeliP7SurfaceRK9ViewStyleP10LineLayouti@Base 2.9 + _ZN8EditView11FormatRangeEbP17Sci_RangeToFormatP7SurfaceS3_RK9EditModelRK9ViewStyle@Base 2.9 + _ZN8EditView12DropGraphicsEb@Base 2.9 + _ZN8EditView13ClearTabstopsEi@Base 2.9 + _ZN8EditView13SetPhasesDrawEi@Base 2.9 + _ZN8EditView14DrawAnnotationEP7SurfaceRK9EditModelRK9ViewStylePK10LineLayoutii10PRectanglei9DrawPhase@Base 2.9 + _ZN8EditView14DrawForegroundEP7SurfaceRK9EditModelRK9ViewStylePK10LineLayouti10PRectangle5Rangeiii14ColourOptional@Base 2.9 + _ZN8EditView14RefreshPixMapsEP7SurfacePvRK9ViewStyle@Base 2.9 + _ZN8EditView15DrawIndentGuideEP7Surfaceiii10PRectangleb@Base 2.9 + _ZN8EditView15SetTwoPhaseDrawEb@Base 2.9 + _ZN8EditView16AllocateGraphicsERK9ViewStyle@Base 2.9 + _ZN8EditView16ClearAllTabstopsEv@Base 2.9 + _ZN8EditView18RetrieveLineLayoutEiRK9EditModel@Base 2.9 + _ZN8EditView18SPositionFromLineXEP7SurfaceRK9EditModeliiRK9ViewStyle@Base 2.9 + _ZN8EditView19DisplayFromPositionEP7SurfaceRK9EditModeliRK9ViewStyle@Base 2.9 + _ZN8EditView19LinesAddedOrRemovedEii@Base 2.9 + _ZN8EditView19StartEndDisplayLineEP7SurfaceRK9EditModelibRK9ViewStyle@Base 2.9 + _ZN8EditView20LocationFromPositionEP7SurfaceRK9EditModel17SelectionPositioniRK9ViewStyle@Base 2.9 + _ZN8EditView21SPositionFromLocationEP7SurfaceRK9EditModel5PointbbbRK9ViewStyle@Base 2.9 + _ZN8EditView25DrawIndentGuidesOverEmptyEP7SurfaceRK9EditModelRK9ViewStylePK10LineLayoutii10PRectangleii@Base 2.9 + _ZN8EditView7DrawEOLEP7SurfaceRK9EditModelRK9ViewStylePK10LineLayout10PRectangleiiiid14ColourOptional@Base 2.9 + _ZN8EditView8DrawLineEP7SurfaceRK9EditModelRK9ViewStylePK10LineLayoutiii10PRectanglei9DrawPhase@Base 2.9 + _ZN8EditView9PaintTextEP7SurfaceRK9EditModel10PRectangleS5_RK9ViewStyle@Base 2.9 + _ZN8EditViewC1Ev@Base 2.9 + _ZN8EditViewC2Ev@Base 2.9 + _ZN8EditViewD0Ev@Base 2.9 + _ZN8EditViewD1Ev@Base 2.9 + _ZN8EditViewD2Ev@Base 2.9 + _ZN8LMMinderD1Ev@Base 2.8.4 + _ZN8LMMinderD2Ev@Base 2.8.4 + _ZN8LexState11PrivateCallEiPv@Base 2.8.4 + _ZN8LexState11SetWordListEiPKc@Base 2.8.4 + _ZN8LexState12PropertyTypeEPKc@Base 2.8.4 + _ZN8LexState13FreeSubStylesEv@Base 2.8.4 + _ZN8LexState13PropertyNamesEv@Base 2.8.4 + _ZN8LexState14SetIdentifiersEiPKc@Base 2.8.4 + _ZN8LexState14SetLexerModuleEPK11LexerModule@Base 2.8.4 + _ZN8LexState14SubStylesStartEi@Base 2.8.4 + _ZN8LexState15SubStylesLengthEi@Base 2.8.4 + _ZN8LexState16DescribePropertyEPKc@Base 2.8.4 + _ZN8LexState16GetSubStyleBasesEv@Base 2.8.4 + _ZN8LexState16SetLexerLanguageEPKc@Base 2.8.4 + _ZN8LexState17AllocateSubStylesEii@Base 2.8.4 + _ZN8LexState17StyleFromSubStyleEi@Base 2.8.4 + _ZN8LexState20DescribeWordListSetsEv@Base 2.8.4 + _ZN8LexState21LineEndTypesSupportedEv@Base 2.8.4 + _ZN8LexState21PrimaryStyleFromStyleEi@Base 2.8.4 + _ZN8LexState25DistanceToSecondaryStylesEv@Base 2.8.4 + _ZN8LexState7PropSetEPKcS1_@Base 2.8.4 + _ZN8LexState8SetLexerEm@Base 2.8.4 + _ZN8LexStateC1EP8Document@Base 2.8.4 + _ZN8LexStateC2EP8Document@Base 2.8.4 + _ZN8LexStateD0Ev@Base 2.8.4 + _ZN8LexStateD1Ev@Base 2.8.4 + _ZN8LexStateD2Ev@Base 2.8.4 + _ZN8LexerAsm11PrivateCallEiPv@Base 2.8.4 + _ZN8LexerAsm11PropertySetEPKcS1_@Base 2.8.4 + _ZN8LexerAsm11WordListSetEiPKc@Base 2.8.4 + _ZN8LexerAsm12PropertyTypeEPKc@Base 2.8.4 + _ZN8LexerAsm13PropertyNamesEv@Base 2.8.4 + _ZN8LexerAsm14LexerFactoryAsEv@Base 2.9 + _ZN8LexerAsm15LexerFactoryAsmEv@Base 2.8.4 + _ZN8LexerAsm16DescribePropertyEPKc@Base 2.8.4 + _ZN8LexerAsm20DescribeWordListSetsEv@Base 2.8.4 + _ZN8LexerAsm3LexEjiiP9IDocument@Base 2.8.4 + _ZN8LexerAsm4FoldEjiiP9IDocument@Base 2.8.4 + _ZN8LexerAsm7ReleaseEv@Base 2.8.4 + _ZN8LexerAsmC1Ei@Base 2.9 + _ZN8LexerAsmC2Ei@Base 2.9 + _ZN8LexerAsmD0Ev@Base 2.8.4 + _ZN8LexerAsmD1Ev@Base 2.8.4 + _ZN8LexerAsmD2Ev@Base 2.8.4 + _ZN8LexerCPP10MaskActiveEi@Base 2.8.4 + _ZN8LexerCPP11PrivateCallEiPv@Base 2.8.4 + _ZN8LexerCPP11PropertySetEPKcS1_@Base 2.8.4 + _ZN8LexerCPP11SymbolValueC1ERKS0_@Base 2.9 + _ZN8LexerCPP11SymbolValueC1ERKSsS2_@Base 2.9 + _ZN8LexerCPP11SymbolValueC2ERKS0_@Base 2.9 + _ZN8LexerCPP11SymbolValueC2ERKSsS2_@Base 2.9 + _ZN8LexerCPP11SymbolValueD1Ev@Base 2.9 + _ZN8LexerCPP11SymbolValueD2Ev@Base 2.9 + _ZN8LexerCPP11SymbolValueaSERKS0_@Base 2.9 + _ZN8LexerCPP11SymbolValueaSERKSs@Base 2.9 + _ZN8LexerCPP11WordListSetEiPKc@Base 2.8.4 + _ZN8LexerCPP12PropertyTypeEPKc@Base 2.8.4 + _ZN8LexerCPP13FreeSubStylesEv@Base 2.8.4 + _ZN8LexerCPP13PropertyNamesEv@Base 2.8.4 + _ZN8LexerCPP14EvaluateTokensERSt6vectorISsSaISsEERKSt3mapISsNS_11SymbolValueESt4lessISsESaISt4pairIKSsS5_EEE@Base 2.9 + _ZN8LexerCPP14SetIdentifiersEiPKc@Base 2.8.4 + _ZN8LexerCPP14SubStylesStartEi@Base 2.8.4 + _ZN8LexerCPP15LexerFactoryCPPEv@Base 2.8.4 + _ZN8LexerCPP15SubStylesLengthEi@Base 2.8.4 + _ZN8LexerCPP16DescribePropertyEPKc@Base 2.8.4 + _ZN8LexerCPP16GetSubStyleBasesEv@Base 2.8.4 + _ZN8LexerCPP17AllocateSubStylesEii@Base 2.8.4 + _ZN8LexerCPP17StyleFromSubStyleEi@Base 2.8.4 + _ZN8LexerCPP18EvaluateExpressionERKSsRKSt3mapISsNS_11SymbolValueESt4lessISsESaISt4pairIS0_S3_EEE@Base 2.9 + _ZN8LexerCPP20DescribeWordListSetsEv@Base 2.8.4 + _ZN8LexerCPP21LineEndTypesSupportedEv@Base 2.8.4 + _ZN8LexerCPP21PrimaryStyleFromStyleEi@Base 2.8.4 + _ZN8LexerCPP25DistanceToSecondaryStylesEv@Base 2.8.4 + _ZN8LexerCPP26LexerFactoryCPPInsensitiveEv@Base 2.8.4 + _ZN8LexerCPP3LexEjiiP9IDocument@Base 2.8.4 + _ZN8LexerCPP4FoldEjiiP9IDocument@Base 2.8.4 + _ZN8LexerCPP7ReleaseEv@Base 2.8.4 + _ZN8LexerCPPC1Eb@Base 2.8.4 + _ZN8LexerCPPC2Eb@Base 2.8.4 + _ZN8LexerCPPD0Ev@Base 2.8.4 + _ZN8LexerCPPD1Ev@Base 2.8.4 + _ZN8LexerCPPD2Ev@Base 2.8.4 + _ZN8LexerSQL11PrivateCallEiPv@Base 2.8.4 + _ZN8LexerSQL11PropertySetEPKcS1_@Base 2.8.4 + _ZN8LexerSQL11WordListSetEiPKc@Base 2.8.4 + _ZN8LexerSQL12PropertyTypeEPKc@Base 2.8.4 + _ZN8LexerSQL13IsCommentLineEiR11LexAccessor@Base 2.8.4 + _ZN8LexerSQL13PropertyNamesEv@Base 2.8.4 + _ZN8LexerSQL14IsCommentStyleEi@Base 2.8.4 + _ZN8LexerSQL15LexerFactorySQLEv@Base 2.8.4 + _ZN8LexerSQL16DescribePropertyEPKc@Base 2.8.4 + _ZN8LexerSQL20DescribeWordListSetsEv@Base 2.8.4 + _ZN8LexerSQL20IsStreamCommentStyleEi@Base 2.8.4 + _ZN8LexerSQL3LexEjiiP9IDocument@Base 2.8.4 + _ZN8LexerSQL4FoldEjiiP9IDocument@Base 2.8.4 + _ZN8LexerSQL7ReleaseEv@Base 2.8.4 + _ZN8LexerSQLC1Ev@Base 2.8.4 + _ZN8LexerSQLC2Ev@Base 2.8.4 + _ZN8LexerSQLD0Ev@Base 2.8.4 + _ZN8LexerSQLD1Ev@Base 2.8.4 + _ZN8LexerSQLD2Ev@Base 2.8.4 + _ZN8OptionsDC1Ev@Base 2.8.4 + _ZN8OptionsDC2Ev@Base 2.8.4 + _ZN8OptionsDD1Ev@Base 2.8.4 + _ZN8OptionsDD2Ev@Base 2.8.4 + _ZN8Platform11DebugPrintfEPKcz@Base 2.8.4 + _ZN8Platform11DefaultFontEv@Base 2.8.4 + _ZN8Platform12DebugDisplayEPKc@Base 2.8.4 + _ZN8Platform13SendScintillaEPvjml@Base 2.8.4 + _ZN8Platform14DBCSCharLengthEiPKc@Base 2.8.4 + _ZN8Platform14IsDBCSLeadByteEic@Base 2.8.4 + _ZN8Platform15ChromeHighlightEv@Base 2.8.4 + _ZN8Platform15DefaultFontSizeEv@Base 2.8.4 + _ZN8Platform15DoubleClickTimeEv@Base 2.8.4 + _ZN8Platform16LowShortFromLongEl@Base 2.8.4 + _ZN8Platform17DBCSCharMaxLengthEv@Base 2.8.4 + _ZN8Platform17HighShortFromLongEl@Base 2.8.4 + _ZN8Platform17MouseButtonBounceEv@Base 2.8.4 + _ZN8Platform19ShowAssertionPopUpsEb@Base 2.8.4 + _ZN8Platform20SendScintillaPointerEPvjmS0_@Base 2.8.4 + _ZN8Platform5ClampEiii@Base 2.8.4 + _ZN8Platform6AssertEPKcS1_i@Base 2.8.4 + _ZN8Platform6ChromeEv@Base 2.8.4 + _ZN8Platform7MaximumEii@Base 2.8.4 + _ZN8Platform7MinimumEii@Base 2.8.4 + _ZN8Platform9IsKeyDownEi@Base 2.8.4 + _ZN8QCharRefC1ER7QStringi@Base 2.8.4 + _ZN8QCharRefC2ER7QStringi@Base 2.8.4 + _ZN8QPainter10drawPixmapERK7QPointFRK7QPixmapRK6QRectF@Base 2.8.4 + _ZN8QPainter11drawPolygonERK9QPolygonFN2Qt8FillRuleE@Base 2.8.4 + _ZN8QPainter8drawLineEiiii@Base 2.8.4 + _ZN8QPainter8drawRectERK6QRectF@Base 2.8.4 + (optional=templinst)_ZN8QPointerI9QsciLexerEC1Ev@Base 2.8.4 + (optional=templinst)_ZN8QPointerI9QsciLexerEC2Ev@Base 2.8.4 + (optional=templinst)_ZN8QPointerI9QsciLexerED1Ev@Base 2.8.4 + (optional=templinst)_ZN8QPointerI9QsciLexerED2Ev@Base 2.8.4 + (optional=templinst)_ZN8QPointerI9QsciLexerEaSEPS0_@Base 2.8.4 + _ZN8QVariant7PrivateC1Ev@Base 2.8.4 + _ZN8QVariant7PrivateC2Ev@Base 2.8.4 + _ZN8QVariantC1Ev@Base 2.8.4 + _ZN8QVariantC2Ev@Base 2.8.4 + _ZN8QsciAPIs11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN8QsciAPIs11qt_metacastEPKc@Base 2.8.4 + _ZN8QsciAPIs12deleteWorkerEv@Base 2.8.4 + _ZN8QsciAPIs12enoughCommasERK7QStringi@Base 2.8.4 + _ZN8QsciAPIs12loadPreparedERK7QString@Base 2.8.4 + _ZN8QsciAPIs13addAPIEntriesERK5QListI5QPairIjjEEbR11QStringListRb@Base 2.8.4 + _ZN8QsciAPIs14positionOriginERK11QStringListR7QString@Base 2.8.4 + _ZN8QsciAPIs15lastPartialWordERK7QStringR11QStringListRb@Base 2.8.4 + _ZN8QsciAPIs16lastCompleteWordERK7QStringR11QStringListRb@Base 2.8.4 + _ZN8QsciAPIs16originStartsWithERK7QStringS2_@Base 2.8.4 + _ZN8QsciAPIs16staticMetaObjectE@Base 2.8.4 + _ZN8QsciAPIs17cancelPreparationEv@Base 2.8.4 + _ZN8QsciAPIs21apiPreparationStartedEv@Base 2.8.4 + _ZN8QsciAPIs22apiPreparationFinishedEv@Base 2.8.4 + _ZN8QsciAPIs22autoCompletionSelectedERK7QString@Base 2.8.4 + _ZN8QsciAPIs23apiPreparationCancelledEv@Base 2.8.4 + _ZN8QsciAPIs24updateAutoCompletionListERK11QStringListRS0_@Base 2.8.4 + _ZN8QsciAPIs3addERK7QString@Base 2.8.4 + _ZN8QsciAPIs4loadERK7QString@Base 2.8.4 + _ZN8QsciAPIs5clearEv@Base 2.8.4 + _ZN8QsciAPIs5eventEP6QEvent@Base 2.8.4 + _ZN8QsciAPIs6removeERK7QString@Base 2.8.4 + _ZN8QsciAPIs7prepareEv@Base 2.8.4 + _ZN8QsciAPIs8callTipsERK11QStringListiN13QsciScintilla13CallTipsStyleER5QListIiE@Base 2.8.4 + _ZN8QsciAPIsC1EP9QsciLexer@Base 2.8.4 + _ZN8QsciAPIsC2EP9QsciLexer@Base 2.8.4 + _ZN8QsciAPIsD0Ev@Base 2.8.4 + _ZN8QsciAPIsD1Ev@Base 2.8.4 + _ZN8QsciAPIsD2Ev@Base 2.8.4 + _ZN8QuoteCls3NewEv@Base 2.8.4 + _ZN8QuoteCls4OpenEc@Base 2.8.4 + _ZN8QuoteClsC1ERKS_@Base 2.8.4 + _ZN8QuoteClsC1Ev@Base 2.8.4 + _ZN8QuoteClsC2ERKS_@Base 2.8.4 + _ZN8QuoteClsC2Ev@Base 2.8.4 + _ZN8QuoteClsaSERKS_@Base 2.8.4 + _ZN8RESearch11GrabMatchesER16CharacterIndexer@Base 2.8.4 + _ZN8RESearch13ChSetWithCaseEhb@Base 2.8.4 + _ZN8RESearch22GetBackslashExpressionEPKcRi@Base 2.8.4 + _ZN8RESearch5ChSetEh@Base 2.8.4 + _ZN8RESearch5ClearEv@Base 2.8.4 + _ZN8RESearch6PMatchER16CharacterIndexeriiPc@Base 2.8.4 + _ZN8RESearch7CompileEPKcibb@Base 2.8.4 + _ZN8RESearch7ExecuteER16CharacterIndexerii@Base 2.8.4 + _ZN8RESearchC1EP12CharClassify@Base 2.8.4 + _ZN8RESearchC2EP12CharClassify@Base 2.8.4 + _ZN8RESearchD1Ev@Base 2.8.4 + _ZN8RESearchD2Ev@Base 2.8.4 + _ZN8VarChainC1EPKcPKS_@Base 2.8.4 + _ZN8VarChainC2EPKcPKS_@Base 2.8.4 + _ZN8WordList3SetEPKc@Base 2.8.4 + _ZN8WordList5ClearEv@Base 2.8.4 + _ZN8WordListC1Eb@Base 2.8.4 + _ZN8WordListC2Eb@Base 2.8.4 + _ZN8WordListD1Ev@Base 2.8.4 + _ZN8WordListD2Ev@Base 2.8.4 + _ZN9Catalogue14AddLexerModuleEP11LexerModule@Base 2.8.4 + _ZN9Catalogue4FindEPKc@Base 2.8.4 + _ZN9Catalogue4FindEi@Base 2.8.4 + _ZN9EditModelC1Ev@Base 2.9 + _ZN9EditModelC2Ev@Base 2.9 + _ZN9EditModelD0Ev@Base 2.9 + _ZN9EditModelD1Ev@Base 2.9 + _ZN9EditModelD2Ev@Base 2.9 + _ZN9FontAlias9ClearFontEv@Base 2.8.4 + _ZN9FontAlias9MakeAliasER4Font@Base 2.8.4 + _ZN9FontAliasC1ERKS_@Base 2.9 + _ZN9FontAliasC1Ev@Base 2.8.4 + _ZN9FontAliasC2ERKS_@Base 2.9 + _ZN9FontAliasC2Ev@Base 2.8.4 + _ZN9FontAliasD0Ev@Base 2.8.4 + _ZN9FontAliasD1Ev@Base 2.8.4 + _ZN9FontAliasD2Ev@Base 2.8.4 + _ZN9FontNames4SaveEPKc@Base 2.8.4 + _ZN9FontNames5ClearEv@Base 2.8.4 + _ZN9FontNamesC1Ev@Base 2.8.4 + _ZN9FontNamesC2Ev@Base 2.8.4 + _ZN9FontNamesD1Ev@Base 2.8.4 + _ZN9FontNamesD2Ev@Base 2.8.4 + _ZN9IDocumentC1Ev@Base 2.8.4 + _ZN9IDocumentC2Ev@Base 2.8.4 + _ZN9Indicator8SetFlagsEi@Base 2.9 + _ZN9IndicatorC1Ei13ColourDesiredbii@Base 2.9 + _ZN9IndicatorC1Ev@Base 2.8.4 + _ZN9IndicatorC2Ei13ColourDesiredbii@Base 2.9 + _ZN9IndicatorC2Ev@Base 2.8.4 + _ZN9LexerBase11PrivateCallEiPv@Base 2.8.4 + _ZN9LexerBase11PropertySetEPKcS1_@Base 2.8.4 + _ZN9LexerBase11WordListSetEiPKc@Base 2.8.4 + _ZN9LexerBase12PropertyTypeEPKc@Base 2.8.4 + _ZN9LexerBase13PropertyNamesEv@Base 2.8.4 + _ZN9LexerBase16DescribePropertyEPKc@Base 2.8.4 + _ZN9LexerBase20DescribeWordListSetsEv@Base 2.8.4 + _ZN9LexerBase7ReleaseEv@Base 2.8.4 + _ZN9LexerBaseC1Ev@Base 2.8.4 + _ZN9LexerBaseC2Ev@Base 2.8.4 + _ZN9LexerBaseD0Ev@Base 2.8.4 + _ZN9LexerBaseD1Ev@Base 2.8.4 + _ZN9LexerBaseD2Ev@Base 2.8.4 + _ZN9LexerDMIS11PrivateCallEiPv@Base 2.9 + _ZN9LexerDMIS11PropertySetEPKcS1_@Base 2.9 + _ZN9LexerDMIS11WordListSetEiPKc@Base 2.9 + _ZN9LexerDMIS12PropertyTypeEPKc@Base 2.9 + _ZN9LexerDMIS13PropertyNamesEv@Base 2.9 + _ZN9LexerDMIS16DescribePropertyEPKc@Base 2.9 + _ZN9LexerDMIS16InitWordListSetsEv@Base 2.9 + _ZN9LexerDMIS16LexerFactoryDMISEv@Base 2.9 + _ZN9LexerDMIS20DescribeWordListSetsEv@Base 2.9 + _ZN9LexerDMIS3LexEjiiP9IDocument@Base 2.9 + _ZN9LexerDMIS4FoldEjiiP9IDocument@Base 2.9 + _ZN9LexerDMIS7ReleaseEv@Base 2.9 + _ZN9LexerDMIS9UpperCaseEPc@Base 2.9 + _ZN9LexerDMISC1Ev@Base 2.9 + _ZN9LexerDMISC2Ev@Base 2.9 + _ZN9LexerDMISD0Ev@Base 2.9 + _ZN9LexerDMISD1Ev@Base 2.9 + _ZN9LexerDMISD2Ev@Base 2.9 + _ZN9LexerPerl11PrivateCallEiPv@Base 2.8.4 + _ZN9LexerPerl11PropertySetEPKcS1_@Base 2.8.4 + _ZN9LexerPerl11WordListSetEiPKc@Base 2.8.4 + _ZN9LexerPerl12PropertyTypeEPKc@Base 2.8.4 + _ZN9LexerPerl13PropertyNamesEv@Base 2.8.4 + _ZN9LexerPerl15InputSymbolScanER12StyleContext@Base 2.8.4 + _ZN9LexerPerl16DescribePropertyEPKc@Base 2.8.4 + _ZN9LexerPerl16LexerFactoryPerlEv@Base 2.8.4 + _ZN9LexerPerl18InterpolateSegmentER12StyleContextib@Base 2.8.4 + _ZN9LexerPerl20DescribeWordListSetsEv@Base 2.8.4 + _ZN9LexerPerl3LexEjiiP9IDocument@Base 2.8.4 + _ZN9LexerPerl4FoldEjiiP9IDocument@Base 2.8.4 + _ZN9LexerPerl7ReleaseEv@Base 2.8.4 + _ZN9LexerPerlC1Ev@Base 2.8.4 + _ZN9LexerPerlC2Ev@Base 2.8.4 + _ZN9LexerPerlD0Ev@Base 2.8.4 + _ZN9LexerPerlD1Ev@Base 2.8.4 + _ZN9LexerPerlD2Ev@Base 2.8.4 + _ZN9LexerRust11PrivateCallEiPv@Base 2.8.4 + _ZN9LexerRust11PropertySetEPKcS1_@Base 2.8.4 + _ZN9LexerRust11WordListSetEiPKc@Base 2.8.4 + _ZN9LexerRust12PropertyTypeEPKc@Base 2.8.4 + _ZN9LexerRust13PropertyNamesEv@Base 2.8.4 + _ZN9LexerRust16DescribePropertyEPKc@Base 2.8.4 + _ZN9LexerRust16LexerFactoryRustEv@Base 2.8.4 + _ZN9LexerRust20DescribeWordListSetsEv@Base 2.8.4 + _ZN9LexerRust3LexEjiiP9IDocument@Base 2.8.4 + _ZN9LexerRust4FoldEjiiP9IDocument@Base 2.8.4 + _ZN9LexerRust7ReleaseEv@Base 2.8.4 + _ZN9LexerRustC1Ev@Base 2.8.4 + _ZN9LexerRustC2Ev@Base 2.8.4 + _ZN9LexerRustD0Ev@Base 2.8.4 + _ZN9LexerRustD1Ev@Base 2.8.4 + _ZN9LexerRustD2Ev@Base 2.8.4 + _ZN9LineState10InsertLineEi@Base 2.8.4 + _ZN9LineState10RemoveLineEi@Base 2.8.4 + _ZN9LineState12GetLineStateEi@Base 2.8.4 + _ZN9LineState12SetLineStateEii@Base 2.8.4 + _ZN9LineState4InitEv@Base 2.8.4 + _ZN9LineStateC1Ev@Base 2.8.4 + _ZN9LineStateC2Ev@Base 2.8.4 + _ZN9LineStateD0Ev@Base 2.8.4 + _ZN9LineStateD1Ev@Base 2.8.4 + _ZN9LineStateD2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmE10AppendNameEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmE11PropertySetEPS0_PKcS4_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmE12PropertyTypeEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmE14DefinePropertyEPKcMS0_SsSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmE14DefinePropertyEPKcMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmE16DescribePropertyEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmE18DefineWordListSetsEPKPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmE6OptionC1EMS0_SsSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmE6OptionC1EMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmE6OptionC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmE6OptionC1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmE6OptionC2EMS0_SsSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmE6OptionC2EMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmE6OptionC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmE6OptionC2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmE6OptionD1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmE6OptionD2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmE6OptionaSERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmED0Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmED1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmED2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsSQLE10AppendNameEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsSQLE11PropertySetEPS0_PKcS4_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsSQLE12PropertyTypeEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsSQLE14DefinePropertyEPKcMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsSQLE16DescribePropertyEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsSQLE18DefineWordListSetsEPKPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsSQLE6OptionC1EMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsSQLE6OptionC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsSQLE6OptionC1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsSQLE6OptionC2EMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsSQLE6OptionC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsSQLE6OptionC2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsSQLE6OptionD1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsSQLE6OptionD2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsSQLE6OptionaSERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsSQLEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsSQLEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsSQLED0Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsSQLED1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsSQLED2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsPerlE10AppendNameEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsPerlE11PropertySetEPS0_PKcS4_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsPerlE12PropertyTypeEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsPerlE14DefinePropertyEPKcMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsPerlE16DescribePropertyEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsPerlE18DefineWordListSetsEPKPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsPerlE6OptionC1EMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsPerlE6OptionC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsPerlE6OptionC1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsPerlE6OptionC2EMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsPerlE6OptionC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsPerlE6OptionC2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsPerlE6OptionD1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsPerlE6OptionD2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsPerlE6OptionaSERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsPerlEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsPerlEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsPerlED0Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsPerlED1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsPerlED2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE10AppendNameEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE11PropertySetEPS0_PKcS4_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE12PropertyTypeEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE14DefinePropertyEPKcMS0_SsSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE14DefinePropertyEPKcMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE14DefinePropertyEPKcMS0_iSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE16DescribePropertyEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE18DefineWordListSetsEPKPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE6OptionC1EMS0_SsSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE6OptionC1EMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE6OptionC1EMS0_iSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE6OptionC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE6OptionC1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE6OptionC2EMS0_SsSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE6OptionC2EMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE6OptionC2EMS0_iSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE6OptionC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE6OptionC2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE6OptionD1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE6OptionD2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE6OptionaSERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustED0Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustED1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustED2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicE10AppendNameEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicE11PropertySetEPS0_PKcS4_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicE12PropertyTypeEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicE14DefinePropertyEPKcMS0_SsSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicE14DefinePropertyEPKcMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicE16DescribePropertyEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicE18DefineWordListSetsEPKPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicE6OptionC1EMS0_SsSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicE6OptionC1EMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicE6OptionC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicE6OptionC1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicE6OptionC2EMS0_SsSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicE6OptionC2EMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicE6OptionC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicE6OptionC2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicE6OptionD1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicE6OptionD2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicE6OptionaSERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicED0Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicED1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicED2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI14OptionsHaskellE10AppendNameEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI14OptionsHaskellE11PropertySetEPS0_PKcS4_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI14OptionsHaskellE12PropertyTypeEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI14OptionsHaskellE14DefinePropertyEPKcMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI14OptionsHaskellE16DescribePropertyEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI14OptionsHaskellE18DefineWordListSetsEPKPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI14OptionsHaskellE6OptionC1EMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI14OptionsHaskellE6OptionC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI14OptionsHaskellE6OptionC1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI14OptionsHaskellE6OptionC2EMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI14OptionsHaskellE6OptionC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI14OptionsHaskellE6OptionC2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI14OptionsHaskellE6OptionD1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI14OptionsHaskellE6OptionD2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI14OptionsHaskellE6OptionaSERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI14OptionsHaskellEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI14OptionsHaskellEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI14OptionsHaskellED0Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI14OptionsHaskellED1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI14OptionsHaskellED2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI15OptionsRegistryE10AppendNameEPKc@Base 2.9 + (optional=templinst)_ZN9OptionSetI15OptionsRegistryE11PropertySetEPS0_PKcS4_@Base 2.9 + (optional=templinst)_ZN9OptionSetI15OptionsRegistryE12PropertyTypeEPKc@Base 2.9 + (optional=templinst)_ZN9OptionSetI15OptionsRegistryE14DefinePropertyEPKcMS0_bSs@Base 2.9 + (optional=templinst)_ZN9OptionSetI15OptionsRegistryE16DescribePropertyEPKc@Base 2.9 + (optional=templinst)_ZN9OptionSetI15OptionsRegistryE18DefineWordListSetsEPKPKc@Base 2.9 + (optional=templinst)_ZN9OptionSetI15OptionsRegistryE6OptionC1EMS0_bSs@Base 2.9 + (optional=templinst)_ZN9OptionSetI15OptionsRegistryE6OptionC1ERKS2_@Base 2.9 + (optional=templinst)_ZN9OptionSetI15OptionsRegistryE6OptionC1Ev@Base 2.9 + (optional=templinst)_ZN9OptionSetI15OptionsRegistryE6OptionC2EMS0_bSs@Base 2.9 + (optional=templinst)_ZN9OptionSetI15OptionsRegistryE6OptionC2ERKS2_@Base 2.9 + (optional=templinst)_ZN9OptionSetI15OptionsRegistryE6OptionC2Ev@Base 2.9 + (optional=templinst)_ZN9OptionSetI15OptionsRegistryE6OptionD1Ev@Base 2.9 + (optional=templinst)_ZN9OptionSetI15OptionsRegistryE6OptionD2Ev@Base 2.9 + (optional=templinst)_ZN9OptionSetI15OptionsRegistryE6OptionaSERKS2_@Base 2.9 + (optional=templinst)_ZN9OptionSetI15OptionsRegistryEC1Ev@Base 2.9 + (optional=templinst)_ZN9OptionSetI15OptionsRegistryEC2Ev@Base 2.9 + (optional=templinst)_ZN9OptionSetI15OptionsRegistryED0Ev@Base 2.9 + (optional=templinst)_ZN9OptionSetI15OptionsRegistryED1Ev@Base 2.9 + (optional=templinst)_ZN9OptionSetI15OptionsRegistryED2Ev@Base 2.9 + (optional=templinst)_ZN9OptionSetI19OptionsVisualPrologE11PropertySetEPS0_PKcS4_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI19OptionsVisualPrologE12PropertyTypeEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI19OptionsVisualPrologE16DescribePropertyEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI19OptionsVisualPrologE18DefineWordListSetsEPKPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI19OptionsVisualPrologE6OptionD1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI19OptionsVisualPrologE6OptionD2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI19OptionsVisualPrologEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI19OptionsVisualPrologEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI19OptionsVisualPrologED0Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI19OptionsVisualPrologED1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI19OptionsVisualPrologED2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE10AppendNameEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE11PropertySetEPS0_PKcS4_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE12PropertyTypeEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE14DefinePropertyEPKcMS0_SsSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE14DefinePropertyEPKcMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE14DefinePropertyEPKcMS0_iSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE16DescribePropertyEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE18DefineWordListSetsEPKPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE6OptionC1EMS0_SsSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE6OptionC1EMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE6OptionC1EMS0_iSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE6OptionC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE6OptionC1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE6OptionC2EMS0_SsSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE6OptionC2EMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE6OptionC2EMS0_iSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE6OptionC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE6OptionC2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE6OptionD1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE6OptionD2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE6OptionaSERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDED0Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDED1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDED2Ev@Base 2.8.4 + _ZN9QIODevice5writeERK10QByteArray@Base 2.8.4 + _ZN9QPolygonFC1Ei@Base 2.8.4 + _ZN9QPolygonFC2Ei@Base 2.8.4 + _ZN9QPolygonFD1Ev@Base 2.8.4 + _ZN9QPolygonFD2Ev@Base 2.8.4 + _ZN9QsciLexer10setEolFillEbi@Base 2.8.4 + _ZN9QsciLexer11fontChangedERK5QFonti@Base 2.8.4 + _ZN9QsciLexer11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN9QsciLexer11qt_metacastEPKc@Base 2.8.4 + _ZN9QsciLexer12StyleDataMapC1Ev@Base 2.8.4 + _ZN9QsciLexer12StyleDataMapC2Ev@Base 2.8.4 + _ZN9QsciLexer12StyleDataMapD1Ev@Base 2.8.4 + _ZN9QsciLexer12StyleDataMapD2Ev@Base 2.8.4 + _ZN9QsciLexer12colorChangedERK6QColori@Base 2.8.4 + _ZN9QsciLexer12paperChangedERK6QColori@Base 2.8.4 + _ZN9QsciLexer12readSettingsER9QSettingsPKc@Base 2.8.4 + _ZN9QsciLexer14eolFillChangedEbi@Base 2.8.4 + _ZN9QsciLexer14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN9QsciLexer14setDefaultFontERK5QFont@Base 2.8.4 + _ZN9QsciLexer15autoIndentStyleEv@Base 2.8.4 + _ZN9QsciLexer15propertyChangedEPKcS1_@Base 2.8.4 + _ZN9QsciLexer15setDefaultColorERK6QColor@Base 2.8.4 + _ZN9QsciLexer15setDefaultPaperERK6QColor@Base 2.8.4 + _ZN9QsciLexer16staticMetaObjectE@Base 2.8.4 + _ZN9QsciLexer17refreshPropertiesEv@Base 2.8.4 + _ZN9QsciLexer18setAutoIndentStyleEi@Base 2.8.4 + _ZN9QsciLexer7setAPIsEP16QsciAbstractAPIs@Base 2.8.4 + _ZN9QsciLexer7setFontERK5QFonti@Base 2.8.4 + _ZN9QsciLexer8setColorERK6QColori@Base 2.8.4 + _ZN9QsciLexer8setPaperERK6QColori@Base 2.8.4 + _ZN9QsciLexer9StyleDataC1ERKS0_@Base 2.8.4 + _ZN9QsciLexer9StyleDataC1Ev@Base 2.8.4 + _ZN9QsciLexer9StyleDataC2ERKS0_@Base 2.8.4 + _ZN9QsciLexer9StyleDataC2Ev@Base 2.8.4 + _ZN9QsciLexer9StyleDataD1Ev@Base 2.8.4 + _ZN9QsciLexer9StyleDataD2Ev@Base 2.8.4 + _ZN9QsciLexer9setEditorEP13QsciScintilla@Base 2.8.4 + _ZN9QsciLexerC1EP7QObject@Base 2.8.4 + _ZN9QsciLexerC2EP7QObject@Base 2.8.4 + _ZN9QsciLexerD0Ev@Base 2.8.4 + _ZN9QsciLexerD1Ev@Base 2.8.4 + _ZN9QsciLexerD2Ev@Base 2.8.4 + _ZN9QsciMacro11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN9QsciMacro11qt_metacastEPKc@Base 2.8.4 + _ZN9QsciMacro12endRecordingEv@Base 2.8.4 + _ZN9QsciMacro14startRecordingEv@Base 2.8.4 + _ZN9QsciMacro16staticMetaObjectE@Base 2.8.4 + _ZN9QsciMacro4loadERK7QString@Base 2.8.4 + _ZN9QsciMacro4playEv@Base 2.8.4 + _ZN9QsciMacro5MacroC1ERKS0_@Base 2.8.4 + _ZN9QsciMacro5MacroC1Ev@Base 2.8.4 + _ZN9QsciMacro5MacroC2ERKS0_@Base 2.8.4 + _ZN9QsciMacro5MacroC2Ev@Base 2.8.4 + _ZN9QsciMacro5MacroD1Ev@Base 2.8.4 + _ZN9QsciMacro5MacroD2Ev@Base 2.8.4 + _ZN9QsciMacro5clearEv@Base 2.8.4 + _ZN9QsciMacro6recordEjmPv@Base 2.8.4 + _ZN9QsciMacroC1EP13QsciScintilla@Base 2.8.4 + _ZN9QsciMacroC1ERK7QStringP13QsciScintilla@Base 2.8.4 + _ZN9QsciMacroC2EP13QsciScintilla@Base 2.8.4 + _ZN9QsciMacroC2ERK7QStringP13QsciScintilla@Base 2.8.4 + _ZN9QsciMacroD0Ev@Base 2.8.4 + _ZN9QsciMacroD1Ev@Base 2.8.4 + _ZN9QsciMacroD2Ev@Base 2.8.4 + _ZN9QsciStyle10setEolFillEb@Base 2.8.4 + _ZN9QsciStyle10setHotspotEb@Base 2.8.4 + _ZN9QsciStyle10setVisibleEb@Base 2.8.4 + _ZN9QsciStyle11setTextCaseENS_8TextCaseE@Base 2.8.4 + _ZN9QsciStyle13setChangeableEb@Base 2.8.4 + _ZN9QsciStyle14setDescriptionERK7QString@Base 2.8.4 + _ZN9QsciStyle4initEi@Base 2.8.4 + _ZN9QsciStyle7refreshEv@Base 2.8.4 + _ZN9QsciStyle7setFontERK5QFont@Base 2.8.4 + _ZN9QsciStyle8setColorERK6QColor@Base 2.8.4 + _ZN9QsciStyle8setPaperERK6QColor@Base 2.8.4 + _ZN9QsciStyleC1ERKS_@Base 2.8.4 + _ZN9QsciStyleC1Ei@Base 2.8.4 + _ZN9QsciStyleC1EiRK7QStringRK6QColorS5_RK5QFontb@Base 2.8.4 + _ZN9QsciStyleC2ERKS_@Base 2.8.4 + _ZN9QsciStyleC2Ei@Base 2.8.4 + _ZN9QsciStyleC2EiRK7QStringRK6QColorS5_RK5QFontb@Base 2.8.4 + _ZN9RGBAImage8SetPixelEii13ColourDesiredi@Base 2.8.4 + _ZN9RGBAImageC1ERK3XPM@Base 2.8.4 + _ZN9RGBAImageC1EiifPKh@Base 2.8.4 + _ZN9RGBAImageC2ERK3XPM@Base 2.8.4 + _ZN9RGBAImageC2EiifPKh@Base 2.8.4 + _ZN9RGBAImageD0Ev@Base 2.8.4 + _ZN9RGBAImageD1Ev@Base 2.8.4 + _ZN9RGBAImageD2Ev@Base 2.8.4 + _ZN9RunStyles10SetValueAtEii@Base 2.8.4 + _ZN9RunStyles11DeleteRangeEii@Base 2.8.4 + _ZN9RunStyles11InsertSpaceEii@Base 2.8.4 + _ZN9RunStyles16RemoveRunIfEmptyEi@Base 2.8.4 + _ZN9RunStyles25RemoveRunIfSameAsPreviousEi@Base 2.8.4 + _ZN9RunStyles8SplitRunEi@Base 2.8.4 + _ZN9RunStyles9DeleteAllEv@Base 2.8.4 + _ZN9RunStyles9FillRangeERiiS0_@Base 2.8.4 + _ZN9RunStyles9RemoveRunEi@Base 2.8.4 + _ZN9RunStylesC1Ev@Base 2.8.4 + _ZN9RunStylesC2Ev@Base 2.8.4 + _ZN9RunStylesD1Ev@Base 2.8.4 + _ZN9RunStylesD2Ev@Base 2.8.4 + _ZN9SQLStates10IgnoreWhenEjb@Base 2.8.4 + _ZN9SQLStates12EndCaseBlockEj@Base 2.8.4 + _ZN9SQLStates12IsIgnoreWhenEj@Base 2.8.4 + _ZN9SQLStates13IntoConditionEjb@Base 2.8.4 + _ZN9SQLStates14BeginCaseBlockEj@Base 2.8.4 + _ZN9SQLStates15IsIntoCaseBlockEj@Base 2.8.4 + _ZN9SQLStates15IsIntoConditionEj@Base 2.8.4 + _ZN9SQLStates16IntoDeclareBlockEjb@Base 2.8.4 + _ZN9SQLStates18IntoExceptionBlockEjb@Base 2.8.4 + _ZN9SQLStates18IntoMergeStatementEjb@Base 2.8.4 + _ZN9SQLStates18IsIntoDeclareBlockEj@Base 2.8.4 + _ZN9SQLStates19IntoCreateStatementEjb@Base 2.8.4 + _ZN9SQLStates20IsIntoExceptionBlockEj@Base 2.8.4 + _ZN9SQLStates20IsIntoMergeStatementEj@Base 2.8.4 + _ZN9SQLStates21IsIntoCreateStatementEj@Base 2.8.4 + _ZN9SQLStates23IntoCreateViewStatementEjb@Base 2.8.4 + _ZN9SQLStates25CaseMergeWithoutWhenFoundEjb@Base 2.8.4 + _ZN9SQLStates25IntoCreateViewAsStatementEjb@Base 2.8.4 + _ZN9SQLStates25IsIntoCreateViewStatementEj@Base 2.8.4 + _ZN9SQLStates27IsCaseMergeWithoutWhenFoundEj@Base 2.8.4 + _ZN9SQLStates27IsIntoCreateViewAsStatementEj@Base 2.8.4 + _ZN9SQLStates31IntoSelectStatementOrAssignmentEjb@Base 2.8.4 + _ZN9SQLStates33IsIntoSelectStatementOrAssignmentEj@Base 2.8.4 + _ZN9SQLStates3SetEit@Base 2.8.4 + _ZN9SQLStates7ForLineEi@Base 2.8.4 + _ZN9SQLStatesC1Ev@Base 2.8.4 + _ZN9SQLStatesC2Ev@Base 2.8.4 + _ZN9SQLStatesD1Ev@Base 2.8.4 + _ZN9SQLStatesD2Ev@Base 2.8.4 + _ZN9Selection10RotateMainEv@Base 2.8.4 + _ZN9Selection11RectangularEv@Base 2.8.4 + _ZN9Selection12AddSelectionE14SelectionRange@Base 2.8.4 + _ZN9Selection12SetSelectionE14SelectionRange@Base 2.8.4 + (subst)_ZN9Selection13DropSelectionE{size_t}@Base 2.9 + _ZN9Selection13MovePositionsEbii@Base 2.8.4 + _ZN9Selection13TrimSelectionE14SelectionRange@Base 2.8.4 + _ZN9Selection14SetMoveExtendsEb@Base 2.8.4 + _ZN9Selection15CommitTentativeEv@Base 2.8.4 + _ZN9Selection16RemoveDuplicatesEv@Base 2.8.4 + _ZN9Selection18TentativeSelectionE14SelectionRange@Base 2.8.4 + _ZN9Selection23AddSelectionWithoutTrimE14SelectionRange@Base 2.8.4 + _ZN9Selection5ClearEv@Base 2.8.4 + (subst)_ZN9Selection5RangeE{size_t}@Base 2.8.4 + (subst)_ZN9Selection7SetMainE{size_t}@Base 2.8.4 + _ZN9Selection9RangeMainEv@Base 2.8.4 + _ZN9SelectionC1Ev@Base 2.8.4 + _ZN9SelectionC2Ev@Base 2.8.4 + _ZN9SelectionD1Ev@Base 2.8.4 + _ZN9SelectionD2Ev@Base 2.8.4 + _ZN9SubStyles14SetIdentifiersEiPKc@Base 2.8.4 + _ZN9SubStyles4FreeEv@Base 2.8.4 + _ZN9SubStyles5StartEi@Base 2.8.4 + _ZN9SubStyles6LengthEi@Base 2.8.4 + _ZN9SubStyles8AllocateEii@Base 2.8.4 + _ZN9SubStylesC1EPKciii@Base 2.8.4 + _ZN9SubStylesC2EPKciii@Base 2.8.4 + _ZN9SubStylesD1Ev@Base 2.8.4 + _ZN9SubStylesD2Ev@Base 2.8.4 + _ZN9UndoGroupC1EP8Documentb@Base 2.8.4 + _ZN9UndoGroupC2EP8Documentb@Base 2.8.4 + _ZN9UndoGroupD1Ev@Base 2.8.4 + _ZN9UndoGroupD2Ev@Base 2.8.4 + (subst)_ZN9ViewStyle11AllocStylesE{size_t}@Base 2.8.4 + _ZN9ViewStyle11ClearStylesEv@Base 2.8.4 + (subst)_ZN9ViewStyle11EnsureStyleE{size_t}@Base 2.8.4 + _ZN9ViewStyle12SetWrapStateEi@Base 2.8.4 + _ZN9ViewStyle16CreateAndAddFontERK17FontSpecification@Base 2.9 + _ZN9ViewStyle16SetStyleFontNameEiPKc@Base 2.8.4 + _ZN9ViewStyle17ResetDefaultStyleEv@Base 2.8.4 + _ZN9ViewStyle17SetWrapIndentModeEi@Base 2.8.4 + _ZN9ViewStyle18SetWrapVisualFlagsEi@Base 2.8.4 + _ZN9ViewStyle20FindMaxAscentDescentEv@Base 2.8.4 + _ZN9ViewStyle22AllocateExtendedStylesEi@Base 2.8.4 + _ZN9ViewStyle23CalcLargestMarkerHeightEv@Base 2.8.4 + _ZN9ViewStyle24ReleaseAllExtendedStylesEv@Base 2.8.4 + _ZN9ViewStyle24SetWrapVisualStartIndentEi@Base 2.8.4 + _ZN9ViewStyle26SetWrapVisualFlagsLocationEi@Base 2.8.4 + _ZN9ViewStyle4FindERK17FontSpecification@Base 2.8.4 + (subst)_ZN9ViewStyle4InitE{size_t}@Base 2.8.4 + _ZN9ViewStyle7RefreshER7Surfacei@Base 2.8.4 + _ZN9ViewStyleC1ERKS_@Base 2.8.4 + _ZN9ViewStyleC1Ev@Base 2.8.4 + _ZN9ViewStyleC2ERKS_@Base 2.8.4 + _ZN9ViewStyleC2Ev@Base 2.8.4 + _ZN9ViewStyleD1Ev@Base 2.8.4 + _ZN9ViewStyleD2Ev@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorI13latexFoldSaveE10deallocateEPS1_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorI13latexFoldSaveE8allocateE{size_t}PKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI13latexFoldSaveEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI13latexFoldSaveEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI13latexFoldSaveED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI13latexFoldSaveED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorI14SelectionRangeE10deallocateEPS1_{size_t}@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI14SelectionRangeE7destroyEPS1_@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorI14SelectionRangeE8allocateE{size_t}PKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI14SelectionRangeE9constructEPS1_RKS1_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI14SelectionRangeEC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI14SelectionRangeEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI14SelectionRangeEC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI14SelectionRangeEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI14SelectionRangeED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI14SelectionRangeED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorI14WordClassifierE10deallocateEPS1_{size_t}@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI14WordClassifierE7destroyEPS1_@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorI14WordClassifierE8allocateE{size_t}PKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI14WordClassifierE9constructEPS1_RKS1_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI14WordClassifierEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI14WordClassifierEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI14WordClassifierED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI14WordClassifierED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorI18PositionCacheEntryE10deallocateEPS1_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorI18PositionCacheEntryE8allocateE{size_t}PKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI18PositionCacheEntryEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI18PositionCacheEntryEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI18PositionCacheEntryED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI18PositionCacheEntryED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorI5StyleE10deallocateEPS1_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorI5StyleE8allocateE{size_t}PKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI5StyleEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI5StyleEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI5StyleED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI5StyleED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorI9DrawPhaseE10deallocateEPS1_{size_t}@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI9DrawPhaseE7destroyEPS1_@Base 2.9 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorI9DrawPhaseE8allocateE{size_t}PKv@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI9DrawPhaseE9constructEPS1_RKS1_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI9DrawPhaseEC1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI9DrawPhaseEC2Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI9DrawPhaseED1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI9DrawPhaseED2Ev@Base 2.9 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorIN11SparseStateISsE5StateEE10deallocateEPS3_{size_t}@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIN11SparseStateISsE5StateEE7destroyEPS3_@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorIN11SparseStateISsE5StateEE8allocateE{size_t}PKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIN11SparseStateISsE5StateEE9constructEPS3_RKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIN11SparseStateISsE5StateEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIN11SparseStateISsE5StateEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIN11SparseStateISsE5StateEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIN11SparseStateISsE5StateEED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorIN11SparseStateIjE5StateEE10deallocateEPS3_{size_t}@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIN11SparseStateIjE5StateEE7destroyEPS3_@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorIN11SparseStateIjE5StateEE8allocateE{size_t}PKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIN11SparseStateIjE5StateEE9constructEPS3_RKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIN11SparseStateIjE5StateEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIN11SparseStateIjE5StateEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIN11SparseStateIjE5StateEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIN11SparseStateIjE5StateEED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorIN8Document19WatcherWithUserDataEE10deallocateEPS2_{size_t}@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIN8Document19WatcherWithUserDataEE7destroyEPS2_@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorIN8Document19WatcherWithUserDataEE8allocateE{size_t}PKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIN8Document19WatcherWithUserDataEE9constructEPS2_RKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIN8Document19WatcherWithUserDataEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIN8Document19WatcherWithUserDataEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIN8Document19WatcherWithUserDataEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIN8Document19WatcherWithUserDataEED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorIP10LineLayoutE10deallocateEPS2_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorIP10LineLayoutE8allocateE{size_t}PKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIP10LineLayoutEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIP10LineLayoutEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIP10LineLayoutED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIP10LineLayoutED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorIP11LexerModuleE10deallocateEPS2_{size_t}@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIP11LexerModuleE7destroyEPS2_@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorIP11LexerModuleE8allocateE{size_t}PKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIP11LexerModuleE9constructEPS2_RKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIP11LexerModuleEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIP11LexerModuleEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIP11LexerModuleED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIP11LexerModuleED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorIP14SelectionRangeE10deallocateEPS2_{size_t}@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIP14SelectionRangeE7destroyEPS2_@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorIP14SelectionRangeE8allocateE{size_t}PKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIP14SelectionRangeE9constructEPS2_RKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIP14SelectionRangeEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIP14SelectionRangeEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIP14SelectionRangeED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIP14SelectionRangeED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorIPcE10deallocateEPS1_{size_t}@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIPcE7destroyEPS1_@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorIPcE8allocateE{size_t}PKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIPcE9constructEPS1_RKS1_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIPcEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIPcEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIPcED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIPcED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorISsE10deallocateEPSs{size_t}@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISsE7destroyEPSs@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorISsE8allocateE{size_t}PKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISsE9constructEPSsRKSs@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISsEC1ERKS1_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISsEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISsEC2ERKS1_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISsEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISsED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISsED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIK12KeyModifiersjEEE10deallocateEPS6_{size_t}@Base 2.9 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIK12KeyModifiersjEEE8allocateE{size_t}PKv@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIK12KeyModifiersjEEEC1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIK12KeyModifiersjEEEC2Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIK12KeyModifiersjEEED1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIK12KeyModifiersjEEED2Ev@Base 2.9 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIK17FontSpecificationP12FontRealisedEEE10deallocateEPS8_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIK17FontSpecificationP12FontRealisedEEE8allocateE{size_t}PKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIK17FontSpecificationP12FontRealisedEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIK17FontSpecificationP12FontRealisedEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIK17FontSpecificationP12FontRealisedEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIK17FontSpecificationP12FontRealisedEEED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEEE10deallocateEPS7_{size_t}@Base 2.9 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEEE8allocateE{size_t}PKv@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEEEC1ERKS8_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEEEC1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEEEC2ERKS8_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEEEC2Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEEED1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEEED2Ev@Base 2.9 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEEE10deallocateEPS7_{size_t}@Base 2.9 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEEE8allocateE{size_t}PKv@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEEEC1ERKS8_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEEEC1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEEEC2ERKS8_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEEEC2Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEEED1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEEED2Ev@Base 2.9 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEE10deallocateEPS9_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEE8allocateE{size_t}PKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEE10deallocateEPS9_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEE8allocateE{size_t}PKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEE10deallocateEPS9_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEE8allocateE{size_t}PKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEE10deallocateEPS9_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEE8allocateE{size_t}PKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEE10deallocateEPS9_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEE8allocateE{size_t}PKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEE10deallocateEPS9_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEE8allocateE{size_t}PKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEE10deallocateEPS9_{size_t}@Base 2.9 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEE8allocateE{size_t}PKv@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEEC1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEEC2Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEED1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEED2Ev@Base 2.9 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEE10deallocateEPS9_{size_t}@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEE10deallocateEPS9_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEE8allocateE{size_t}PKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsSsEEE10deallocateEPS5_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsSsEEE8allocateE{size_t}PKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsSsEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsSsEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsSsEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsSsEEED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsiEEE10deallocateEPS5_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsiEEE8allocateE{size_t}PKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsiEEEC1ERKS6_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsiEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsiEEEC2ERKS6_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsiEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsiEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsiEEED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKi14RepresentationEEE10deallocateEPS6_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKi14RepresentationEEE8allocateE{size_t}PKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKi14RepresentationEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKi14RepresentationEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKi14RepresentationEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKi14RepresentationEEED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKiiEEE10deallocateEPS5_{size_t}@Base 2.9 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKiiEEE8allocateE{size_t}PKv@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKiiEEEC1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKiiEEEC2Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKiiEEED1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKiiEEED2Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIK12KeyModifiersjEE7destroyEPS4_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIK12KeyModifiersjEE9constructEPS4_RKS4_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIK12KeyModifiersjEEC1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIK12KeyModifiersjEEC2Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIK12KeyModifiersjEED1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIK12KeyModifiersjEED2Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIK17FontSpecificationP12FontRealisedEE7destroyEPS6_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIK17FontSpecificationP12FontRealisedEE9constructEPS6_RKS6_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIK17FontSpecificationP12FontRealisedEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIK17FontSpecificationP12FontRealisedEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIK17FontSpecificationP12FontRealisedEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIK17FontSpecificationP12FontRealisedEED2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN12LexerVerilog11SymbolValueEEE7destroyEPS5_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN12LexerVerilog11SymbolValueEEE9constructEPS5_RKS5_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN12LexerVerilog11SymbolValueEEEC1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN12LexerVerilog11SymbolValueEEEC2Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN12LexerVerilog11SymbolValueEEED1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN12LexerVerilog11SymbolValueEEED2Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN8LexerCPP11SymbolValueEEE7destroyEPS5_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN8LexerCPP11SymbolValueEEE9constructEPS5_RKS5_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN8LexerCPP11SymbolValueEEEC1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN8LexerCPP11SymbolValueEEEC2Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN8LexerCPP11SymbolValueEEED1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN8LexerCPP11SymbolValueEEED2Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEE7destroyEPS7_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEE9constructEPS7_RKS7_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEED2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEE7destroyEPS7_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEE9constructEPS7_RKS7_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEED2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEE7destroyEPS7_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEE9constructEPS7_RKS7_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEED2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEE7destroyEPS7_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEE9constructEPS7_RKS7_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEED2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEE7destroyEPS7_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEE9constructEPS7_RKS7_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEED2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEE7destroyEPS7_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEE9constructEPS7_RKS7_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEED2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEE7destroyEPS7_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEE9constructEPS7_RKS7_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEC1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEC2Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEED1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEED2Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEE7destroyEPS7_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEED2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEE7destroyEPS7_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEE9constructEPS7_RKS7_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEED2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsSsEE7destroyEPS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsSsEE9constructEPS3_RKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsSsEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsSsEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsSsEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsSsEED2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsiEE7destroyEPS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsiEE9constructEPS3_RKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsiEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsiEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsiEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsiEED2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKi14RepresentationEE7destroyEPS4_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKi14RepresentationEE9constructEPS4_RKS4_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKi14RepresentationEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKi14RepresentationEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKi14RepresentationEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKi14RepresentationEED2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKiiEE7destroyEPS3_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKiiEE9constructEPS3_RKS3_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKiiEEC1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKiiEEC2Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKiiEED1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKiiEED2Ev@Base 2.9 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorIcE10deallocateEPc{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorIcE8allocateE{size_t}PKv@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorIiE10deallocateEPi{size_t}@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIiE7destroyEPi@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx13new_allocatorIiE8allocateE{size_t}PKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIiE9constructEPiRKi@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIiEC1ERKS1_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIiEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIiEC2ERKS1_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIiEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIiED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIiED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaI13latexFoldSaveEE10deallocateERS2_PS1_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaI13latexFoldSaveEE8allocateERS2_{size_t}@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaI13latexFoldSaveEE8max_sizeERKS2_@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaI14SelectionRangeEE10deallocateERS2_PS1_{size_t}@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaI14SelectionRangeEE17_S_select_on_copyERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaI14SelectionRangeEE7destroyERS2_PS1_@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaI14SelectionRangeEE8allocateERS2_{size_t}@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaI14SelectionRangeEE8max_sizeERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaI14SelectionRangeEE9constructIS1_EEvRS2_PS1_RKT_@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaI14WordClassifierEE10deallocateERS2_PS1_{size_t}@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaI14WordClassifierEE7destroyERS2_PS1_@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaI14WordClassifierEE8allocateERS2_{size_t}@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaI14WordClassifierEE8max_sizeERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaI14WordClassifierEE9constructIS1_EEvRS2_PS1_RKT_@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaI18PositionCacheEntryEE10deallocateERS2_PS1_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaI18PositionCacheEntryEE8allocateERS2_{size_t}@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaI18PositionCacheEntryEE8max_sizeERKS2_@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaI5StyleEE10deallocateERS2_PS1_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaI5StyleEE8allocateERS2_{size_t}@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaI5StyleEE8max_sizeERKS2_@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaI9DrawPhaseEE10deallocateERS2_PS1_{size_t}@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaI9DrawPhaseEE7destroyERS2_PS1_@Base 2.9 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaI9DrawPhaseEE8allocateERS2_{size_t}@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaI9DrawPhaseEE8max_sizeERKS2_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaI9DrawPhaseEE9constructIS1_EEvRS2_PS1_RKT_@Base 2.9 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaIN11SparseStateISsE5StateEEE10deallocateERS4_PS3_{size_t}@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIN11SparseStateISsE5StateEEE7destroyERS4_PS3_@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaIN11SparseStateISsE5StateEEE8allocateERS4_{size_t}@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIN11SparseStateISsE5StateEEE8max_sizeERKS4_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIN11SparseStateISsE5StateEEE9constructIS3_EEvRS4_PS3_RKT_@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaIN11SparseStateIjE5StateEEE10deallocateERS4_PS3_{size_t}@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIN11SparseStateIjE5StateEEE7destroyERS4_PS3_@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaIN11SparseStateIjE5StateEEE8allocateERS4_{size_t}@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIN11SparseStateIjE5StateEEE8max_sizeERKS4_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIN11SparseStateIjE5StateEEE9constructIS3_EEvRS4_PS3_RKT_@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaIN8Document19WatcherWithUserDataEEE10deallocateERS3_PS2_{size_t}@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIN8Document19WatcherWithUserDataEEE7destroyERS3_PS2_@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaIN8Document19WatcherWithUserDataEEE8allocateERS3_{size_t}@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIN8Document19WatcherWithUserDataEEE8max_sizeERKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIN8Document19WatcherWithUserDataEEE9constructIS2_EEvRS3_PS2_RKT_@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaIP10LineLayoutEE10deallocateERS3_PS2_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaIP10LineLayoutEE8allocateERS3_{size_t}@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIP10LineLayoutEE8max_sizeERKS3_@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaIP11LexerModuleEE10deallocateERS3_PS2_{size_t}@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIP11LexerModuleEE7destroyERS3_PS2_@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaIP11LexerModuleEE8allocateERS3_{size_t}@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIP11LexerModuleEE8max_sizeERKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIP11LexerModuleEE9constructIS2_EEvRS3_PS2_RKT_@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaIP14SelectionRangeEE10deallocateERS3_PS2_{size_t}@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIP14SelectionRangeEE7destroyERS3_PS2_@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaIP14SelectionRangeEE8allocateERS3_{size_t}@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIP14SelectionRangeEE8max_sizeERKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIP14SelectionRangeEE9constructIS2_EEvRS3_PS2_RKT_@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaIPcEE10deallocateERS2_PS1_{size_t}@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIPcEE7destroyERS2_PS1_@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaIPcEE8allocateERS2_{size_t}@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIPcEE8max_sizeERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIPcEE9constructIS1_EEvRS2_PS1_RKT_@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaISsEE10deallocateERS1_PSs{size_t}@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaISsEE7destroyERS1_PSs@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaISsEE8allocateERS1_{size_t}@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaISsEE8max_sizeERKS1_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaISsEE9constructISsEEvRS1_PSsRKT_@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIK12KeyModifiersjEEEE10deallocateERS7_PS6_{size_t}@Base 2.9 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIK12KeyModifiersjEEEE8allocateERS7_{size_t}@Base 2.9 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIK17FontSpecificationP12FontRealisedEEEE10deallocateERS9_PS8_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIK17FontSpecificationP12FontRealisedEEEE8allocateERS9_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEEEE10deallocateERS8_PS7_{size_t}@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEEEE17_S_select_on_copyERKS8_@Base 2.9 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEEEE8allocateERS8_{size_t}@Base 2.9 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEEEE10deallocateERS8_PS7_{size_t}@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEEEE17_S_select_on_copyERKS8_@Base 2.9 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEEEE8allocateERS8_{size_t}@Base 2.9 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEEE10deallocateERSA_PS9_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEEE8allocateERSA_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEEE10deallocateERSA_PS9_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEEE8allocateERSA_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEEE10deallocateERSA_PS9_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEEE8allocateERSA_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEEE10deallocateERSA_PS9_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEEE8allocateERSA_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEEE10deallocateERSA_PS9_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEEE8allocateERSA_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEEE10deallocateERSA_PS9_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEEE8allocateERSA_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEEE10deallocateERSA_PS9_{size_t}@Base 2.9 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEEE8allocateERSA_{size_t}@Base 2.9 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEEE10deallocateERSA_PS9_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEEE10deallocateERSA_PS9_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEEE8allocateERSA_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsSsEEEE10deallocateERS6_PS5_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsSsEEEE8allocateERS6_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsiEEEE10deallocateERS6_PS5_{size_t}@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsiEEEE17_S_select_on_copyERKS6_@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsiEEEE8allocateERS6_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKi14RepresentationEEEE10deallocateERS7_PS6_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKi14RepresentationEEEE8allocateERS7_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKiiEEEE10deallocateERS6_PS5_{size_t}@Base 2.9 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKiiEEEE8allocateERS6_{size_t}@Base 2.9 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaIcEE10deallocateERS1_Pc{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaIcEE8allocateERS1_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaIiEE10deallocateERS1_Pi{size_t}@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIiEE17_S_select_on_copyERKS1_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIiEE7destroyERS1_Pi@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx14__alloc_traitsISaIiEE8allocateERS1_{size_t}@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIiEE8max_sizeERKS1_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIiEE9constructIiEEvRS1_PiRKT_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIP13latexFoldSaveSt6vectorIS1_SaIS1_EEEC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIP13latexFoldSaveSt6vectorIS1_SaIS1_EEEC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS1_SaIS1_EEEC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS1_SaIS1_EEEC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS1_SaIS1_EEEmmEv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS1_SaIS1_EEEppEv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIP14WordClassifierSt6vectorIS1_SaIS1_EEEC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIP14WordClassifierSt6vectorIS1_SaIS1_EEEC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIP14WordClassifierSt6vectorIS1_SaIS1_EEEppEv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIP18PositionCacheEntrySt6vectorIS1_SaIS1_EEEC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIP18PositionCacheEntrySt6vectorIS1_SaIS1_EEEC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIP5StyleSt6vectorIS1_SaIS1_EEEC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIP5StyleSt6vectorIS1_SaIS1_EEEC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIP9DrawPhaseSt6vectorIS1_SaIS1_EEEC1ERKS2_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIP9DrawPhaseSt6vectorIS1_SaIS1_EEEC2ERKS2_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIP9DrawPhaseSt6vectorIS1_SaIS1_EEEppEv@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPK14SelectionRangeSt6vectorIS1_SaIS1_EEEC1ERKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPK14SelectionRangeSt6vectorIS1_SaIS1_EEEC2ERKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPK14SelectionRangeSt6vectorIS1_SaIS1_EEEppEv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPK14WordClassifierSt6vectorIS1_SaIS1_EEEC1ERKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPK14WordClassifierSt6vectorIS1_SaIS1_EEEC2ERKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPK14WordClassifierSt6vectorIS1_SaIS1_EEEppEv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPK18PositionCacheEntrySt6vectorIS1_SaIS1_EEEC1ERKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPK18PositionCacheEntrySt6vectorIS1_SaIS1_EEEC2ERKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEC1ERKS5_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEC2ERKS5_@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEpLE{ssize_t}@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEppEv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPKN11SparseStateIjE5StateESt6vectorIS3_SaIS3_EEEC1ERKS5_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPKN11SparseStateIjE5StateESt6vectorIS3_SaIS3_EEEC2ERKS5_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPKP10LineLayoutSt6vectorIS2_SaIS2_EEEC1ERKS4_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPKP10LineLayoutSt6vectorIS2_SaIS2_EEEC2ERKS4_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPKPcSt6vectorIS1_SaIS1_EEEC1IPS1_EERKNS0_IT_NS_11__enable_ifIXsrSt10__are_sameISA_S9_E7__valueES6_E6__typeEEE@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPKPcSt6vectorIS1_SaIS1_EEEC2IPS1_EERKNS0_IT_NS_11__enable_ifIXsrSt10__are_sameISA_S9_E7__valueES6_E6__typeEEE@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPKPcSt6vectorIS1_SaIS1_EEEppEv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPKSsSt6vectorISsSaISsEEEC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPKSsSt6vectorISsSaISsEEEC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPKcSsEppEv@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPKiSt6vectorIiSaIiEEEC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPKiSt6vectorIiSaIiEEEC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEC1ERKS4_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEC2ERKS4_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEmmEv@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEpLE{ssize_t}@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEppEv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS3_SaIS3_EEEC1ERKS4_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS3_SaIS3_EEEC2ERKS4_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS3_SaIS3_EEEmmEv@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS3_SaIS3_EEEpLE{ssize_t}@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS3_SaIS3_EEEppEv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPN8Document19WatcherWithUserDataESt6vectorIS2_SaIS2_EEEC1ERKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPN8Document19WatcherWithUserDataESt6vectorIS2_SaIS2_EEEC2ERKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPN8Document19WatcherWithUserDataESt6vectorIS2_SaIS2_EEEppEv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPP10LineLayoutSt6vectorIS2_SaIS2_EEEC1ERKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPP10LineLayoutSt6vectorIS2_SaIS2_EEEC2ERKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPP11LexerModuleSt6vectorIS2_SaIS2_EEEC1ERKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPP11LexerModuleSt6vectorIS2_SaIS2_EEEC2ERKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPP11LexerModuleSt6vectorIS2_SaIS2_EEEppEv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS2_SaIS2_EEEC1ERKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS2_SaIS2_EEEC2ERKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS2_SaIS2_EEEmmEv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS2_SaIS2_EEEppEv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPPcSt6vectorIS1_SaIS1_EEEC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPPcSt6vectorIS1_SaIS1_EEEC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEC1ERKS1_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEC1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEC2ERKS1_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEC2Ev@Base 2.9 + (optional=templinst|subst)_ZN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEpLE{ssize_t}@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEppEv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPcSsEppEv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEC1ERKS1_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEC2ERKS1_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEmmEv@Base 2.8.4 + (optional=templinst|subst)_ZN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEpLE{ssize_t}@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEppEv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops10_Iter_predI5AfterEC1ES2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops10_Iter_predI5AfterEC2ES2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops10_Iter_predIPFbRKSsEEC1ES5_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx5__ops10_Iter_predIPFbRKSsEEC2ES5_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx5__ops10_Iter_predIPFbRKSsEEclINS_17__normal_iteratorIPSsSt6vectorISsSaISsEEEEEEbT_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx5__ops11__pred_iterI5AfterEENS0_10_Iter_predIT_EES4_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops11__pred_iterIPFbRKSsEEENS0_10_Iter_predIT_EES7_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx5__ops14_Iter_comp_valI6SorterEC1ES2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops14_Iter_comp_valI6SorterEC2ES2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops14_Iter_comp_valI6SorterED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops14_Iter_comp_valI6SorterED2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops14_Iter_comp_valI6SorterEclINS_17__normal_iteratorIPiSt6vectorIiSaIiEEEEiEEbT_RT0_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops14_Iter_comp_valIPFbPK14SelectionRangeS4_EEC1ES6_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops14_Iter_comp_valIPFbPK14SelectionRangeS4_EEC2ES6_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops14_Iter_comp_valIPFbPK14SelectionRangeS4_EEclINS_17__normal_iteratorIPPS2_St6vectorISA_SaISA_EEEESA_EEbT_RT0_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops14_Val_comp_iterI6SorterEC1ES2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops14_Val_comp_iterI6SorterEC2ES2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops14_Val_comp_iterI6SorterED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops14_Val_comp_iterI6SorterED2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops14_Val_comp_iterI6SorterEclIiNS_17__normal_iteratorIPiSt6vectorIiSaIiEEEEEEbRT_T0_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops14_Val_comp_iterIPFbPK14SelectionRangeS4_EEC1ES6_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops14_Val_comp_iterIPFbPK14SelectionRangeS4_EEC2ES6_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops14_Val_comp_iterIPFbPK14SelectionRangeS4_EEclIPS2_NS_17__normal_iteratorIPS9_St6vectorIS9_SaIS9_EEEEEEbRT_T0_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops15_Iter_comp_iterI6SorterEC1ERKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops15_Iter_comp_iterI6SorterEC1ES2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops15_Iter_comp_iterI6SorterEC2ERKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops15_Iter_comp_iterI6SorterEC2ES2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops15_Iter_comp_iterI6SorterED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops15_Iter_comp_iterI6SorterED2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops15_Iter_comp_iterI6SorterEclINS_17__normal_iteratorIPiSt6vectorIiSaIiEEEESA_EEbT_T0_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops15_Iter_comp_iterIPFbPK14SelectionRangeS4_EEC1ES6_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops15_Iter_comp_iterIPFbPK14SelectionRangeS4_EEC2ES6_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops15_Iter_comp_iterIPFbPK14SelectionRangeS4_EEclINS_17__normal_iteratorIPPS2_St6vectorISA_SaISA_EEEESF_EEbT_T0_@Base 2.8.4 + _ZN9__gnu_cxx5__ops15__iter_comp_valENS0_15_Iter_less_iterE@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops15__iter_comp_valI6SorterEENS0_14_Iter_comp_valIT_EENS0_15_Iter_comp_iterIS4_EE@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops15__iter_comp_valIPFbPK14SelectionRangeS4_EEENS0_14_Iter_comp_valIT_EENS0_15_Iter_comp_iterIS8_EE@Base 2.8.4 + _ZN9__gnu_cxx5__ops15__iter_less_valEv@Base 2.8.4 + _ZN9__gnu_cxx5__ops15__val_comp_iterENS0_15_Iter_less_iterE@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops15__val_comp_iterI6SorterEENS0_14_Val_comp_iterIT_EENS0_15_Iter_comp_iterIS4_EE@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops15__val_comp_iterIPFbPK14SelectionRangeS4_EEENS0_14_Val_comp_iterIT_EENS0_15_Iter_comp_iterIS8_EE@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops16_Iter_equals_valIA2_KcEC1ERS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops16_Iter_equals_valIA2_KcEC2ERS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops16_Iter_equals_valIA2_KcEclINS_17__normal_iteratorIPSsSt6vectorISsSaISsEEEEEEbT_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops16_Iter_equals_valIKN8Document19WatcherWithUserDataEEC1ERS4_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops16_Iter_equals_valIKN8Document19WatcherWithUserDataEEC2ERS4_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops16_Iter_equals_valIKN8Document19WatcherWithUserDataEEclINS_17__normal_iteratorIPS3_St6vectorIS3_SaIS3_EEEEEEbT_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops16__iter_comp_iterI6SorterEENS0_15_Iter_comp_iterIT_EES4_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops16__iter_comp_iterIPFbPK14SelectionRangeS4_EEENS0_15_Iter_comp_iterIT_EES8_@Base 2.8.4 + _ZN9__gnu_cxx5__ops16__iter_less_iterEv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops17__iter_equals_valIA2_KcEENS0_16_Iter_equals_valIT_EERS5_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops17__iter_equals_valIKN8Document19WatcherWithUserDataEEENS0_16_Iter_equals_valIT_EERS6_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxeqIP14SelectionRangeSt6vectorIS1_SaIS1_EEEEbRKNS_17__normal_iteratorIT_T0_EESB_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxeqIPK14SelectionRangeSt6vectorIS1_SaIS1_EEEEbRKNS_17__normal_iteratorIT_T0_EESC_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxeqIPK18PositionCacheEntrySt6vectorIS1_SaIS1_EEEEbRKNS_17__normal_iteratorIT_T0_EESC_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxeqIPKN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEEbRKNS_17__normal_iteratorIT_T0_EESE_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxeqIPKN11SparseStateIjE5StateESt6vectorIS3_SaIS3_EEEEbRKNS_17__normal_iteratorIT_T0_EESE_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxeqIPKP10LineLayoutSt6vectorIS2_SaIS2_EEEEbRKNS_17__normal_iteratorIT_T0_EESD_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxeqIPKSsSt6vectorISsSaISsEEEEbRKNS_17__normal_iteratorIT_T0_EESB_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxeqIPKiSt6vectorIiSaIiEEEEbRKNS_17__normal_iteratorIT_T0_EESB_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxeqIPN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEEbRKNS_17__normal_iteratorIT_T0_EESD_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxeqIPN11SparseStateIjE5StateESt6vectorIS3_SaIS3_EEEEbRKNS_17__normal_iteratorIT_T0_EESD_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxeqIPP14SelectionRangeSt6vectorIS2_SaIS2_EEEEbRKNS_17__normal_iteratorIT_T0_EESC_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxeqIPSsSt6vectorISsSaISsEEEEbRKNS_17__normal_iteratorIT_T0_EESA_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxeqIPiSt6vectorIiSaIiEEEEbRKNS_17__normal_iteratorIT_T0_EESA_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxltIP14SelectionRangeSt6vectorIS1_SaIS1_EEEEbRKNS_17__normal_iteratorIT_T0_EESB_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxltIPP14SelectionRangeSt6vectorIS2_SaIS2_EEEEbRKNS_17__normal_iteratorIT_T0_EESC_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxltIPiSt6vectorIiSaIiEEEEbRKNS_17__normal_iteratorIT_T0_EESA_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxmiIP13latexFoldSaveSt6vectorIS1_SaIS1_EEEENS_17__normal_iteratorIT_T0_E15difference_typeERKS9_SC_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxmiIP14SelectionRangeSt6vectorIS1_SaIS1_EEEENS_17__normal_iteratorIT_T0_E15difference_typeERKS9_SC_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxmiIP14WordClassifierSt6vectorIS1_SaIS1_EEEENS_17__normal_iteratorIT_T0_E15difference_typeERKS9_SC_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxmiIP18PositionCacheEntrySt6vectorIS1_SaIS1_EEEENS_17__normal_iteratorIT_T0_E15difference_typeERKS9_SC_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxmiIP5StyleSt6vectorIS1_SaIS1_EEEENS_17__normal_iteratorIT_T0_E15difference_typeERKS9_SC_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxmiIP9DrawPhaseSt6vectorIS1_SaIS1_EEEENS_17__normal_iteratorIT_T0_E15difference_typeERKS9_SC_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxxmiIPKN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEENS_17__normal_iteratorIT_T0_E15difference_typeERKSC_SF_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxmiIPN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEENS_17__normal_iteratorIT_T0_E15difference_typeERKSB_SE_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxmiIPN11SparseStateIjE5StateESt6vectorIS3_SaIS3_EEEENS_17__normal_iteratorIT_T0_E15difference_typeERKSB_SE_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxmiIPN8Document19WatcherWithUserDataESt6vectorIS2_SaIS2_EEEENS_17__normal_iteratorIT_T0_E15difference_typeERKSA_SD_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxmiIPP10LineLayoutSt6vectorIS2_SaIS2_EEEENS_17__normal_iteratorIT_T0_E15difference_typeERKSA_SD_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxmiIPP11LexerModuleSt6vectorIS2_SaIS2_EEEENS_17__normal_iteratorIT_T0_E15difference_typeERKSA_SD_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxmiIPP14SelectionRangeSt6vectorIS2_SaIS2_EEEENS_17__normal_iteratorIT_T0_E15difference_typeERKSA_SD_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxmiIPPcSt6vectorIS1_SaIS1_EEEENS_17__normal_iteratorIT_T0_E15difference_typeERKS9_SC_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxmiIPSsSt6vectorISsSaISsEEEENS_17__normal_iteratorIT_T0_E15difference_typeERKS8_SB_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxmiIPiSt6vectorIiSaIiEEEENS_17__normal_iteratorIT_T0_E15difference_typeERKS8_SB_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxneIP14SelectionRangeSt6vectorIS1_SaIS1_EEEEbRKNS_17__normal_iteratorIT_T0_EESB_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxneIP14WordClassifierSt6vectorIS1_SaIS1_EEEEbRKNS_17__normal_iteratorIT_T0_EESB_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxneIP9DrawPhaseSt6vectorIS1_SaIS1_EEEEbRKNS_17__normal_iteratorIT_T0_EESB_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxxneIPK14SelectionRangeSt6vectorIS1_SaIS1_EEEEbRKNS_17__normal_iteratorIT_T0_EESC_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxneIPK14WordClassifierSt6vectorIS1_SaIS1_EEEEbRKNS_17__normal_iteratorIT_T0_EESC_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxneIPKN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEEbRKNS_17__normal_iteratorIT_T0_EESE_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxneIPKPcPS1_St6vectorIS1_SaIS1_EEEEbRKNS_17__normal_iteratorIT_T1_EERKNS8_IT0_SA_EE@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxneIPKcSsEEbRKNS_17__normal_iteratorIT_T0_EES8_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxxneIPN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEEbRKNS_17__normal_iteratorIT_T0_EESD_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxneIPN11SparseStateIjE5StateESt6vectorIS3_SaIS3_EEEEbRKNS_17__normal_iteratorIT_T0_EESD_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxneIPN8Document19WatcherWithUserDataESt6vectorIS2_SaIS2_EEEEbRKNS_17__normal_iteratorIT_T0_EESC_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxneIPP11LexerModuleSt6vectorIS2_SaIS2_EEEEbRKNS_17__normal_iteratorIT_T0_EESC_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxneIPP14SelectionRangeSt6vectorIS2_SaIS2_EEEEbRKNS_17__normal_iteratorIT_T0_EESC_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxneIPSsSt6vectorISsSaISsEEEEbRKNS_17__normal_iteratorIT_T0_EESA_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxneIPcSsEEbRKNS_17__normal_iteratorIT_T0_EES7_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxneIPiSt6vectorIiSaIiEEEEbRKNS_17__normal_iteratorIT_T0_EESA_@Base 2.8.4 + _ZNK10CellBuffer10IsReadOnlyEv@Base 2.8.4 + _ZNK10CellBuffer11GapPositionEv@Base 2.8.4 + _ZNK10CellBuffer11GetRedoStepEv@Base 2.8.4 + _ZNK10CellBuffer11GetUndoStepEv@Base 2.8.4 + _ZNK10CellBuffer11IsSavePointEv@Base 2.8.4 + _ZNK10CellBuffer12GetCharRangeEPcii@Base 2.8.4 + _ZNK10CellBuffer13GetStyleRangeEPhii@Base 2.8.4 + _ZNK10CellBuffer15GetLineEndTypesEv@Base 2.8.4 + _ZNK10CellBuffer15TentativeActiveEv@Base 2.9 + _ZNK10CellBuffer16IsCollectingUndoEv@Base 2.8.4 + _ZNK10CellBuffer16LineFromPositionEi@Base 2.8.4 + _ZNK10CellBuffer19UTF8LineEndOverlapsEi@Base 2.8.4 + _ZNK10CellBuffer5LinesEv@Base 2.8.4 + _ZNK10CellBuffer6CharAtEi@Base 2.8.4 + _ZNK10CellBuffer6LengthEv@Base 2.8.4 + _ZNK10CellBuffer7CanRedoEv@Base 2.8.4 + _ZNK10CellBuffer7CanUndoEv@Base 2.8.4 + _ZNK10CellBuffer7StyleAtEi@Base 2.8.4 + _ZNK10CellBuffer9LineStartEi@Base 2.8.4 + _ZNK10Decoration5EmptyEv@Base 2.8.4 + _ZNK10LexerBasic7VersionEv@Base 2.8.4 + _ZNK10LineLayout10FindBeforeEfii@Base 2.8.4 + _ZNK10LineLayout12EndLineStyleEv@Base 2.8.4 + _ZNK10LineLayout12SubLineRangeEi@Base 2.9 + _ZNK10LineLayout15LineLastVisibleEi@Base 2.8.4 + _ZNK10LineLayout17FindPositionFromXEf5Rangeb@Base 2.9 + _ZNK10LineLayout17PointFromPositionEii@Base 2.9 + _ZNK10LineLayout6InLineEii@Base 2.8.4 + _ZNK10LineLayout9LineStartEi@Base 2.8.4 + _ZNK10LineLevels8GetLevelEi@Base 2.8.4 + _ZNK10LineMarker4DrawEP7SurfaceR10PRectangleR4FontNS_10typeOfFoldEi@Base 2.9 + _ZNK10LineVector16LineFromPositionEi@Base 2.8.4 + _ZNK10LineVector5LinesEv@Base 2.8.4 + _ZNK10LineVector9LineStartEi@Base 2.8.4 + _ZNK10PRectangle10IntersectsES_@Base 2.8.4 + _ZNK10PRectangle18ContainsWholePixelE5Point@Base 2.9 + _ZNK10PRectangle5EmptyEv@Base 2.8.4 + _ZNK10PRectangle5WidthEv@Base 2.8.4 + _ZNK10PRectangle6HeightEv@Base 2.8.4 + _ZNK10PRectangle8ContainsE5Point@Base 2.8.4 + _ZNK10PRectangle8ContainsES_@Base 2.8.4 + _ZNK10QByteArray4dataEv@Base 2.8.4 + _ZNK10QByteArray4sizeEv@Base 2.8.4 + _ZNK10QByteArray5countEv@Base 2.8.4 + _ZNK10QByteArray6lengthEv@Base 2.8.4 + _ZNK10QByteArray9constDataEv@Base 2.8.4 + _ZNK10QByteArraycvPKcEv@Base 2.8.4 + _ZNK10QDropEvent10dropActionEv@Base 2.8.4 + _ZNK10QDropEvent3posEv@Base 2.8.4 + _ZNK10QDropEvent8mimeDataEv@Base 2.8.4 + _ZNK10QsciLexerD10blockStartEPi@Base 2.8.4 + _ZNK10QsciLexerD10braceStyleEv@Base 2.8.4 + _ZNK10QsciLexerD10foldAtElseEv@Base 2.8.4 + _ZNK10QsciLexerD10metaObjectEv@Base 2.8.4 + _ZNK10QsciLexerD11defaultFontEi@Base 2.8.4 + _ZNK10QsciLexerD11descriptionEi@Base 2.8.4 + _ZNK10QsciLexerD11foldCompactEv@Base 2.8.4 + _ZNK10QsciLexerD12defaultColorEi@Base 2.8.4 + _ZNK10QsciLexerD12defaultPaperEi@Base 2.8.4 + _ZNK10QsciLexerD12foldCommentsEv@Base 2.8.4 + _ZNK10QsciLexerD14defaultEolFillEi@Base 2.8.4 + _ZNK10QsciLexerD14wordCharactersEv@Base 2.8.4 + _ZNK10QsciLexerD15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK10QsciLexerD17blockStartKeywordEPi@Base 2.8.4 + _ZNK10QsciLexerD28autoCompletionWordSeparatorsEv@Base 2.8.4 + _ZNK10QsciLexerD5lexerEv@Base 2.8.4 + _ZNK10QsciLexerD8blockEndEPi@Base 2.8.4 + _ZNK10QsciLexerD8keywordsEi@Base 2.8.4 + _ZNK10QsciLexerD8languageEv@Base 2.8.4 + (subst)_ZNK10StyledText10LineLengthE{size_t}@Base 2.8.4 + (subst)_ZNK10StyledText7StyleAtE{size_t}@Base 2.8.4 + _ZNK11AutoSurfacecvP7SurfaceEv@Base 2.8.4 + _ZNK11AutoSurfaceptEv@Base 2.8.4 + _ZNK11BreakFinder4MoreEv@Base 2.8.4 + _ZNK11LexAccessor10IsLeadByteEc@Base 2.8.4 + _ZNK11LexAccessor12GetLineStateEi@Base 2.8.4 + _ZNK11LexAccessor15GetStartSegmentEv@Base 2.8.4 + _ZNK11LexAccessor15MultiByteAccessEv@Base 2.8.4 + _ZNK11LexAccessor6LengthEv@Base 2.8.4 + _ZNK11LexAccessor7GetLineEi@Base 2.8.4 + _ZNK11LexAccessor7LevelAtEi@Base 2.8.4 + _ZNK11LexAccessor7StyleAtEi@Base 2.8.4 + _ZNK11LexAccessor8EncodingEv@Base 2.8.4 + _ZNK11LexAccessor9LineStartEi@Base 2.8.4 + _ZNK11LexerModule11GetLanguageEv@Base 2.8.4 + _ZNK11LexerModule15GetNumWordListsEv@Base 2.8.4 + _ZNK11LexerModule22GetWordListDescriptionEi@Base 2.8.4 + _ZNK11LexerModule3LexEjiiPP8WordListR8Accessor@Base 2.8.4 + _ZNK11LexerModule4FoldEjiiPP8WordListR8Accessor@Base 2.8.4 + _ZNK11LexerModule6CreateEv@Base 2.8.4 + _ZNK11LineMarkers10MarkerNextEii@Base 2.8.4 + _ZNK11QInputEvent9modifiersEv@Base 2.8.4 + _ZNK11QLatin1Char7unicodeEv@Base 2.8.4 + _ZNK11QMouseEvent1xEv@Base 2.8.4 + _ZNK11QMouseEvent1yEv@Base 2.8.4 + _ZNK11QMouseEvent6buttonEv@Base 2.8.4 + _ZNK11QMouseEvent9globalPosEv@Base 2.8.4 + _ZNK11QPaintEvent4rectEv@Base 2.8.4 + _ZNK11QStringList4joinERK7QString@Base 2.8.4 + _ZNK11QStringList8containsERK7QStringN2Qt15CaseSensitivityE@Base 2.8.4 + _ZNK11QTextFormat12isCharFormatEv@Base 2.8.4 + _ZNK11QTimerEvent7timerIdEv@Base 2.9 + _ZNK11QsciCommand11descriptionEv@Base 2.8.4 + _ZNK11QsciCommand12alternateKeyEv@Base 2.8.4 + _ZNK11QsciCommand3keyEv@Base 2.8.4 + _ZNK11QsciCommand7commandEv@Base 2.8.4 + _ZNK11QsciLexerPO10metaObjectEv@Base 2.8.4 + _ZNK11QsciLexerPO11defaultFontEi@Base 2.8.4 + _ZNK11QsciLexerPO11descriptionEi@Base 2.8.4 + _ZNK11QsciLexerPO11foldCompactEv@Base 2.8.4 + _ZNK11QsciLexerPO12defaultColorEi@Base 2.8.4 + _ZNK11QsciLexerPO12foldCommentsEv@Base 2.8.4 + _ZNK11QsciLexerPO15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK11QsciLexerPO5lexerEv@Base 2.8.4 + _ZNK11QsciLexerPO8languageEv@Base 2.8.4 + (optional=templinst)_ZNK11SparseStateISsE5StateeqERKS1_@Base 2.8.4 + (optional=templinst)_ZNK11SparseStateISsE5StateltERKS1_@Base 2.8.4 + (optional=templinst)_ZNK11SparseStateIjE5StateltERKS1_@Base 2.8.4 + (optional=templinst)_ZNK11SplitVectorIP15MarkerHandleSetE6LengthEv@Base 2.8.4 + (optional=templinst)_ZNK11SplitVectorIP15MarkerHandleSetEixEi@Base 2.8.4 + (optional=templinst)_ZNK11SplitVectorIPSt6vectorIiSaIiEEE6LengthEv@Base 2.9 + (optional=templinst)_ZNK11SplitVectorIPSt6vectorIiSaIiEEEixEi@Base 2.9 + (optional=templinst)_ZNK11SplitVectorIPcE6LengthEv@Base 2.8.4 + (optional=templinst)_ZNK11SplitVectorIPcEixEi@Base 2.8.4 + (optional=templinst)_ZNK11SplitVectorIcE11GapPositionEv@Base 2.8.4 + (optional=templinst)_ZNK11SplitVectorIcE6LengthEv@Base 2.8.4 + (optional=templinst)_ZNK11SplitVectorIcE7ValueAtEi@Base 2.8.4 + (optional=templinst)_ZNK11SplitVectorIcE8GetRangeEPcii@Base 2.8.4 + (optional=templinst)_ZNK11SplitVectorIiE11GetGrowSizeEv@Base 2.8.4 + (optional=templinst)_ZNK11SplitVectorIiE6LengthEv@Base 2.8.4 + (optional=templinst)_ZNK11SplitVectorIiE7ValueAtEi@Base 2.8.4 + (optional=templinst)_ZNK11SplitVectorIiEixEi@Base 2.8.4 + _ZNK11TextSegment3endEv@Base 2.8.4 + _ZNK11UndoHistory11GetRedoStepEv@Base 2.8.4 + _ZNK11UndoHistory11GetUndoStepEv@Base 2.8.4 + _ZNK11UndoHistory11IsSavePointEv@Base 2.8.4 + _ZNK11UndoHistory15TentativeActiveEv@Base 2.9 + _ZNK11UndoHistory7CanRedoEv@Base 2.8.4 + _ZNK11UndoHistory7CanUndoEv@Base 2.8.4 + _ZNK11WrapPending9NeedsWrapEv@Base 2.8.4 + _ZNK12AutoComplete10GetTypesepEv@Base 2.8.4 + _ZNK12AutoComplete12GetSelectionEv@Base 2.8.4 + _ZNK12AutoComplete12GetSeparatorEv@Base 2.8.4 + _ZNK12AutoComplete6ActiveEv@Base 2.8.4 + _ZNK12AutoComplete8GetValueEi@Base 2.8.4 + _ZNK12CharClassify6IsWordEh@Base 2.8.4 + _ZNK12CharClassify8GetClassEh@Base 2.8.4 + _ZNK12CharacterSet8ContainsEi@Base 2.8.4 + _ZNK12KeyModifiersltERKS_@Base 2.9 + _ZNK12LexInterface18UseContainerLexingEv@Base 2.8.4 + _ZNK12LexerHaskell13skipMagicHashER12StyleContextNS_9HashCountE@Base 2.8.4 + _ZNK12LexerHaskell18LineContainsImportEiR8Accessor@Base 2.8.4 + _ZNK12LexerHaskell22IndentAmountWithOffsetER8Accessori@Base 2.8.4 + _ZNK12LexerHaskell29IndentLevelRemoveIndentOffsetEi@Base 2.8.4 + _ZNK12LexerHaskell7VersionEv@Base 2.8.4 + _ZNK12LexerVerilog7VersionEv@Base 2.9 + _ZNK12LexerVerilog8TokenizeERKSs@Base 2.9 + _ZNK12LineTabstops14GetNextTabstopEii@Base 2.9 + _ZNK12Partitioning10PartitionsEv@Base 2.8.4 + _ZNK12Partitioning21PartitionFromPositionEi@Base 2.8.4 + _ZNK12Partitioning21PositionFromPartitionEi@Base 2.8.4 + _ZNK12QPaintDevice11logicalDpiYEv@Base 2.8.4 + _ZNK12QPaintDevice5widthEv@Base 2.8.4 + _ZNK12QPaintDevice6heightEv@Base 2.8.4 + _ZNK12QsciDocument10isModifiedEv@Base 2.8.4 + _ZNK12QsciLexerAVS10braceStyleEv@Base 2.8.4 + _ZNK12QsciLexerAVS10metaObjectEv@Base 2.8.4 + _ZNK12QsciLexerAVS11defaultFontEi@Base 2.8.4 + _ZNK12QsciLexerAVS11descriptionEi@Base 2.8.4 + _ZNK12QsciLexerAVS11foldCompactEv@Base 2.8.4 + _ZNK12QsciLexerAVS12defaultColorEi@Base 2.8.4 + _ZNK12QsciLexerAVS12foldCommentsEv@Base 2.8.4 + _ZNK12QsciLexerAVS14wordCharactersEv@Base 2.8.4 + _ZNK12QsciLexerAVS15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK12QsciLexerAVS5lexerEv@Base 2.8.4 + _ZNK12QsciLexerAVS8keywordsEi@Base 2.8.4 + _ZNK12QsciLexerAVS8languageEv@Base 2.8.4 + _ZNK12QsciLexerCPP10blockStartEPi@Base 2.8.4 + _ZNK12QsciLexerCPP10braceStyleEv@Base 2.8.4 + _ZNK12QsciLexerCPP10metaObjectEv@Base 2.8.4 + _ZNK12QsciLexerCPP11defaultFontEi@Base 2.8.4 + _ZNK12QsciLexerCPP11descriptionEi@Base 2.8.4 + _ZNK12QsciLexerCPP12defaultColorEi@Base 2.8.4 + _ZNK12QsciLexerCPP12defaultPaperEi@Base 2.8.4 + _ZNK12QsciLexerCPP14defaultEolFillEi@Base 2.8.4 + _ZNK12QsciLexerCPP14wordCharactersEv@Base 2.8.4 + _ZNK12QsciLexerCPP15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK12QsciLexerCPP17blockStartKeywordEPi@Base 2.8.4 + _ZNK12QsciLexerCPP28autoCompletionWordSeparatorsEv@Base 2.8.4 + _ZNK12QsciLexerCPP5lexerEv@Base 2.8.4 + _ZNK12QsciLexerCPP8blockEndEPi@Base 2.8.4 + _ZNK12QsciLexerCPP8keywordsEi@Base 2.8.4 + _ZNK12QsciLexerCPP8languageEv@Base 2.8.4 + _ZNK12QsciLexerCSS10blockStartEPi@Base 2.8.4 + _ZNK12QsciLexerCSS10metaObjectEv@Base 2.8.4 + _ZNK12QsciLexerCSS11defaultFontEi@Base 2.8.4 + _ZNK12QsciLexerCSS11descriptionEi@Base 2.8.4 + _ZNK12QsciLexerCSS11foldCompactEv@Base 2.8.4 + _ZNK12QsciLexerCSS12defaultColorEi@Base 2.8.4 + _ZNK12QsciLexerCSS12foldCommentsEv@Base 2.8.4 + _ZNK12QsciLexerCSS14wordCharactersEv@Base 2.8.4 + _ZNK12QsciLexerCSS15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK12QsciLexerCSS5lexerEv@Base 2.8.4 + _ZNK12QsciLexerCSS8blockEndEPi@Base 2.8.4 + _ZNK12QsciLexerCSS8keywordsEi@Base 2.8.4 + _ZNK12QsciLexerCSS8languageEv@Base 2.8.4 + _ZNK12QsciLexerIDL10metaObjectEv@Base 2.8.4 + _ZNK12QsciLexerIDL11descriptionEi@Base 2.8.4 + _ZNK12QsciLexerIDL12defaultColorEi@Base 2.8.4 + _ZNK12QsciLexerIDL8keywordsEi@Base 2.8.4 + _ZNK12QsciLexerIDL8languageEv@Base 2.8.4 + _ZNK12QsciLexerLua10blockStartEPi@Base 2.8.4 + _ZNK12QsciLexerLua10braceStyleEv@Base 2.8.4 + _ZNK12QsciLexerLua10metaObjectEv@Base 2.8.4 + _ZNK12QsciLexerLua11defaultFontEi@Base 2.8.4 + _ZNK12QsciLexerLua11descriptionEi@Base 2.8.4 + _ZNK12QsciLexerLua11foldCompactEv@Base 2.8.4 + _ZNK12QsciLexerLua12defaultColorEi@Base 2.8.4 + _ZNK12QsciLexerLua12defaultPaperEi@Base 2.8.4 + _ZNK12QsciLexerLua14defaultEolFillEi@Base 2.8.4 + _ZNK12QsciLexerLua15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK12QsciLexerLua28autoCompletionWordSeparatorsEv@Base 2.8.4 + _ZNK12QsciLexerLua5lexerEv@Base 2.8.4 + _ZNK12QsciLexerLua8keywordsEi@Base 2.8.4 + _ZNK12QsciLexerLua8languageEv@Base 2.8.4 + _ZNK12QsciLexerPOV10braceStyleEv@Base 2.8.4 + _ZNK12QsciLexerPOV10metaObjectEv@Base 2.8.4 + _ZNK12QsciLexerPOV11defaultFontEi@Base 2.8.4 + _ZNK12QsciLexerPOV11descriptionEi@Base 2.8.4 + _ZNK12QsciLexerPOV11foldCompactEv@Base 2.8.4 + _ZNK12QsciLexerPOV12defaultColorEi@Base 2.8.4 + _ZNK12QsciLexerPOV12defaultPaperEi@Base 2.8.4 + _ZNK12QsciLexerPOV12foldCommentsEv@Base 2.8.4 + _ZNK12QsciLexerPOV14defaultEolFillEi@Base 2.8.4 + _ZNK12QsciLexerPOV14foldDirectivesEv@Base 2.8.4 + _ZNK12QsciLexerPOV14wordCharactersEv@Base 2.8.4 + _ZNK12QsciLexerPOV15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK12QsciLexerPOV5lexerEv@Base 2.8.4 + _ZNK12QsciLexerPOV8keywordsEi@Base 2.8.4 + _ZNK12QsciLexerPOV8languageEv@Base 2.8.4 + _ZNK12QsciLexerSQL10braceStyleEv@Base 2.8.4 + _ZNK12QsciLexerSQL10metaObjectEv@Base 2.8.4 + _ZNK12QsciLexerSQL11defaultFontEi@Base 2.8.4 + _ZNK12QsciLexerSQL11descriptionEi@Base 2.8.4 + _ZNK12QsciLexerSQL12defaultColorEi@Base 2.8.4 + _ZNK12QsciLexerSQL12defaultPaperEi@Base 2.8.4 + _ZNK12QsciLexerSQL14defaultEolFillEi@Base 2.8.4 + _ZNK12QsciLexerSQL15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK12QsciLexerSQL5lexerEv@Base 2.8.4 + _ZNK12QsciLexerSQL8keywordsEi@Base 2.8.4 + _ZNK12QsciLexerSQL8languageEv@Base 2.8.4 + _ZNK12QsciLexerTCL10braceStyleEv@Base 2.8.4 + _ZNK12QsciLexerTCL10metaObjectEv@Base 2.8.4 + _ZNK12QsciLexerTCL11defaultFontEi@Base 2.8.4 + _ZNK12QsciLexerTCL11descriptionEi@Base 2.8.4 + _ZNK12QsciLexerTCL12defaultColorEi@Base 2.8.4 + _ZNK12QsciLexerTCL12defaultPaperEi@Base 2.8.4 + _ZNK12QsciLexerTCL14defaultEolFillEi@Base 2.8.4 + _ZNK12QsciLexerTCL15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK12QsciLexerTCL5lexerEv@Base 2.8.4 + _ZNK12QsciLexerTCL8keywordsEi@Base 2.8.4 + _ZNK12QsciLexerTCL8languageEv@Base 2.8.4 + _ZNK12QsciLexerTeX10metaObjectEv@Base 2.8.4 + _ZNK12QsciLexerTeX11descriptionEi@Base 2.8.4 + _ZNK12QsciLexerTeX12defaultColorEi@Base 2.8.4 + _ZNK12QsciLexerTeX14wordCharactersEv@Base 2.8.4 + _ZNK12QsciLexerTeX15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK12QsciLexerTeX5lexerEv@Base 2.8.4 + _ZNK12QsciLexerTeX8keywordsEi@Base 2.8.4 + _ZNK12QsciLexerTeX8languageEv@Base 2.8.4 + _ZNK12QsciLexerXML10metaObjectEv@Base 2.8.4 + _ZNK12QsciLexerXML11defaultFontEi@Base 2.8.4 + _ZNK12QsciLexerXML12defaultColorEi@Base 2.8.4 + _ZNK12QsciLexerXML12defaultPaperEi@Base 2.8.4 + _ZNK12QsciLexerXML13scriptsStyledEv@Base 2.8.4 + _ZNK12QsciLexerXML14defaultEolFillEi@Base 2.8.4 + _ZNK12QsciLexerXML15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK12QsciLexerXML5lexerEv@Base 2.8.4 + _ZNK12QsciLexerXML8keywordsEi@Base 2.8.4 + _ZNK12QsciLexerXML8languageEv@Base 2.8.4 + _ZNK12QsciSciPopup10metaObjectEv@Base 2.8.4 + _ZNK12StyleContext13LengthCurrentEv@Base 2.8.4 + _ZNK12StyleContext4MoreEv@Base 2.8.4 + _ZNK12StyleContext5MatchEc@Base 2.8.4 + _ZNK12StyleContext5MatchEcc@Base 2.8.4 + _ZNK13ColourDesired6AsLongEv@Base 2.8.4 + _ZNK13ColourDesired6GetRedEv@Base 2.8.4 + _ZNK13ColourDesired7GetBlueEv@Base 2.8.4 + _ZNK13ColourDesired8GetGreenEv@Base 2.8.4 + _ZNK13ColourDesiredeqERKS_@Base 2.8.4 + _ZNK13LexerRegistry7VersionEv@Base 2.9 + _ZNK13PositionCache7GetSizeEv@Base 2.8.4 + _ZNK13PropSetSimple11GetExpandedEPKcPc@Base 2.8.4 + _ZNK13PropSetSimple3GetEPKc@Base 2.8.4 + _ZNK13PropSetSimple6GetIntEPKci@Base 2.8.4 + _ZNK13QLatin1String6latin1Ev@Base 2.8.4 + _ZNK13QsciLexerBash10braceStyleEv@Base 2.8.4 + _ZNK13QsciLexerBash10metaObjectEv@Base 2.8.4 + _ZNK13QsciLexerBash11defaultFontEi@Base 2.8.4 + _ZNK13QsciLexerBash11descriptionEi@Base 2.8.4 + _ZNK13QsciLexerBash11foldCompactEv@Base 2.8.4 + _ZNK13QsciLexerBash12defaultColorEi@Base 2.8.4 + _ZNK13QsciLexerBash12defaultPaperEi@Base 2.8.4 + _ZNK13QsciLexerBash12foldCommentsEv@Base 2.8.4 + _ZNK13QsciLexerBash14defaultEolFillEi@Base 2.8.4 + _ZNK13QsciLexerBash14wordCharactersEv@Base 2.8.4 + _ZNK13QsciLexerBash15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK13QsciLexerBash5lexerEv@Base 2.8.4 + _ZNK13QsciLexerBash8keywordsEi@Base 2.8.4 + _ZNK13QsciLexerBash8languageEv@Base 2.8.4 + _ZNK13QsciLexerDiff10metaObjectEv@Base 2.8.4 + _ZNK13QsciLexerDiff11descriptionEi@Base 2.8.4 + _ZNK13QsciLexerDiff12defaultColorEi@Base 2.8.4 + _ZNK13QsciLexerDiff14wordCharactersEv@Base 2.8.4 + _ZNK13QsciLexerDiff5lexerEv@Base 2.8.4 + _ZNK13QsciLexerDiff8languageEv@Base 2.8.4 + _ZNK13QsciLexerHTML10metaObjectEv@Base 2.8.4 + _ZNK13QsciLexerHTML11defaultFontEi@Base 2.8.4 + _ZNK13QsciLexerHTML11descriptionEi@Base 2.8.4 + _ZNK13QsciLexerHTML12defaultColorEi@Base 2.8.4 + _ZNK13QsciLexerHTML12defaultPaperEi@Base 2.8.4 + _ZNK13QsciLexerHTML14defaultEolFillEi@Base 2.8.4 + _ZNK13QsciLexerHTML14wordCharactersEv@Base 2.8.4 + _ZNK13QsciLexerHTML15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK13QsciLexerHTML21autoCompletionFillupsEv@Base 2.8.4 + _ZNK13QsciLexerHTML5lexerEv@Base 2.8.4 + _ZNK13QsciLexerHTML8keywordsEi@Base 2.8.4 + _ZNK13QsciLexerHTML8languageEv@Base 2.8.4 + _ZNK13QsciLexerJava10metaObjectEv@Base 2.8.4 + _ZNK13QsciLexerJava8keywordsEi@Base 2.8.4 + _ZNK13QsciLexerJava8languageEv@Base 2.8.4 + _ZNK13QsciLexerPerl10blockStartEPi@Base 2.8.4 + _ZNK13QsciLexerPerl10braceStyleEv@Base 2.8.4 + _ZNK13QsciLexerPerl10metaObjectEv@Base 2.8.4 + _ZNK13QsciLexerPerl11defaultFontEi@Base 2.8.4 + _ZNK13QsciLexerPerl11descriptionEi@Base 2.8.4 + _ZNK13QsciLexerPerl11foldCompactEv@Base 2.8.4 + _ZNK13QsciLexerPerl12defaultColorEi@Base 2.8.4 + _ZNK13QsciLexerPerl12defaultPaperEi@Base 2.8.4 + _ZNK13QsciLexerPerl12foldCommentsEv@Base 2.8.4 + _ZNK13QsciLexerPerl12foldPackagesEv@Base 2.8.4 + _ZNK13QsciLexerPerl13foldPODBlocksEv@Base 2.8.4 + _ZNK13QsciLexerPerl14defaultEolFillEi@Base 2.8.4 + _ZNK13QsciLexerPerl14wordCharactersEv@Base 2.8.4 + _ZNK13QsciLexerPerl15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK13QsciLexerPerl28autoCompletionWordSeparatorsEv@Base 2.8.4 + _ZNK13QsciLexerPerl5lexerEv@Base 2.8.4 + _ZNK13QsciLexerPerl8blockEndEPi@Base 2.8.4 + _ZNK13QsciLexerPerl8keywordsEi@Base 2.8.4 + _ZNK13QsciLexerPerl8languageEv@Base 2.8.4 + _ZNK13QsciLexerRuby10blockStartEPi@Base 2.8.4 + _ZNK13QsciLexerRuby10braceStyleEv@Base 2.8.4 + _ZNK13QsciLexerRuby10metaObjectEv@Base 2.8.4 + _ZNK13QsciLexerRuby11defaultFontEi@Base 2.8.4 + _ZNK13QsciLexerRuby11descriptionEi@Base 2.8.4 + _ZNK13QsciLexerRuby12defaultColorEi@Base 2.8.4 + _ZNK13QsciLexerRuby12defaultPaperEi@Base 2.8.4 + _ZNK13QsciLexerRuby14defaultEolFillEi@Base 2.8.4 + _ZNK13QsciLexerRuby15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK13QsciLexerRuby17blockStartKeywordEPi@Base 2.8.4 + _ZNK13QsciLexerRuby5lexerEv@Base 2.8.4 + _ZNK13QsciLexerRuby8blockEndEPi@Base 2.8.4 + _ZNK13QsciLexerRuby8keywordsEi@Base 2.8.4 + _ZNK13QsciLexerRuby8languageEv@Base 2.8.4 + _ZNK13QsciLexerVHDL10braceStyleEv@Base 2.8.4 + _ZNK13QsciLexerVHDL10foldAtElseEv@Base 2.8.4 + _ZNK13QsciLexerVHDL10metaObjectEv@Base 2.8.4 + _ZNK13QsciLexerVHDL11defaultFontEi@Base 2.8.4 + _ZNK13QsciLexerVHDL11descriptionEi@Base 2.8.4 + _ZNK13QsciLexerVHDL11foldAtBeginEv@Base 2.8.4 + _ZNK13QsciLexerVHDL11foldCompactEv@Base 2.8.4 + _ZNK13QsciLexerVHDL12defaultColorEi@Base 2.8.4 + _ZNK13QsciLexerVHDL12defaultPaperEi@Base 2.8.4 + _ZNK13QsciLexerVHDL12foldCommentsEv@Base 2.8.4 + _ZNK13QsciLexerVHDL14defaultEolFillEi@Base 2.8.4 + _ZNK13QsciLexerVHDL15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK13QsciLexerVHDL17foldAtParenthesisEv@Base 2.8.4 + _ZNK13QsciLexerVHDL5lexerEv@Base 2.8.4 + _ZNK13QsciLexerVHDL8keywordsEi@Base 2.8.4 + _ZNK13QsciLexerVHDL8languageEv@Base 2.8.4 + _ZNK13QsciLexerYAML10metaObjectEv@Base 2.8.4 + _ZNK13QsciLexerYAML11defaultFontEi@Base 2.8.4 + _ZNK13QsciLexerYAML11descriptionEi@Base 2.8.4 + _ZNK13QsciLexerYAML12defaultColorEi@Base 2.8.4 + _ZNK13QsciLexerYAML12defaultPaperEi@Base 2.8.4 + _ZNK13QsciLexerYAML12foldCommentsEv@Base 2.8.4 + _ZNK13QsciLexerYAML14defaultEolFillEi@Base 2.8.4 + _ZNK13QsciLexerYAML15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK13QsciLexerYAML5lexerEv@Base 2.8.4 + _ZNK13QsciLexerYAML8keywordsEi@Base 2.8.4 + _ZNK13QsciLexerYAML8languageEv@Base 2.8.4 + _ZNK13QsciListBoxQt14GetVisibleRowsEv@Base 2.8.4 + _ZNK13QsciScintilla10annotationEi@Base 2.8.4 + _ZNK13QsciScintilla10edgeColumnEv@Base 2.8.4 + _ZNK13QsciScintilla10isModifiedEv@Base 2.8.4 + _ZNK13QsciScintilla10isReadOnlyEv@Base 2.8.4 + _ZNK13QsciScintilla10lineLengthEi@Base 2.8.4 + _ZNK13QsciScintilla10marginTypeEi@Base 2.8.4 + _ZNK13QsciScintilla10markerLineEi@Base 2.8.4 + _ZNK13QsciScintilla10metaObjectEv@Base 2.8.4 + _ZNK13QsciScintilla10tabIndentsEv@Base 2.8.4 + _ZNK13QsciScintilla10textHeightEi@Base 2.8.4 + _ZNK13QsciScintilla11extraAscentEv@Base 2.8.4 + _ZNK13QsciScintilla11indentWidthEv@Base 2.8.4 + _ZNK13QsciScintilla11indentationEi@Base 2.8.4 + _ZNK13QsciScintilla11isStartCharEc@Base 2.8.4 + _ZNK13QsciScintilla11marginWidthEi@Base 2.8.4 + _ZNK13QsciScintilla11wordAtPointERK6QPoint@Base 2.8.4 + _ZNK13QsciScintilla12extraDescentEv@Base 2.8.4 + _ZNK13QsciScintilla12getCharacterERi@Base 2.8.4 + _ZNK13QsciScintilla12getSelectionEPiS0_S0_S0_@Base 2.8.4 + _ZNK13QsciScintilla12getSeparatorERi@Base 2.8.4 + _ZNK13QsciScintilla12isListActiveEv@Base 2.8.4 + _ZNK13QsciScintilla12selectedTextEv@Base 2.8.4 + _ZNK13QsciScintilla12set_shortcutEP7QActionN11QsciCommand7CommandE@Base 2.8.4 + _ZNK13QsciScintilla13caseSensitiveEv@Base 2.8.4 + _ZNK13QsciScintilla13currentIndentEv@Base 2.8.4 + _ZNK13QsciScintilla13eolVisibilityEv@Base 2.8.4 + _ZNK13QsciScintilla13marginOptionsEv@Base 2.8.4 + _ZNK13QsciScintilla13markersAtLineEi@Base 2.8.4 + _ZNK13QsciScintilla13overwriteModeEv@Base 2.8.4 + _ZNK13QsciScintilla14markerFindNextEij@Base 2.8.4 + _ZNK13QsciScintilla14selectionToEolEv@Base 2.8.4 + _ZNK13QsciScintilla14whitespaceSizeEv@Base 2.8.4 + _ZNK13QsciScintilla14wordAtPositionEi@Base 2.8.4 + _ZNK13QsciScintilla14wordCharactersEv@Base 2.8.4 + _ZNK13QsciScintilla14wrapIndentModeEv@Base 2.8.4 + _ZNK13QsciScintilla15contractedFoldsEv@Base 2.8.4 + _ZNK13QsciScintilla15hasSelectedTextEv@Base 2.8.4 + _ZNK13QsciScintilla15isCallTipActiveEv@Base 2.8.4 + _ZNK13QsciScintilla15isRedoAvailableEv@Base 2.8.4 + _ZNK13QsciScintilla15isUndoAvailableEv@Base 2.8.4 + _ZNK13QsciScintilla15isWordCharacterEc@Base 2.8.4 + _ZNK13QsciScintilla15wordAtLineIndexEii@Base 2.8.4 + _ZNK13QsciScintilla16firstVisibleLineEv@Base 2.8.4 + _ZNK13QsciScintilla16indentationWidthEv@Base 2.8.4 + _ZNK13QsciScintilla16marginMarkerMaskEi@Base 2.8.4 + _ZNK13QsciScintilla17annotationDisplayEv@Base 2.8.4 + _ZNK13QsciScintilla17getCursorPositionEPiS0_@Base 2.8.4 + _ZNK13QsciScintilla17indentationGuidesEv@Base 2.8.4 + _ZNK13QsciScintilla17marginLineNumbersEi@Base 2.8.4 + _ZNK13QsciScintilla17marginSensitivityEi@Base 2.8.4 + _ZNK13QsciScintilla18backspaceUnindentsEv@Base 2.8.4 + _ZNK13QsciScintilla18indicatorDrawUnderEi@Base 2.8.4 + _ZNK13QsciScintilla18markerFindPreviousEij@Base 2.8.4 + _ZNK13QsciScintilla19indentationsUseTabsEv@Base 2.8.4 + _ZNK13QsciScintilla20isAutoCompletionListEv@Base 2.8.4 + _ZNK13QsciScintilla20whitespaceVisibilityEv@Base 2.8.4 + _ZNK13QsciScintilla21lineIndexFromPositionEiPiS0_@Base 2.8.4 + _ZNK13QsciScintilla21positionFromLineIndexEii@Base 2.8.4 + _ZNK13QsciScintilla23adjustedCallTipPositionEi@Base 2.8.4 + _ZNK13QsciScintilla23autoCompletionUseSingleEv@Base 2.8.4 + _ZNK13QsciScintilla24autoCompletionShowSingleEv@Base 2.8.4 + _ZNK13QsciScintilla25autoCompletionReplaceWordEv@Base 2.8.4 + _ZNK13QsciScintilla28autoCompletionFillupsEnabledEv@Base 2.8.4 + _ZNK13QsciScintilla29autoCompletionCaseSensitivityEv@Base 2.8.4 + _ZNK13QsciScintilla4textEi@Base 2.8.4 + _ZNK13QsciScintilla4textEv@Base 2.8.4 + _ZNK13QsciScintilla5colorEv@Base 2.8.4 + _ZNK13QsciScintilla5lexerEv@Base 2.8.4 + _ZNK13QsciScintilla5linesEv@Base 2.8.4 + _ZNK13QsciScintilla5paperEv@Base 2.8.4 + _ZNK13QsciScintilla5writeEP9QIODevice@Base 2.8.4 + _ZNK13QsciScintilla6isUtf8Ev@Base 2.8.4 + _ZNK13QsciScintilla6lengthEv@Base 2.8.4 + _ZNK13QsciScintilla6lineAtERK6QPoint@Base 2.8.4 + _ZNK13QsciScintilla7eolModeEv@Base 2.8.4 + _ZNK13QsciScintilla7getWordERi@Base 2.8.4 + _ZNK13QsciScintilla8edgeModeEv@Base 2.8.4 + _ZNK13QsciScintilla8tabWidthEv@Base 2.8.4 + _ZNK13QsciScintilla8wrapModeEv@Base 2.8.4 + _ZNK13QsciScintilla9edgeColorEv@Base 2.8.4 + _ZNK13ScintillaBase22AutoCompleteGetCurrentEv@Base 2.8.4 + _ZNK13ScintillaBase26AutoCompleteGetCurrentTextEPc@Base 2.8.4 + _ZNK13SelectionText20LengthWithTerminatorEv@Base 2.8.4 + _ZNK13SelectionText4DataEv@Base 2.8.4 + _ZNK13SelectionText6LengthEv@Base 2.8.4 + _ZNK14AutoLineLayoutcvP10LineLayoutEv@Base 2.8.4 + _ZNK14AutoLineLayoutptEv@Base 2.8.4 + _ZNK14DecorationList15GetCurrentValueEv@Base 2.8.4 + _ZNK14DecorationList19GetCurrentIndicatorEv@Base 2.8.4 + _ZNK14DecorationList8AllOnForEi@Base 2.8.4 + _ZNK14LineAnnotation14MultipleStylesEi@Base 2.8.4 + _ZNK14LineAnnotation4TextEi@Base 2.8.4 + _ZNK14LineAnnotation5LinesEi@Base 2.8.4 + _ZNK14LineAnnotation5StyleEi@Base 2.8.4 + _ZNK14LineAnnotation6LengthEi@Base 2.8.4 + _ZNK14LineAnnotation6StylesEi@Base 2.8.4 + _ZNK14QDesktopWidget17availableGeometryERK6QPoint@Base 2.8.4 + (optional=templinst)_ZNK14QScopedPointerI10QBrushData24QBrushDataPointerDeleterEptEv@Base 2.8.4 + (optional=templinst)_ZNK14QScopedPointerI11QObjectData21QScopedPointerDeleterIS0_EEptEv@Base 2.8.4 + _ZNK14QsciCommandSet4findEN11QsciCommand7CommandE@Base 2.8.4 + _ZNK14QsciCommandSet7boundToEi@Base 2.8.4 + _ZNK14QsciLexerBatch10metaObjectEv@Base 2.8.4 + _ZNK14QsciLexerBatch11defaultFontEi@Base 2.8.4 + _ZNK14QsciLexerBatch11descriptionEi@Base 2.8.4 + _ZNK14QsciLexerBatch12defaultColorEi@Base 2.8.4 + _ZNK14QsciLexerBatch12defaultPaperEi@Base 2.8.4 + _ZNK14QsciLexerBatch13caseSensitiveEv@Base 2.8.4 + _ZNK14QsciLexerBatch14defaultEolFillEi@Base 2.8.4 + _ZNK14QsciLexerBatch14wordCharactersEv@Base 2.8.4 + _ZNK14QsciLexerBatch5lexerEv@Base 2.8.4 + _ZNK14QsciLexerBatch8keywordsEi@Base 2.8.4 + _ZNK14QsciLexerBatch8languageEv@Base 2.8.4 + _ZNK14QsciLexerCMake10foldAtElseEv@Base 2.8.4 + _ZNK14QsciLexerCMake10metaObjectEv@Base 2.8.4 + _ZNK14QsciLexerCMake11defaultFontEi@Base 2.8.4 + _ZNK14QsciLexerCMake11descriptionEi@Base 2.8.4 + _ZNK14QsciLexerCMake12defaultColorEi@Base 2.8.4 + _ZNK14QsciLexerCMake12defaultPaperEi@Base 2.8.4 + _ZNK14QsciLexerCMake15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK14QsciLexerCMake5lexerEv@Base 2.8.4 + _ZNK14QsciLexerCMake8keywordsEi@Base 2.8.4 + _ZNK14QsciLexerCMake8languageEv@Base 2.8.4 + _ZNK14QsciLexerSpice10braceStyleEv@Base 2.8.4 + _ZNK14QsciLexerSpice10metaObjectEv@Base 2.8.4 + _ZNK14QsciLexerSpice11defaultFontEi@Base 2.8.4 + _ZNK14QsciLexerSpice11descriptionEi@Base 2.8.4 + _ZNK14QsciLexerSpice12defaultColorEi@Base 2.8.4 + _ZNK14QsciLexerSpice5lexerEv@Base 2.8.4 + _ZNK14QsciLexerSpice8keywordsEi@Base 2.8.4 + _ZNK14QsciLexerSpice8languageEv@Base 2.8.4 + _ZNK14QsciSciCallTip10metaObjectEv@Base 2.8.4 + _ZNK14QsciSciListBox10metaObjectEv@Base 2.8.4 + _ZNK14QsciStyledText4textEv@Base 2.8.4 + _ZNK14QsciStyledText5applyEP17QsciScintillaBase@Base 2.8.4 + _ZNK14QsciStyledText5styleEv@Base 2.8.4 + _ZNK14SelectionRange17ContainsCharacterEi@Base 2.8.4 + _ZNK14SelectionRange3EndEv@Base 2.8.4 + _ZNK14SelectionRange5EmptyEv@Base 2.8.4 + _ZNK14SelectionRange5StartEv@Base 2.8.4 + _ZNK14SelectionRange6LengthEv@Base 2.8.4 + _ZNK14SelectionRange8ContainsE17SelectionPosition@Base 2.8.4 + _ZNK14SelectionRange8ContainsEi@Base 2.8.4 + _ZNK14SelectionRange9IntersectE16SelectionSegment@Base 2.8.4 + _ZNK14SelectionRangeeqERKS_@Base 2.8.4 + _ZNK14SelectionRangeltERKS_@Base 2.8.4 + _ZNK14StyleAndColoureqERKS_@Base 2.9 + _ZNK14WordClassifier13IncludesStyleEi@Base 2.8.4 + _ZNK14WordClassifier4BaseEv@Base 2.8.4 + _ZNK14WordClassifier5StartEv@Base 2.8.4 + _ZNK14WordClassifier6LengthEv@Base 2.8.4 + _ZNK14WordClassifier8ValueForERKSs@Base 2.8.4 + _ZNK15LineLayoutCache8GetLevelEv@Base 2.8.4 + _ZNK15MarkerHandleSet6LengthEv@Base 2.8.4 + _ZNK15MarkerHandleSet8ContainsEi@Base 2.8.4 + _ZNK15MarkerHandleSet9MarkValueEv@Base 2.8.4 + _ZNK15QBasicAtomicInteqEi@Base 2.8.4 + _ZNK15QBasicAtomicIntneEi@Base 2.8.4 + _ZNK15QListWidgetItem4textEv@Base 2.8.4 + _ZNK15QTextCharFormat14underlineColorEv@Base 2.8.4 + _ZNK15QTextCharFormat14underlineStyleEv@Base 2.8.4 + (optional=templinst)_ZNK15QVarLengthArrayIcLi1024EE9constDataEv@Base 2.8.4 + _ZNK15QsciLexerCSharp10metaObjectEv@Base 2.8.4 + _ZNK15QsciLexerCSharp11defaultFontEi@Base 2.8.4 + _ZNK15QsciLexerCSharp11descriptionEi@Base 2.8.4 + _ZNK15QsciLexerCSharp12defaultColorEi@Base 2.8.4 + _ZNK15QsciLexerCSharp12defaultPaperEi@Base 2.8.4 + _ZNK15QsciLexerCSharp14defaultEolFillEi@Base 2.8.4 + _ZNK15QsciLexerCSharp8keywordsEi@Base 2.8.4 + _ZNK15QsciLexerCSharp8languageEv@Base 2.8.4 + _ZNK15QsciLexerCustom10metaObjectEv@Base 2.8.4 + _ZNK15QsciLexerCustom15styleBitsNeededEv@Base 2.8.4 + _ZNK15QsciLexerMatlab10metaObjectEv@Base 2.8.4 + _ZNK15QsciLexerMatlab11defaultFontEi@Base 2.8.4 + _ZNK15QsciLexerMatlab11descriptionEi@Base 2.8.4 + _ZNK15QsciLexerMatlab12defaultColorEi@Base 2.8.4 + _ZNK15QsciLexerMatlab5lexerEv@Base 2.8.4 + _ZNK15QsciLexerMatlab8keywordsEi@Base 2.8.4 + _ZNK15QsciLexerMatlab8languageEv@Base 2.8.4 + _ZNK15QsciLexerOctave10metaObjectEv@Base 2.8.4 + _ZNK15QsciLexerOctave5lexerEv@Base 2.8.4 + _ZNK15QsciLexerOctave8keywordsEi@Base 2.8.4 + _ZNK15QsciLexerOctave8languageEv@Base 2.8.4 + _ZNK15QsciLexerPascal10blockStartEPi@Base 2.8.4 + _ZNK15QsciLexerPascal10braceStyleEv@Base 2.8.4 + _ZNK15QsciLexerPascal10metaObjectEv@Base 2.8.4 + _ZNK15QsciLexerPascal11defaultFontEi@Base 2.8.4 + _ZNK15QsciLexerPascal11descriptionEi@Base 2.8.4 + _ZNK15QsciLexerPascal11foldCompactEv@Base 2.8.4 + _ZNK15QsciLexerPascal12defaultColorEi@Base 2.8.4 + _ZNK15QsciLexerPascal12defaultPaperEi@Base 2.8.4 + _ZNK15QsciLexerPascal12foldCommentsEv@Base 2.8.4 + _ZNK15QsciLexerPascal14defaultEolFillEi@Base 2.8.4 + _ZNK15QsciLexerPascal15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK15QsciLexerPascal16foldPreprocessorEv@Base 2.8.4 + _ZNK15QsciLexerPascal17blockStartKeywordEPi@Base 2.8.4 + _ZNK15QsciLexerPascal17smartHighlightingEv@Base 2.8.4 + _ZNK15QsciLexerPascal28autoCompletionWordSeparatorsEv@Base 2.8.4 + _ZNK15QsciLexerPascal5lexerEv@Base 2.8.4 + _ZNK15QsciLexerPascal8blockEndEPi@Base 2.8.4 + _ZNK15QsciLexerPascal8keywordsEi@Base 2.8.4 + _ZNK15QsciLexerPascal8languageEv@Base 2.8.4 + _ZNK15QsciLexerPython10blockStartEPi@Base 2.8.4 + _ZNK15QsciLexerPython10braceStyleEv@Base 2.8.4 + _ZNK15QsciLexerPython10metaObjectEv@Base 2.8.4 + _ZNK15QsciLexerPython11defaultFontEi@Base 2.8.4 + _ZNK15QsciLexerPython11descriptionEi@Base 2.8.4 + _ZNK15QsciLexerPython12defaultColorEi@Base 2.8.4 + _ZNK15QsciLexerPython12defaultPaperEi@Base 2.8.4 + _ZNK15QsciLexerPython13blockLookbackEv@Base 2.8.4 + _ZNK15QsciLexerPython14defaultEolFillEi@Base 2.8.4 + _ZNK15QsciLexerPython15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK15QsciLexerPython20indentationGuideViewEv@Base 2.8.4 + _ZNK15QsciLexerPython28autoCompletionWordSeparatorsEv@Base 2.8.4 + _ZNK15QsciLexerPython5lexerEv@Base 2.8.4 + _ZNK15QsciLexerPython8keywordsEi@Base 2.8.4 + _ZNK15QsciLexerPython8languageEv@Base 2.8.4 + _ZNK15QsciScintillaQt10metaObjectEv@Base 2.9 + _ZNK15QsciScintillaQt13mimeSelectionERK13SelectionText@Base 2.8.4 + _ZNK16ContractionState10GetVisibleEi@Base 2.8.4 + _ZNK16ContractionState10LinesInDocEv@Base 2.8.4 + _ZNK16ContractionState11GetExpandedEi@Base 2.8.4 + _ZNK16ContractionState11HiddenLinesEv@Base 2.8.4 + _ZNK16ContractionState14ContractedNextEi@Base 2.8.4 + _ZNK16ContractionState14DisplayFromDocEi@Base 2.8.4 + _ZNK16ContractionState14DocFromDisplayEi@Base 2.8.4 + _ZNK16ContractionState14LinesDisplayedEv@Base 2.8.4 + _ZNK16ContractionState18DisplayLastFromDocEi@Base 2.9 + _ZNK16ContractionState5CheckEv@Base 2.8.4 + _ZNK16ContractionState8OneToOneEv@Base 2.8.4 + _ZNK16ContractionState9GetHeightEi@Base 2.8.4 + _ZNK16QsciAPIsPrepared8apiWordsEiRK11QStringListb@Base 2.8.4 + _ZNK16QsciAbstractAPIs10metaObjectEv@Base 2.8.4 + _ZNK16QsciAbstractAPIs5lexerEv@Base 2.8.4 + _ZNK16QsciLexerFortran10metaObjectEv@Base 2.8.4 + _ZNK16QsciLexerFortran5lexerEv@Base 2.8.4 + _ZNK16QsciLexerFortran8keywordsEi@Base 2.8.4 + _ZNK16QsciLexerFortran8languageEv@Base 2.8.4 + _ZNK16QsciLexerVerilog10braceStyleEv@Base 2.8.4 + _ZNK16QsciLexerVerilog10metaObjectEv@Base 2.8.4 + _ZNK16QsciLexerVerilog11defaultFontEi@Base 2.8.4 + _ZNK16QsciLexerVerilog11descriptionEi@Base 2.8.4 + _ZNK16QsciLexerVerilog12defaultColorEi@Base 2.8.4 + _ZNK16QsciLexerVerilog12defaultPaperEi@Base 2.8.4 + _ZNK16QsciLexerVerilog14defaultEolFillEi@Base 2.8.4 + _ZNK16QsciLexerVerilog14wordCharactersEv@Base 2.8.4 + _ZNK16QsciLexerVerilog15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK16QsciLexerVerilog5lexerEv@Base 2.8.4 + _ZNK16QsciLexerVerilog8keywordsEi@Base 2.8.4 + _ZNK16QsciLexerVerilog8languageEv@Base 2.8.4 + _ZNK16SelectionSegment5EmptyEv@Base 2.8.4 + _ZNK17FontSpecificationeqERKS_@Base 2.8.4 + _ZNK17FontSpecificationltERKS_@Base 2.8.4 + _ZNK17LexerVisualProlog7VersionEv@Base 2.8.4 + _ZNK17QContextMenuEvent7globalXEv@Base 2.8.4 + _ZNK17QContextMenuEvent7globalYEv@Base 2.8.4 + _ZNK17QContextMenuEvent9globalPosEv@Base 2.8.4 + _ZNK17QInputMethodEvent10attributesEv@Base 2.8.4 + _ZNK17QInputMethodEvent12commitStringEv@Base 2.8.4 + _ZNK17QInputMethodEvent13preeditStringEv@Base 2.8.4 + _ZNK17QInputMethodEvent16replacementStartEv@Base 2.8.4 + _ZNK17QInputMethodEvent17replacementLengthEv@Base 2.8.4 + _ZNK17QsciLexerMakefile10metaObjectEv@Base 2.8.4 + _ZNK17QsciLexerMakefile11defaultFontEi@Base 2.8.4 + _ZNK17QsciLexerMakefile11descriptionEi@Base 2.8.4 + _ZNK17QsciLexerMakefile12defaultColorEi@Base 2.8.4 + _ZNK17QsciLexerMakefile12defaultPaperEi@Base 2.8.4 + _ZNK17QsciLexerMakefile14defaultEolFillEi@Base 2.8.4 + _ZNK17QsciLexerMakefile14wordCharactersEv@Base 2.8.4 + _ZNK17QsciLexerMakefile5lexerEv@Base 2.8.4 + _ZNK17QsciLexerMakefile8languageEv@Base 2.8.4 + _ZNK17QsciScintillaBase10metaObjectEv@Base 2.8.4 + _ZNK17QsciScintillaBase10toMimeDataERK10QByteArrayb@Base 2.8.4 + _ZNK17QsciScintillaBase11bytesAsTextEPKc@Base 2.8.4 + _ZNK17QsciScintillaBase11textAsBytesERK7QString@Base 2.8.4 + _ZNK17QsciScintillaBase12fromMimeDataEPK9QMimeDataRb@Base 2.8.4 + _ZNK17QsciScintillaBase13SendScintillaEjPKc@Base 2.8.4 + _ZNK17QsciScintillaBase13SendScintillaEjPKcS1_@Base 2.8.4 + _ZNK17QsciScintillaBase13SendScintillaEjRK6QColor@Base 2.8.4 + _ZNK17QsciScintillaBase13SendScintillaEji@Base 2.8.4 + _ZNK17QsciScintillaBase13SendScintillaEjl@Base 2.8.4 + _ZNK17QsciScintillaBase13SendScintillaEjllPc@Base 2.8.4 + _ZNK17QsciScintillaBase13SendScintillaEjmP8QPainterRK5QRectll@Base 2.8.4 + _ZNK17QsciScintillaBase13SendScintillaEjmPKc@Base 2.8.4 + _ZNK17QsciScintillaBase13SendScintillaEjmPv@Base 2.8.4 + _ZNK17QsciScintillaBase13SendScintillaEjmRK6QColor@Base 2.8.4 + _ZNK17QsciScintillaBase13SendScintillaEjmRK6QImage@Base 2.8.4 + _ZNK17QsciScintillaBase13SendScintillaEjmRK7QPixmap@Base 2.8.4 + _ZNK17QsciScintillaBase13SendScintillaEjml@Base 2.8.4 + _ZNK17QsciScintillaBase16inputMethodQueryEN2Qt16InputMethodQueryE@Base 2.8.4 + _ZNK17QsciScintillaBase21canInsertFromMimeDataEPK9QMimeData@Base 2.8.4 + _ZNK17QsciScintillaBase22SendScintillaPtrResultEj@Base 2.8.4 + _ZNK17SelectionPosition12VirtualSpaceEv@Base 2.8.4 + _ZNK17SelectionPosition7IsValidEv@Base 2.8.4 + _ZNK17SelectionPosition8PositionEv@Base 2.8.4 + _ZNK17SelectionPositioneqERKS_@Base 2.8.4 + _ZNK17SelectionPositiongeERKS_@Base 2.8.4 + _ZNK17SelectionPositiongtERKS_@Base 2.8.4 + _ZNK17SelectionPositionleERKS_@Base 2.8.4 + _ZNK17SelectionPositionltERKS_@Base 2.8.4 + _ZNK18HighlightDelimiter12NeedsDrawingEi@Base 2.8.4 + _ZNK18HighlightDelimiter17IsBodyOfFoldBlockEi@Base 2.8.4 + _ZNK18HighlightDelimiter17IsHeadOfFoldBlockEi@Base 2.8.4 + _ZNK18HighlightDelimiter17IsTailOfFoldBlockEi@Base 2.8.4 + _ZNK18HighlightDelimiter22IsFoldBlockHighlightedEi@Base 2.8.4 + _ZNK18PositionCacheEntry8RetrieveEjPKcjPf@Base 2.8.4 + _ZNK18PositionCacheEntry9NewerThanERKS_@Base 2.8.4 + _ZNK18QsciLexerFortran7710braceStyleEv@Base 2.8.4 + _ZNK18QsciLexerFortran7710metaObjectEv@Base 2.8.4 + _ZNK18QsciLexerFortran7711defaultFontEi@Base 2.8.4 + _ZNK18QsciLexerFortran7711descriptionEi@Base 2.8.4 + _ZNK18QsciLexerFortran7711foldCompactEv@Base 2.8.4 + _ZNK18QsciLexerFortran7712defaultColorEi@Base 2.8.4 + _ZNK18QsciLexerFortran7712defaultPaperEi@Base 2.8.4 + _ZNK18QsciLexerFortran7714defaultEolFillEi@Base 2.8.4 + _ZNK18QsciLexerFortran7715writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK18QsciLexerFortran775lexerEv@Base 2.8.4 + _ZNK18QsciLexerFortran778keywordsEi@Base 2.8.4 + _ZNK18QsciLexerFortran778languageEv@Base 2.8.4 + _ZNK19QsciLexerJavaScript10metaObjectEv@Base 2.8.4 + _ZNK19QsciLexerJavaScript11defaultFontEi@Base 2.8.4 + _ZNK19QsciLexerJavaScript11descriptionEi@Base 2.8.4 + _ZNK19QsciLexerJavaScript12defaultColorEi@Base 2.8.4 + _ZNK19QsciLexerJavaScript12defaultPaperEi@Base 2.8.4 + _ZNK19QsciLexerJavaScript14defaultEolFillEi@Base 2.8.4 + _ZNK19QsciLexerJavaScript8keywordsEi@Base 2.8.4 + _ZNK19QsciLexerJavaScript8languageEv@Base 2.8.4 + _ZNK19QsciLexerPostScript10braceStyleEv@Base 2.8.4 + _ZNK19QsciLexerPostScript10foldAtElseEv@Base 2.8.4 + _ZNK19QsciLexerPostScript10metaObjectEv@Base 2.8.4 + _ZNK19QsciLexerPostScript11defaultFontEi@Base 2.8.4 + _ZNK19QsciLexerPostScript11descriptionEi@Base 2.8.4 + _ZNK19QsciLexerPostScript11foldCompactEv@Base 2.8.4 + _ZNK19QsciLexerPostScript12defaultColorEi@Base 2.8.4 + _ZNK19QsciLexerPostScript12defaultPaperEi@Base 2.8.4 + _ZNK19QsciLexerPostScript15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK19QsciLexerPostScript5levelEv@Base 2.8.4 + _ZNK19QsciLexerPostScript5lexerEv@Base 2.8.4 + _ZNK19QsciLexerPostScript8keywordsEi@Base 2.8.4 + _ZNK19QsciLexerPostScript8languageEv@Base 2.8.4 + _ZNK19QsciLexerPostScript8tokenizeEv@Base 2.8.4 + _ZNK19QsciLexerProperties10metaObjectEv@Base 2.8.4 + _ZNK19QsciLexerProperties11defaultFontEi@Base 2.8.4 + _ZNK19QsciLexerProperties11descriptionEi@Base 2.8.4 + _ZNK19QsciLexerProperties12defaultColorEi@Base 2.8.4 + _ZNK19QsciLexerProperties12defaultPaperEi@Base 2.8.4 + _ZNK19QsciLexerProperties14defaultEolFillEi@Base 2.8.4 + _ZNK19QsciLexerProperties14wordCharactersEv@Base 2.8.4 + _ZNK19QsciLexerProperties15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK19QsciLexerProperties5lexerEv@Base 2.8.4 + _ZNK19QsciLexerProperties8languageEv@Base 2.8.4 + _ZNK21QsciLexerCoffeeScript10blockStartEPi@Base 2.8.4 + _ZNK21QsciLexerCoffeeScript10braceStyleEv@Base 2.8.4 + _ZNK21QsciLexerCoffeeScript10metaObjectEv@Base 2.8.4 + _ZNK21QsciLexerCoffeeScript11defaultFontEi@Base 2.8.4 + _ZNK21QsciLexerCoffeeScript11descriptionEi@Base 2.8.4 + _ZNK21QsciLexerCoffeeScript12defaultColorEi@Base 2.8.4 + _ZNK21QsciLexerCoffeeScript12defaultPaperEi@Base 2.8.4 + _ZNK21QsciLexerCoffeeScript14defaultEolFillEi@Base 2.8.4 + _ZNK21QsciLexerCoffeeScript14wordCharactersEv@Base 2.8.4 + _ZNK21QsciLexerCoffeeScript15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK21QsciLexerCoffeeScript17blockStartKeywordEPi@Base 2.8.4 + _ZNK21QsciLexerCoffeeScript28autoCompletionWordSeparatorsEv@Base 2.8.4 + _ZNK21QsciLexerCoffeeScript5lexerEv@Base 2.8.4 + _ZNK21QsciLexerCoffeeScript8blockEndEPi@Base 2.8.4 + _ZNK21QsciLexerCoffeeScript8keywordsEi@Base 2.8.4 + _ZNK21QsciLexerCoffeeScript8languageEv@Base 2.8.4 + (subst)_ZNK22SpecialRepresentations27RepresentationFromCharacterEPKc{size_t}@Base 2.9 + (subst)_ZNK22SpecialRepresentations8ContainsEPKc{size_t}@Base 2.8.4 + _ZNK3XPM6PixmapEv@Base 2.8.4 + _ZNK3XPM9GetHeightEv@Base 2.8.4 + (optional=templinst)_ZNK4QMapI7QString5QListI5QPairIjjEEE10lowerBoundERKS0_@Base 2.8.4 + (optional=templinst)_ZNK4QMapI7QString5QListI5QPairIjjEEE14const_iterator3keyEv@Base 2.8.4 + (optional=templinst)_ZNK4QMapI7QString5QListI5QPairIjjEEE14const_iterator5valueEv@Base 2.8.4 + _ZNK4QMapI7QString5QListI5QPairIjjEEE14const_iteratorcvPN8QMapData4NodeEEv@Base 2.8.4 + (optional=templinst)_ZNK4QMapI7QString5QListI5QPairIjjEEE14const_iteratorneERKS6_@Base 2.8.4 + (optional=templinst)_ZNK4QMapI7QString5QListI5QPairIjjEEE15mutableFindNodeEPPN8QMapData4NodeERKS0_@Base 2.8.4 + (optional=templinst)_ZNK4QMapI7QString5QListI5QPairIjjEEE3endEv@Base 2.8.4 + (optional=templinst)_ZNK4QMapI7QString5QListI5QPairIjjEEE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNK4QMapI7QString5QListI5QPairIjjEEE5beginEv@Base 2.8.4 + (optional=templinst)_ZNK4QMapI7QStringS0_E10lowerBoundERKS0_@Base 2.8.4 + (optional=templinst)_ZNK4QMapI7QStringS0_E14const_iterator3keyEv@Base 2.8.4 + (optional=templinst)_ZNK4QMapI7QStringS0_E14const_iterator5valueEv@Base 2.8.4 + _ZNK4QMapI7QStringS0_E14const_iteratorcvPN8QMapData4NodeEEv@Base 2.8.4 + (optional=templinst)_ZNK4QMapI7QStringS0_E14const_iteratorneERKS2_@Base 2.8.4 + (optional=templinst)_ZNK4QMapI7QStringS0_E15mutableFindNodeEPPN8QMapData4NodeERKS0_@Base 2.8.4 + (optional=templinst)_ZNK4QMapIi7QPixmapE14const_iterator5valueEv@Base 2.8.4 + (optional=templinst)_ZNK4QMapIi7QPixmapE14const_iteratoreqERKS2_@Base 2.8.4 + (optional=templinst)_ZNK4QMapIi7QPixmapE14const_iteratorneERKS2_@Base 2.8.4 + (optional=templinst)_ZNK4QMapIi7QPixmapE15mutableFindNodeEPPN8QMapData4NodeERKi@Base 2.8.4 + (optional=templinst)_ZNK4QMapIi7QPixmapE8findNodeERKi@Base 2.8.4 + (optional=templinst)_ZNK4QMapIiN9QsciLexer9StyleDataEE15mutableFindNodeEPPN8QMapData4NodeERKi@Base 2.8.4 + _ZNK5QBoolcvPKvEv@Base 2.8.4 + _ZNK5QFlagcviEv@Base 2.8.4 + _ZNK5QFont4boldEv@Base 2.8.4 + _ZNK5QFont6italicEv@Base 2.8.4 + (optional=templinst)_ZNK5QListI14QsciStyledTextE5countEv@Base 2.8.4 + (optional=templinst)_ZNK5QListI14QsciStyledTextEixEi@Base 2.8.4 + (optional=templinst)_ZNK5QListI5QPairIjjEE2atEi@Base 2.8.4 + (optional=templinst)_ZNK5QListI5QPairIjjEE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNK5QListI5QPairIjjEE5countEv@Base 2.8.4 + (optional=templinst)_ZNK5QListI5QPairIjjEE7isEmptyEv@Base 2.8.4 + (optional=templinst)_ZNK5QListI5QPairIjjEEixEi@Base 2.8.4 + (optional=templinst)_ZNK5QListI7QStringE10constBeginEv@Base 2.8.4 + (optional=templinst)_ZNK5QListI7QStringE14const_iteratordeEv@Base 2.8.4 + (optional=templinst)_ZNK5QListI7QStringE14const_iteratoreqERKS2_@Base 2.8.4 + (optional=templinst)_ZNK5QListI7QStringE14const_iteratormiES2_@Base 2.8.4 + (optional=templinst)_ZNK5QListI7QStringE14const_iteratorneERKS2_@Base 2.8.4 + (optional=templinst)_ZNK5QListI7QStringE14const_iteratorplEi@Base 2.8.4 + (optional=templinst)_ZNK5QListI7QStringE2atEi@Base 2.8.4 + (optional=templinst)_ZNK5QListI7QStringE3midEii@Base 2.8.4 + (optional=templinst)_ZNK5QListI7QStringE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNK5QListI7QStringE5countEv@Base 2.8.4 + (optional=templinst)_ZNK5QListI7QStringE5firstEv@Base 2.8.4 + (optional=templinst)_ZNK5QListI7QStringE7indexOfERKS0_i@Base 2.8.4 + (optional=templinst)_ZNK5QListI7QStringE7isEmptyEv@Base 2.8.4 + (optional=templinst)_ZNK5QListI7QStringE8constEndEv@Base 2.8.4 + (optional=templinst)_ZNK5QListI7QStringE8iteratordeEv@Base 2.8.4 + (optional=templinst)_ZNK5QListI7QStringEixEi@Base 2.8.4 + (optional=templinst)_ZNK5QListI9QFileInfoE14const_iteratordeEv@Base 2.8.4 + (optional=templinst)_ZNK5QListI9QFileInfoE14const_iteratorneERKS2_@Base 2.8.4 + (optional=templinst)_ZNK5QListI9QFileInfoE3endEv@Base 2.8.4 + (optional=templinst)_ZNK5QListI9QFileInfoE5beginEv@Base 2.8.4 + (optional=templinst)_ZNK5QListIN17QInputMethodEvent9AttributeEE14const_iteratordeEv@Base 2.8.4 + (optional=templinst)_ZNK5QListIN17QInputMethodEvent9AttributeEE14const_iteratorneERKS3_@Base 2.8.4 + (optional=templinst)_ZNK5QListIN17QInputMethodEvent9AttributeEE3endEv@Base 2.8.4 + (optional=templinst)_ZNK5QListIN17QInputMethodEvent9AttributeEE5beginEv@Base 2.8.4 + (optional=templinst)_ZNK5QListIN9QsciMacro5MacroEE14const_iteratordeEv@Base 2.8.4 + (optional=templinst)_ZNK5QListIN9QsciMacro5MacroEE14const_iteratorneERKS3_@Base 2.8.4 + (optional=templinst)_ZNK5QListIN9QsciMacro5MacroEE3endEv@Base 2.8.4 + (optional=templinst)_ZNK5QListIN9QsciMacro5MacroEE5beginEv@Base 2.8.4 + (optional=templinst)_ZNK5QListIN9QsciMacro5MacroEE7isEmptyEv@Base 2.8.4 + (optional=templinst)_ZNK5QListIN9QsciMacro5MacroEE8iteratordeEv@Base 2.8.4 + (optional=templinst)_ZNK5QListIP11QsciCommandE2atEi@Base 2.8.4 + (optional=templinst)_ZNK5QListIP11QsciCommandE5countEv@Base 2.8.4 + (optional=templinst)_ZNK5QListIP15QListWidgetItemE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNK5QListIP17QsciScintillaBaseE7indexOfERKS1_i@Base 2.8.4 + (optional=templinst)_ZNK5QListIP17QsciScintillaBaseE7isEmptyEv@Base 2.8.4 + (optional=templinst)_ZNK5QListIP17QsciScintillaBaseE8iteratordeEv@Base 2.8.4 + (optional=templinst)_ZNK5QListIiE5countEv@Base 2.8.4 + (optional=templinst)_ZNK5QListIiE7isEmptyEv@Base 2.8.4 + (optional=templinst)_ZNK5QListIiE8iteratordeEv@Base 2.8.4 + (optional=templinst)_ZNK5QListIiEixEi@Base 2.8.4 + _ZNK5QRect3topEv@Base 2.8.4 + _ZNK5QRect4leftEv@Base 2.8.4 + _ZNK5QRect5rightEv@Base 2.8.4 + _ZNK5QRect5widthEv@Base 2.8.4 + _ZNK5QRect6bottomEv@Base 2.8.4 + _ZNK5QRect6heightEv@Base 2.8.4 + _ZNK5QRect7topLeftEv@Base 2.8.4 + _ZNK5QSize5widthEv@Base 2.8.4 + _ZNK5QSize6heightEv@Base 2.8.4 + _ZNK5Range17ContainsCharacterEi@Base 2.8.4 + _ZNK5Range4LastEv@Base 2.9 + _ZNK5Range5FirstEv@Base 2.9 + _ZNK5Range5ValidEv@Base 2.8.4 + _ZNK5RangeeqERKS_@Base 2.9 + _ZNK5Style11IsProtectedEv@Base 2.8.4 + (optional=templinst)_ZNK5qLessI7QStringEclERKS0_S3_@Base 2.8.4 + _ZNK6Editor12MaxScrollPosEv@Base 2.9 + _ZNK6Editor13IsUnicodeModeEv@Base 2.8.4 + _ZNK6Editor13LinesOnScreenEv@Base 2.9 + _ZNK6Editor13LinesToScrollEv@Base 2.9 + _ZNK6Editor13TopLineOfMainEv@Base 2.8.4 + _ZNK6Editor13ValidCodePageEi@Base 2.8.4 + _ZNK6Editor14SelectionEmptyEv@Base 2.8.4 + _ZNK6Editor15CurrentPositionEv@Base 2.8.4 + _ZNK6Editor15GetHotSpotRangeEv@Base 2.9 + _ZNK6Editor15GetMarginCursorE5Point@Base 2.8.4 + _ZNK6Editor16GetTextRectangleEv@Base 2.9 + _ZNK6Editor16LineFromLocationE5Point@Base 2.8.4 + _ZNK6Editor16PointInSelMarginE5Point@Base 2.9 + _ZNK6Editor16UserVirtualSpaceEv@Base 2.8.4 + _ZNK6Editor17PositionAfterAreaE10PRectangle@Base 2.8.4 + _ZNK6Editor17PositionIsHotspotEi@Base 2.8.4 + _ZNK6Editor18ContractedFoldNextEi@Base 2.8.4 + _ZNK6Editor18GetClientRectangleEv@Base 2.9 + _ZNK6Editor21DocumentPointFromViewE5Point@Base 2.9 + _ZNK6Editor22GetVisibleOriginInMainEv@Base 2.9 + _ZNK6Editor22RangeContainsProtectedEii@Base 2.8.4 + _ZNK6Editor23MovePositionOutsideCharE17SelectionPositionib@Base 2.8.4 + _ZNK6Editor23MovePositionOutsideCharEiib@Base 2.8.4 + _ZNK6Editor25ClampPositionIntoDocumentE17SelectionPosition@Base 2.8.4 + _ZNK6Editor8CodePageEv@Base 2.8.4 + _ZNK6Editor8WrappingEv@Base 2.8.4 + _ZNK6Editor9RangeTextEii@Base 2.8.4 + _ZNK6KeyMap4FindEii@Base 2.8.4 + _ZNK6LexerD7VersionEv@Base 2.8.4 + _ZNK6QBrush5colorEv@Base 2.8.4 + _ZNK6QColor7isValidEv@Base 2.8.4 + _ZNK6QEvent10isAcceptedEv@Base 2.8.4 + _ZNK6QEvent4typeEv@Base 2.8.4 + (optional=templinst)_ZNK6QFlagsIN2Qt10DropActionEEorES1_@Base 2.8.4 + _ZNK6QFlagsIN2Qt10WindowTypeEEcviEv@Base 2.8.4 + (optional=templinst)_ZNK6QFlagsIN2Qt10WindowTypeEEorES1_@Base 2.8.4 + (optional=templinst)_ZNK6QFlagsIN2Qt15InputMethodHintEEorES1_@Base 2.8.4 + (optional=templinst)_ZNK6QFlagsIN2Qt16KeyboardModifierEEanES1_@Base 2.8.4 + _ZNK6QFlagsIN2Qt16KeyboardModifierEEcviEv@Base 2.8.4 + (optional=templinst)_ZNK6QFlagsIN2Qt9MatchFlagEEorES1_@Base 2.8.4 + (optional=templinst)_ZNK6QFlagsIN9QIODevice12OpenModeFlagEEorES1_@Base 2.8.4 + _ZNK6QPoint1xEv@Base 2.8.4 + _ZNK6QPoint1yEv@Base 2.8.4 + _ZNK6QTimer8isActiveEv@Base 2.8.4 + _ZNK6Window5GetIDEv@Base 2.8.4 + _ZNK6Window7CreatedEv@Base 2.8.4 + _ZNK7CallTip10NextTabPosEi@Base 2.8.4 + _ZNK7CallTip14IsTabCharacterEc@Base 2.8.4 + _ZNK7CallTip15UseStyleCallTipEv@Base 2.8.4 + _ZNK7QObject6parentEv@Base 2.8.4 + _ZNK7QObject7connectEPKS_PKcS3_N2Qt14ConnectionTypeE@Base 2.8.4 + _ZNK7QObject8inheritsEPKc@Base 2.8.4 + _ZNK7QPointF1xEv@Base 2.8.4 + _ZNK7QPointF1yEv@Base 2.8.4 + _ZNK7QString2atEi@Base 2.8.4 + _ZNK7QString3argEiiiRK5QChar@Base 2.8.4 + _ZNK7QString4sizeEv@Base 2.8.4 + _ZNK7QString6isNullEv@Base 2.8.4 + _ZNK7QString6lengthEv@Base 2.8.4 + _ZNK7QString7isEmptyEv@Base 2.8.4 + _ZNK7QStringneERKS_@Base 2.8.4 + (optional=templinst)_ZNK7QVectorI7QPointFE16alignOfTypedDataEv@Base 2.8.4 + (optional=templinst)_ZNK7QVectorI7QPointFE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNK7QVectorI7QPointFE9constDataEv@Base 2.8.4 + (optional=templinst)_ZNK7QVectorIlE16alignOfTypedDataEv@Base 2.8.4 + (optional=templinst)_ZNK7QVectorIlE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNK7QVectorIlE7isEmptyEv@Base 2.8.4 + _ZNK7QWidget12parentWidgetEv@Base 2.8.4 + _ZNK7QWidget13testAttributeEN2Qt15WidgetAttributeE@Base 2.8.4 + _ZNK7QWidget8geometryEv@Base 2.8.4 + _ZNK7QWidget9isEnabledEv@Base 2.8.4 + _ZNK8Accessor14GetPropertyIntEPKci@Base 2.8.4 + _ZNK8Document10CountUTF16Eii@Base 2.9 + _ZNK8Document10InGoodUTF8EiRiS0_@Base 2.8.4 + _ZNK8Document10IndentSizeEv@Base 2.8.4 + _ZNK8Document10IsReadOnlyEv@Base 2.8.4 + _ZNK8Document10LinesTotalEv@Base 2.8.4 + _ZNK8Document10MarkerNextEii@Base 2.8.4 + _ZNK8Document11GapPositionEv@Base 2.8.4 + _ZNK8Document11IsSavePointEv@Base 2.8.4 + _ZNK8Document11IsWhiteLineEi@Base 2.8.4 + _ZNK8Document11IsWordEndAtEi@Base 2.8.4 + _ZNK8Document11SafeSegmentEPKcii@Base 2.8.4 + _ZNK8Document12GetCharRangeEPcii@Base 2.8.4 + _ZNK8Document12GetEndStyledEv@Base 2.8.4 + _ZNK8Document12GetLineStateEi@Base 2.8.4 + _ZNK8Document12NextPositionEii@Base 2.8.4 + _ZNK8Document13GetFoldParentEi@Base 2.8.4 + _ZNK8Document13GetStyleClockEv@Base 2.8.4 + _ZNK8Document13GetStyleRangeEPhii@Base 2.8.4 + _ZNK8Document13HasCaseFolderEv@Base 2.8.4 + _ZNK8Document13IsWordStartAtEi@Base 2.8.4 + _ZNK8Document13NextCharacterERii@Base 2.8.4 + _ZNK8Document13WordCharClassEh@Base 2.8.4 + _ZNK8Document14CodePageFamilyEv@Base 2.8.4 + _ZNK8Document14IsDBCSLeadByteEc@Base 2.8.4 + _ZNK8Document14VCHomePositionEi@Base 2.8.4 + _ZNK8Document15AnnotationLinesEi@Base 2.8.4 + _ZNK8Document15CountCharactersEii@Base 2.9 + _ZNK8Document15LineEndPositionEi@Base 2.8.4 + _ZNK8Document16ExtractCharacterEi@Base 2.9 + _ZNK8Document16IsCollectingUndoEv@Base 2.8.4 + _ZNK8Document16LineFromPositionEi@Base 2.8.4 + _ZNK8Document16MarginStyledTextEi@Base 2.8.4 + _ZNK8Document17IsLineEndPositionEi@Base 2.8.4 + _ZNK8Document18MatchesWordOptionsEbbii@Base 2.8.4 + _ZNK8Document19GetRelativePositionEii@Base 2.8.4 + _ZNK8Document19IsLineStartPositionEi@Base 2.9 + _ZNK8Document19IsPositionInLineEndEi@Base 2.8.4 + _ZNK8Document19IsWordPartSeparatorEc@Base 2.8.4 + _ZNK8Document19WatcherWithUserDataeqERKS0_@Base 2.8.4 + _ZNK8Document20AnnotationStyledTextEi@Base 2.8.4 + _ZNK8Document20GetCharacterAndWidthEiPi@Base 2.8.4 + _ZNK8Document21GetLineEndTypesActiveEv@Base 2.8.4 + _ZNK8Document21GetLineIndentPositionEi@Base 2.8.4 + _ZNK8Document21LineEndTypesSupportedEv@Base 2.8.4 + _ZNK8Document22GetLineEndTypesAllowedEv@Base 2.8.4 + _ZNK8Document23MovePositionOutsideCharEiib@Base 2.9 + _ZNK8Document24GetRelativePositionUTF16Eii@Base 2.9 + _ZNK8Document25ClampPositionIntoDocumentEi@Base 2.8.4 + _ZNK8Document6CharAtEi@Base 2.8.4 + _ZNK8Document6IsCrLfEi@Base 2.8.4 + _ZNK8Document6LengthEv@Base 2.8.4 + _ZNK8Document6ParaUpEi@Base 2.8.4 + _ZNK8Document7CanRedoEv@Base 2.8.4 + _ZNK8Document7CanUndoEv@Base 2.8.4 + _ZNK8Document7LineEndEi@Base 2.8.4 + _ZNK8Document7StyleAtEi@Base 2.8.4 + _ZNK8Document7VersionEv@Base 2.8.4 + _ZNK8Document8CodePageEv@Base 2.8.4 + _ZNK8Document8GetLevelEi@Base 2.8.4 + _ZNK8Document8IsWordAtEii@Base 2.8.4 + _ZNK8Document8ParaDownEi@Base 2.8.4 + _ZNK8Document9LineStartEi@Base 2.8.4 + _ZNK8EditView10DrawCaretsEP7SurfaceRK9EditModelRK9ViewStylePK10LineLayoutii10PRectanglei@Base 2.9 + _ZNK8EditView12LinesOverlapEv@Base 2.9 + _ZNK8EditView14DrawBackgroundEP7SurfaceRK9EditModelRK9ViewStylePK10LineLayout10PRectangle5Rangeiii14ColourOptional@Base 2.9 + _ZNK8EditView14GetNextTabstopEii@Base 2.9 + _ZNK8EditView14NextTabstopPosEiff@Base 2.9 + _ZNK8LexState10PropGetIntEPKci@Base 2.8.4 + _ZNK8LexState15PropGetExpandedEPKcPc@Base 2.8.4 + _ZNK8LexState7GetNameEv@Base 2.8.4 + _ZNK8LexState7PropGetEPKc@Base 2.8.4 + _ZNK8LexerAsm7VersionEv@Base 2.8.4 + _ZNK8LexerCPP11SymbolValue7IsMacroEv@Base 2.9 + _ZNK8LexerCPP7VersionEv@Base 2.8.4 + _ZNK8LexerCPP8TokenizeERKSs@Base 2.9 + _ZNK8LexerSQL7VersionEv@Base 2.8.4 + _ZNK8QCharRef7isPrintEv@Base 2.8.4 + _ZNK8QCharRefcv5QCharEv@Base 2.8.4 + _ZNK8QPalette15highlightedTextEv@Base 2.8.4 + _ZNK8QPalette4baseEv@Base 2.8.4 + _ZNK8QPalette4textEv@Base 2.8.4 + _ZNK8QPalette5brushENS_9ColorRoleE@Base 2.8.4 + _ZNK8QPalette5colorENS_10ColorGroupENS_9ColorRoleE@Base 2.8.4 + _ZNK8QPalette9highlightEv@Base 2.8.4 + (optional=templinst)_ZNK8QPointerI9QsciLexerE6isNullEv@Base 2.8.4 + _ZNK8QPointerI9QsciLexerEcvPS0_Ev@Base 2.8.4 + (optional=templinst)_ZNK8QPointerI9QsciLexerEptEv@Base 2.8.4 + (optional=templinst)_ZNK8QVariant5valueI11QTextFormatEET_v@Base 2.8.4 + _ZNK8QsciAPIs10isPreparedERK7QString@Base 2.8.4 + _ZNK8QsciAPIs10metaObjectEv@Base 2.8.4 + _ZNK8QsciAPIs11wordIndexOfERK7QString@Base 2.8.4 + _ZNK8QsciAPIs12savePreparedERK7QString@Base 2.8.4 + _ZNK8QsciAPIs17installedAPIFilesEv@Base 2.8.4 + _ZNK8QsciAPIs19defaultPreparedNameEv@Base 2.8.4 + _ZNK8QsciAPIs8prepNameERK7QStringb@Base 2.8.4 + _ZNK8RESearch7iswordcEh@Base 2.8.4 + _ZNK8VarChain8containsEPKc@Base 2.8.4 + _ZNK8WordList17InListAbbreviatedEPKcc@Base 2.8.4 + _ZNK8WordList6InListEPKc@Base 2.8.4 + _ZNK8WordList6LengthEv@Base 2.8.4 + _ZNK8WordList6WordAtEi@Base 2.8.4 + _ZNK8WordListcvbEv@Base 2.8.4 + _ZNK8WordListneERKS_@Base 2.8.4 + _ZNK9Indicator17OverridesTextForeEv@Base 2.9 + _ZNK9Indicator4DrawEP7SurfaceRK10PRectangleS4_NS_9DrawStateEi@Base 2.9 + _ZNK9Indicator5FlagsEv@Base 2.9 + _ZNK9Indicator9IsDynamicEv@Base 2.9 + _ZNK9LexerBase7VersionEv@Base 2.8.4 + _ZNK9LexerDMIS7VersionEv@Base 2.9 + _ZNK9LexerPerl7VersionEv@Base 2.8.4 + _ZNK9LexerRust7VersionEv@Base 2.8.4 + _ZNK9LineState15GetMaxLineStateEv@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI10OptionsAsmE13PropertyNamesEv@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI10OptionsAsmE20DescribeWordListSetsEv@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI10OptionsAsmE6Option3SetEPS0_PKc@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI10OptionsSQLE13PropertyNamesEv@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI10OptionsSQLE20DescribeWordListSetsEv@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI10OptionsSQLE6Option3SetEPS0_PKc@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI11OptionsPerlE13PropertyNamesEv@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI11OptionsPerlE20DescribeWordListSetsEv@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI11OptionsPerlE6Option3SetEPS0_PKc@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI11OptionsRustE13PropertyNamesEv@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI11OptionsRustE20DescribeWordListSetsEv@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI11OptionsRustE6Option3SetEPS0_PKc@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI12OptionsBasicE13PropertyNamesEv@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI12OptionsBasicE20DescribeWordListSetsEv@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI12OptionsBasicE6Option3SetEPS0_PKc@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI14OptionsHaskellE13PropertyNamesEv@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI14OptionsHaskellE20DescribeWordListSetsEv@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI14OptionsHaskellE6Option3SetEPS0_PKc@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI15OptionsRegistryE13PropertyNamesEv@Base 2.9 + (optional=templinst)_ZNK9OptionSetI15OptionsRegistryE20DescribeWordListSetsEv@Base 2.9 + (optional=templinst)_ZNK9OptionSetI15OptionsRegistryE6Option3SetEPS0_PKc@Base 2.9 + (optional=templinst)_ZNK9OptionSetI19OptionsVisualPrologE13PropertyNamesEv@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI19OptionsVisualPrologE20DescribeWordListSetsEv@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI19OptionsVisualPrologE6Option3SetEPS0_PKc@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI8OptionsDE13PropertyNamesEv@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI8OptionsDE20DescribeWordListSetsEv@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI8OptionsDE6Option3SetEPS0_PKc@Base 2.8.4 + _ZNK9QKeyEvent3keyEv@Base 2.8.4 + _ZNK9QKeyEvent4textEv@Base 2.8.4 + _ZNK9QListData2atEi@Base 2.8.4 + _ZNK9QListData3endEv@Base 2.8.4 + _ZNK9QListData4sizeEv@Base 2.8.4 + _ZNK9QListData5beginEv@Base 2.8.4 + _ZNK9QListData7isEmptyEv@Base 2.8.4 + _ZNK9QTextLine9cursorToXEiNS_4EdgeE@Base 2.8.4 + _ZNK9QsciLexer10blockStartEPi@Base 2.8.4 + _ZNK9QsciLexer10braceStyleEv@Base 2.8.4 + _ZNK9QsciLexer10metaObjectEv@Base 2.8.4 + _ZNK9QsciLexer11defaultFontEi@Base 2.8.4 + _ZNK9QsciLexer11defaultFontEv@Base 2.8.4 + _ZNK9QsciLexer12defaultColorEi@Base 2.8.4 + _ZNK9QsciLexer12defaultColorEv@Base 2.8.4 + _ZNK9QsciLexer12defaultPaperEi@Base 2.8.4 + _ZNK9QsciLexer12defaultPaperEv@Base 2.8.4 + _ZNK9QsciLexer12defaultStyleEv@Base 2.8.4 + _ZNK9QsciLexer13blockLookbackEv@Base 2.8.4 + _ZNK9QsciLexer13caseSensitiveEv@Base 2.8.4 + _ZNK9QsciLexer13writeSettingsER9QSettingsPKc@Base 2.8.4 + _ZNK9QsciLexer14defaultEolFillEi@Base 2.8.4 + _ZNK9QsciLexer14wordCharactersEv@Base 2.8.4 + _ZNK9QsciLexer15styleBitsNeededEv@Base 2.8.4 + _ZNK9QsciLexer15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK9QsciLexer16setStyleDefaultsEv@Base 2.8.4 + _ZNK9QsciLexer17blockStartKeywordEPi@Base 2.8.4 + _ZNK9QsciLexer20indentationGuideViewEv@Base 2.8.4 + _ZNK9QsciLexer21autoCompletionFillupsEv@Base 2.8.4 + _ZNK9QsciLexer28autoCompletionWordSeparatorsEv@Base 2.8.4 + _ZNK9QsciLexer4apisEv@Base 2.8.4 + _ZNK9QsciLexer4fontEi@Base 2.8.4 + _ZNK9QsciLexer5colorEi@Base 2.8.4 + _ZNK9QsciLexer5lexerEv@Base 2.8.4 + _ZNK9QsciLexer5paperEi@Base 2.8.4 + _ZNK9QsciLexer6editorEv@Base 2.8.4 + _ZNK9QsciLexer7eolFillEi@Base 2.8.4 + _ZNK9QsciLexer7lexerIdEv@Base 2.8.4 + _ZNK9QsciLexer8blockEndEPi@Base 2.8.4 + _ZNK9QsciLexer8keywordsEi@Base 2.8.4 + _ZNK9QsciLexer9styleDataEi@Base 2.8.4 + _ZNK9QsciMacro10metaObjectEv@Base 2.8.4 + _ZNK9QsciMacro4saveEv@Base 2.8.4 + _ZNK9QsciStyle5applyEP17QsciScintillaBase@Base 2.8.4 + _ZNK9QsciStyle5styleEv@Base 2.8.4 + _ZNK9RGBAImage14GetScaledWidthEv@Base 2.8.4 + _ZNK9RGBAImage15GetScaledHeightEv@Base 2.8.4 + _ZNK9RGBAImage6PixelsEv@Base 2.8.4 + _ZNK9RGBAImage8GetWidthEv@Base 2.8.4 + _ZNK9RGBAImage9GetHeightEv@Base 2.8.4 + _ZNK9RunStyles14FindNextChangeEii@Base 2.8.4 + _ZNK9RunStyles15RunFromPositionEi@Base 2.8.4 + _ZNK9RunStyles4FindEii@Base 2.8.4 + _ZNK9RunStyles4RunsEv@Base 2.8.4 + _ZNK9RunStyles5CheckEv@Base 2.8.4 + _ZNK9RunStyles6EndRunEi@Base 2.8.4 + _ZNK9RunStyles6LengthEv@Base 2.8.4 + _ZNK9RunStyles7AllSameEv@Base 2.8.4 + _ZNK9RunStyles7ValueAtEi@Base 2.8.4 + _ZNK9RunStyles8StartRunEi@Base 2.8.4 + _ZNK9RunStyles9AllSameAsEi@Base 2.8.4 + _ZNK9Selection10MainAnchorEv@Base 2.8.4 + _ZNK9Selection10RangesCopyEv@Base 2.8.4 + _ZNK9Selection11MoveExtendsEv@Base 2.8.4 + _ZNK9Selection13IsRectangularEv@Base 2.8.4 + _ZNK9Selection15VirtualSpaceForEi@Base 2.8.4 + _ZNK9Selection17InSelectionForEOLEi@Base 2.8.4 + _ZNK9Selection20CharacterInSelectionEi@Base 2.8.4 + _ZNK9Selection28LimitsForRectangularElseMainEv@Base 2.8.4 + _ZNK9Selection4LastEv@Base 2.8.4 + _ZNK9Selection4MainEv@Base 2.8.4 + _ZNK9Selection5CountEv@Base 2.8.4 + _ZNK9Selection5EmptyEv@Base 2.8.4 + (subst)_ZNK9Selection5RangeE{size_t}@Base 2.9 + _ZNK9Selection5StartEv@Base 2.9 + _ZNK9Selection6LengthEv@Base 2.8.4 + _ZNK9Selection6LimitsEv@Base 2.8.4 + _ZNK9Selection9MainCaretEv@Base 2.8.4 + _ZNK9Selection9RangeMainEv@Base 2.9 + _ZNK9SubStyles10ClassifierEi@Base 2.8.4 + _ZNK9SubStyles14BlockFromStyleEi@Base 2.8.4 + _ZNK9SubStyles18BlockFromBaseStyleEi@Base 2.8.4 + _ZNK9SubStyles9BaseStyleEi@Base 2.8.4 + _ZNK9UndoGroup6NeededEv@Base 2.8.4 + _ZNK9ViewStyle10BackgroundEibb@Base 2.9 + (subst)_ZNK9ViewStyle10ValidStyleE{size_t}@Base 2.8.4 + _ZNK9ViewStyle10WrapColourEv@Base 2.8.4 + _ZNK9ViewStyle16ProtectionActiveEv@Base 2.8.4 + _ZNK9ViewStyle19ExternalMarginWidthEv@Base 2.8.4 + _ZNK9ViewStyle24SelectionBackgroundDrawnEv@Base 2.9 + _ZNK9ViewStyle25WhitespaceBackgroundDrawnEv@Base 2.9 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorI13latexFoldSaveE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorI14SelectionRangeE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorI14WordClassifierE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorI18PositionCacheEntryE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorI5StyleE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorI9DrawPhaseE8max_sizeEv@Base 2.9 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorIN11SparseStateISsE5StateEE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorIN11SparseStateIjE5StateEE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorIN8Document19WatcherWithUserDataEE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorIP10LineLayoutE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorIP11LexerModuleE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorIP14SelectionRangeE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorIPcE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorISsE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIK12KeyModifiersjEEE8max_sizeEv@Base 2.9 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIK17FontSpecificationP12FontRealisedEEE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEEE8max_sizeEv@Base 2.9 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEEE8max_sizeEv@Base 2.9 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEE8max_sizeEv@Base 2.9 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsSsEEE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsiEEE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKi14RepresentationEEE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKiiEEE8max_sizeEv@Base 2.9 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorIcE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorIiE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIP13latexFoldSaveSt6vectorIS1_SaIS1_EEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS1_SaIS1_EEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS1_SaIS1_EEEdeEv@Base 2.8.4 + (optional=templinst|subst)_ZNK9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS1_SaIS1_EEEmiE{ssize_t}@Base 2.8.4 + (optional=templinst|subst)_ZNK9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS1_SaIS1_EEEplE{ssize_t}@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIP14WordClassifierSt6vectorIS1_SaIS1_EEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIP14WordClassifierSt6vectorIS1_SaIS1_EEEdeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIP14WordClassifierSt6vectorIS1_SaIS1_EEEptEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIP18PositionCacheEntrySt6vectorIS1_SaIS1_EEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIP5StyleSt6vectorIS1_SaIS1_EEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIP9DrawPhaseSt6vectorIS1_SaIS1_EEE4baseEv@Base 2.9 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIP9DrawPhaseSt6vectorIS1_SaIS1_EEEdeEv@Base 2.9 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPK14SelectionRangeSt6vectorIS1_SaIS1_EEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPK14SelectionRangeSt6vectorIS1_SaIS1_EEEdeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPK14WordClassifierSt6vectorIS1_SaIS1_EEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPK14WordClassifierSt6vectorIS1_SaIS1_EEEptEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPK18PositionCacheEntrySt6vectorIS1_SaIS1_EEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEdeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEptEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPKN11SparseStateIjE5StateESt6vectorIS3_SaIS3_EEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPKP10LineLayoutSt6vectorIS2_SaIS2_EEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPKPcSt6vectorIS1_SaIS1_EEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPKPcSt6vectorIS1_SaIS1_EEEdeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPKSsSt6vectorISsSaISsEEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPKcSsE4baseEv@Base 2.9 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPKcSsEdeEv@Base 2.9 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPKiSt6vectorIiSaIiEEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEdeEv@Base 2.8.4 + (optional=templinst|subst)_ZNK9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEmiE{ssize_t}@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEptEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS3_SaIS3_EEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS3_SaIS3_EEEdeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS3_SaIS3_EEEptEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPN8Document19WatcherWithUserDataESt6vectorIS2_SaIS2_EEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPN8Document19WatcherWithUserDataESt6vectorIS2_SaIS2_EEEdeEv@Base 2.8.4 + (optional=templinst|subst)_ZNK9__gnu_cxx17__normal_iteratorIPN8Document19WatcherWithUserDataESt6vectorIS2_SaIS2_EEEplE{ssize_t}@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPN8Document19WatcherWithUserDataESt6vectorIS2_SaIS2_EEEptEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPP10LineLayoutSt6vectorIS2_SaIS2_EEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPP11LexerModuleSt6vectorIS2_SaIS2_EEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPP11LexerModuleSt6vectorIS2_SaIS2_EEEdeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS2_SaIS2_EEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS2_SaIS2_EEEdeEv@Base 2.8.4 + (optional=templinst|subst)_ZNK9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS2_SaIS2_EEEmiE{ssize_t}@Base 2.8.4 + (optional=templinst|subst)_ZNK9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS2_SaIS2_EEEplE{ssize_t}@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPPcSt6vectorIS1_SaIS1_EEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPPcSt6vectorIS1_SaIS1_EEEdeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEdeEv@Base 2.8.4 + (optional=templinst|subst)_ZNK9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEmiE{ssize_t}@Base 2.9 + (optional=templinst|subst)_ZNK9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEplE{ssize_t}@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPcSsE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPcSsEdeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEdeEv@Base 2.8.4 + (optional=templinst|subst)_ZNK9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEmiE{ssize_t}@Base 2.8.4 + (optional=templinst|subst)_ZNK9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEplE{ssize_t}@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx5__ops14_Iter_less_valclINS_17__normal_iteratorIP14SelectionRangeSt6vectorIS4_SaIS4_EEEES4_EEbT_RT0_@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx5__ops14_Iter_less_valclINS_17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS6_SaIS6_EEEEKS6_EEbT_RT0_@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx5__ops14_Iter_less_valclINS_17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS6_SaIS6_EEEEKS6_EEbT_RT0_@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx5__ops14_Iter_less_valclINS_17__normal_iteratorIPiSt6vectorIiSaIiEEEEKiEEbT_RT0_@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx5__ops14_Iter_less_valclIPKiS3_EEbT_RT0_@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx5__ops14_Val_less_iterclI14SelectionRangeNS_17__normal_iteratorIPS3_St6vectorIS3_SaIS3_EEEEEEbRT_T0_@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx5__ops15_Iter_less_iterclINS_17__normal_iteratorIP14SelectionRangeSt6vectorIS4_SaIS4_EEEES9_EEbT_T0_@Base 2.8.4 + (optional=templinst)_ZNKSt10_Select1stISt4pairIK12KeyModifiersjEEclERKS3_@Base 2.9 + (optional=templinst)_ZNKSt10_Select1stISt4pairIK17FontSpecificationP12FontRealisedEEclERKS5_@Base 2.8.4 + (optional=templinst)_ZNKSt10_Select1stISt4pairIKSsN12LexerVerilog11SymbolValueEEEclERKS4_@Base 2.9 + (optional=templinst)_ZNKSt10_Select1stISt4pairIKSsN8LexerCPP11SymbolValueEEEclERKS4_@Base 2.9 + (optional=templinst)_ZNKSt10_Select1stISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEclERKS6_@Base 2.8.4 + (optional=templinst)_ZNKSt10_Select1stISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEclERKS6_@Base 2.8.4 + (optional=templinst)_ZNKSt10_Select1stISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEclERKS6_@Base 2.8.4 + (optional=templinst)_ZNKSt10_Select1stISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEclERKS6_@Base 2.8.4 + (optional=templinst)_ZNKSt10_Select1stISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEclERKS6_@Base 2.8.4 + (optional=templinst)_ZNKSt10_Select1stISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEclERKS6_@Base 2.8.4 + (optional=templinst)_ZNKSt10_Select1stISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEclERKS6_@Base 2.9 + (optional=templinst)_ZNKSt10_Select1stISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEclERKS6_@Base 2.8.4 + (optional=templinst)_ZNKSt10_Select1stISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEclERKS6_@Base 2.8.4 + (optional=templinst)_ZNKSt10_Select1stISt4pairIKSsSsEEclERKS2_@Base 2.8.4 + (optional=templinst)_ZNKSt10_Select1stISt4pairIKSsiEEclERKS2_@Base 2.8.4 + (optional=templinst)_ZNKSt10_Select1stISt4pairIKi14RepresentationEEclERKS3_@Base 2.8.4 + (optional=templinst)_ZNKSt10_Select1stISt4pairIKiiEEclERKS2_@Base 2.9 + (optional=templinst)_ZNKSt12_Vector_baseI13latexFoldSaveSaIS0_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt12_Vector_baseI14SelectionRangeSaIS0_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt12_Vector_baseI14WordClassifierSaIS0_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt12_Vector_baseI18PositionCacheEntrySaIS0_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt12_Vector_baseI5StyleSaIS0_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt12_Vector_baseI9DrawPhaseSaIS0_EE19_M_get_Tp_allocatorEv@Base 2.9 + (optional=templinst)_ZNKSt12_Vector_baseIN11SparseStateISsE5StateESaIS2_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt12_Vector_baseIN11SparseStateIjE5StateESaIS2_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt12_Vector_baseIN8Document19WatcherWithUserDataESaIS1_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt12_Vector_baseIP10LineLayoutSaIS1_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt12_Vector_baseIP11LexerModuleSaIS1_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt12_Vector_baseIP14SelectionRangeSaIS1_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt12_Vector_baseIPcSaIS0_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt12_Vector_baseISsSaISsEE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt12_Vector_baseIiSaIiEE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt13_Rb_tree_nodeISt4pairIK12KeyModifiersjEE9_M_valptrEv@Base 2.9 + (optional=templinst)_ZNKSt13_Rb_tree_nodeISt4pairIK17FontSpecificationP12FontRealisedEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNKSt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEE9_M_valptrEv@Base 2.9 + (optional=templinst)_ZNKSt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEE9_M_valptrEv@Base 2.9 + (optional=templinst)_ZNKSt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNKSt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNKSt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNKSt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNKSt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNKSt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNKSt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEE9_M_valptrEv@Base 2.9 + (optional=templinst)_ZNKSt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNKSt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNKSt13_Rb_tree_nodeISt4pairIKSsSsEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNKSt13_Rb_tree_nodeISt4pairIKSsiEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNKSt13_Rb_tree_nodeISt4pairIKi14RepresentationEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNKSt13_Rb_tree_nodeISt4pairIKiiEE9_M_valptrEv@Base 2.9 + (optional=templinst)_ZNKSt16reverse_iteratorIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNKSt16reverse_iteratorIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEEEdeEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIK12KeyModifiersjEEdeEv@Base 2.9 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIK12KeyModifiersjEEeqERKS4_@Base 2.9 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIK17FontSpecificationP12FontRealisedEEdeEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIK17FontSpecificationP12FontRealisedEEeqERKS6_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIK17FontSpecificationP12FontRealisedEEneERKS6_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIK17FontSpecificationP12FontRealisedEEptEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN12LexerVerilog11SymbolValueEEEdeEv@Base 2.9 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN12LexerVerilog11SymbolValueEEEeqERKS5_@Base 2.9 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN12LexerVerilog11SymbolValueEEEneERKS5_@Base 2.9 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN12LexerVerilog11SymbolValueEEEptEv@Base 2.9 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN8LexerCPP11SymbolValueEEEdeEv@Base 2.9 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN8LexerCPP11SymbolValueEEEeqERKS5_@Base 2.9 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN8LexerCPP11SymbolValueEEEneERKS5_@Base 2.9 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEdeEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEeqERKS7_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEneERKS7_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEptEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEdeEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEeqERKS7_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEneERKS7_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEptEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEdeEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEeqERKS7_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEneERKS7_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEptEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEdeEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEeqERKS7_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEneERKS7_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEptEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEdeEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEeqERKS7_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEneERKS7_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEptEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEdeEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEeqERKS7_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEneERKS7_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEptEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEdeEv@Base 2.9 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEeqERKS7_@Base 2.9 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEneERKS7_@Base 2.9 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEptEv@Base 2.9 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEeqERKS7_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEneERKS7_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEptEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEdeEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEeqERKS7_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEneERKS7_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEptEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsSsEEdeEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsSsEEeqERKS3_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsiEEdeEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsiEEeqERKS3_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKi14RepresentationEEdeEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKi14RepresentationEEeqERKS4_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKi14RepresentationEEneERKS4_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKiiEEdeEv@Base 2.9 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKiiEEeqERKS3_@Base 2.9 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKiiEEneERKS3_@Base 2.9 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKiiEEptEv@Base 2.9 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIK12KeyModifiersjEE13_M_const_castEv@Base 2.9 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIK12KeyModifiersjEEeqERKS4_@Base 2.9 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIK12KeyModifiersjEEptEv@Base 2.9 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIK17FontSpecificationP12FontRealisedEE13_M_const_castEv@Base 2.8.4 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIK17FontSpecificationP12FontRealisedEEneERKS6_@Base 2.8.4 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIK17FontSpecificationP12FontRealisedEEptEv@Base 2.8.4 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsN12LexerVerilog11SymbolValueEEE13_M_const_castEv@Base 2.9 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsN12LexerVerilog11SymbolValueEEEeqERKS5_@Base 2.9 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsN12LexerVerilog11SymbolValueEEEneERKS5_@Base 2.9 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsN8LexerCPP11SymbolValueEEE13_M_const_castEv@Base 2.9 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsN8LexerCPP11SymbolValueEEEeqERKS5_@Base 2.9 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsN8LexerCPP11SymbolValueEEEneERKS5_@Base 2.9 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsN8LexerCPP11SymbolValueEEEptEv@Base 2.9 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEE13_M_const_castEv@Base 2.8.4 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEE13_M_const_castEv@Base 2.8.4 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEE13_M_const_castEv@Base 2.8.4 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEE13_M_const_castEv@Base 2.8.4 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEE13_M_const_castEv@Base 2.8.4 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEE13_M_const_castEv@Base 2.8.4 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEE13_M_const_castEv@Base 2.9 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEE13_M_const_castEv@Base 2.8.4 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsSsEE13_M_const_castEv@Base 2.8.4 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsSsEEneERKS3_@Base 2.8.4 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsSsEEptEv@Base 2.8.4 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsiEE13_M_const_castEv@Base 2.8.4 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsiEEeqERKS3_@Base 2.8.4 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsiEEneERKS3_@Base 2.8.4 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsiEEptEv@Base 2.8.4 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKi14RepresentationEE13_M_const_castEv@Base 2.8.4 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKi14RepresentationEEeqERKS4_@Base 2.8.4 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKi14RepresentationEEneERKS4_@Base 2.8.4 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKi14RepresentationEEptEv@Base 2.9 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKiiEE13_M_const_castEv@Base 2.9 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKiiEEeqERKS3_@Base 2.9 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKiiEEneERKS3_@Base 2.9 + (optional=templinst)_ZNKSt3mapI12KeyModifiersjSt4lessIS0_ESaISt4pairIKS0_jEEE3endEv@Base 2.9 + (optional=templinst)_ZNKSt3mapI12KeyModifiersjSt4lessIS0_ESaISt4pairIKS0_jEEE4findERS4_@Base 2.9 + (optional=templinst)_ZNKSt3mapI12KeyModifiersjSt4lessIS0_ESaISt4pairIKS0_jEEE8key_compEv@Base 2.9 + (optional=templinst)_ZNKSt3mapI17FontSpecificationP12FontRealisedSt4lessIS0_ESaISt4pairIKS0_S2_EEE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt3mapISsN12LexerVerilog11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEE8key_compEv@Base 2.9 + (optional=templinst)_ZNKSt3mapISsN8LexerCPP11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEE3endEv@Base 2.9 + (optional=templinst)_ZNKSt3mapISsN8LexerCPP11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEE4findERS5_@Base 2.9 + (optional=templinst)_ZNKSt3mapISsN8LexerCPP11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEE8key_compEv@Base 2.9 + (optional=templinst)_ZNKSt3mapISsN9OptionSetI10OptionsAsmE6OptionESt4lessISsESaISt4pairIKSsS3_EEE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt3mapISsN9OptionSetI10OptionsSQLE6OptionESt4lessISsESaISt4pairIKSsS3_EEE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt3mapISsN9OptionSetI11OptionsPerlE6OptionESt4lessISsESaISt4pairIKSsS3_EEE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt3mapISsN9OptionSetI11OptionsRustE6OptionESt4lessISsESaISt4pairIKSsS3_EEE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt3mapISsN9OptionSetI12OptionsBasicE6OptionESt4lessISsESaISt4pairIKSsS3_EEE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt3mapISsN9OptionSetI14OptionsHaskellE6OptionESt4lessISsESaISt4pairIKSsS3_EEE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt3mapISsN9OptionSetI15OptionsRegistryE6OptionESt4lessISsESaISt4pairIKSsS3_EEE8key_compEv@Base 2.9 + (optional=templinst)_ZNKSt3mapISsN9OptionSetI8OptionsDE6OptionESt4lessISsESaISt4pairIKSsS3_EEE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt3mapISsSsSt4lessISsESaISt4pairIKSsSsEEE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt3mapISsiSt4lessISsESaISt4pairIKSsiEEE3endEv@Base 2.8.4 + (optional=templinst)_ZNKSt3mapISsiSt4lessISsESaISt4pairIKSsiEEE4findERS3_@Base 2.8.4 + (optional=templinst)_ZNKSt3mapISsiSt4lessISsESaISt4pairIKSsiEEE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt3mapIi14RepresentationSt4lessIiESaISt4pairIKiS0_EEE3endEv@Base 2.8.4 + (optional=templinst)_ZNKSt3mapIi14RepresentationSt4lessIiESaISt4pairIKiS0_EEE4findERS4_@Base 2.8.4 + (optional=templinst)_ZNKSt3mapIi14RepresentationSt4lessIiESaISt4pairIKiS0_EEE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt3mapIiiSt4lessIiESaISt4pairIKiiEEE8key_compEv@Base 2.9 + (optional=templinst)_ZNKSt4lessI12KeyModifiersEclERKS0_S3_@Base 2.9 + (optional=templinst)_ZNKSt4lessI17FontSpecificationEclERKS0_S3_@Base 2.8.4 + (optional=templinst)_ZNKSt4lessISsEclERKSsS2_@Base 2.8.4 + (optional=templinst)_ZNKSt4lessIiEclERKiS2_@Base 2.8.4 + (optional=templinst|subst)_ZNKSt6vectorI13latexFoldSaveSaIS0_EE12_M_check_lenE{size_t}PKc@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorI13latexFoldSaveSaIS0_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorI13latexFoldSaveSaIS0_EE8max_sizeEv@Base 2.8.4 + (optional=templinst|subst)_ZNKSt6vectorI14SelectionRangeSaIS0_EE12_M_check_lenE{size_t}PKc@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorI14SelectionRangeSaIS0_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorI14SelectionRangeSaIS0_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorI14SelectionRangeSaIS0_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorI14SelectionRangeSaIS0_EE5emptyEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorI14SelectionRangeSaIS0_EE8capacityEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorI14SelectionRangeSaIS0_EE8max_sizeEv@Base 2.8.4 + (optional=templinst|subst)_ZNKSt6vectorI14SelectionRangeSaIS0_EEixE{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZNKSt6vectorI14WordClassifierSaIS0_EE12_M_check_lenE{size_t}PKc@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorI14WordClassifierSaIS0_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorI14WordClassifierSaIS0_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorI14WordClassifierSaIS0_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorI14WordClassifierSaIS0_EE8max_sizeEv@Base 2.8.4 + (optional=templinst|subst)_ZNKSt6vectorI14WordClassifierSaIS0_EEixE{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZNKSt6vectorI18PositionCacheEntrySaIS0_EE12_M_check_lenE{size_t}PKc@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorI18PositionCacheEntrySaIS0_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorI18PositionCacheEntrySaIS0_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorI18PositionCacheEntrySaIS0_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorI18PositionCacheEntrySaIS0_EE5emptyEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorI18PositionCacheEntrySaIS0_EE8max_sizeEv@Base 2.8.4 + (optional=templinst|subst)_ZNKSt6vectorI5StyleSaIS0_EE12_M_check_lenE{size_t}PKc@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorI5StyleSaIS0_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorI5StyleSaIS0_EE8max_sizeEv@Base 2.8.4 + (optional=templinst|subst)_ZNKSt6vectorI5StyleSaIS0_EEixE{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZNKSt6vectorI9DrawPhaseSaIS0_EE12_M_check_lenE{size_t}PKc@Base 2.9 + (optional=templinst)_ZNKSt6vectorI9DrawPhaseSaIS0_EE4sizeEv@Base 2.9 + (optional=templinst)_ZNKSt6vectorI9DrawPhaseSaIS0_EE8max_sizeEv@Base 2.9 + (optional=templinst|subst)_ZNKSt6vectorIN11SparseStateISsE5StateESaIS2_EE12_M_check_lenE{size_t}PKc@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIN11SparseStateISsE5StateESaIS2_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIN11SparseStateISsE5StateESaIS2_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIN11SparseStateISsE5StateESaIS2_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIN11SparseStateISsE5StateESaIS2_EE5emptyEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIN11SparseStateISsE5StateESaIS2_EE8max_sizeEv@Base 2.8.4 + (optional=templinst|subst)_ZNKSt6vectorIN11SparseStateIjE5StateESaIS2_EE12_M_check_lenE{size_t}PKc@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIN11SparseStateIjE5StateESaIS2_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIN11SparseStateIjE5StateESaIS2_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIN11SparseStateIjE5StateESaIS2_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIN11SparseStateIjE5StateESaIS2_EE5emptyEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIN11SparseStateIjE5StateESaIS2_EE8max_sizeEv@Base 2.8.4 + (optional=templinst|subst)_ZNKSt6vectorIN8Document19WatcherWithUserDataESaIS1_EE12_M_check_lenE{size_t}PKc@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIN8Document19WatcherWithUserDataESaIS1_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIN8Document19WatcherWithUserDataESaIS1_EE8max_sizeEv@Base 2.8.4 + (optional=templinst|subst)_ZNKSt6vectorIP10LineLayoutSaIS1_EE12_M_check_lenE{size_t}PKc@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIP10LineLayoutSaIS1_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIP10LineLayoutSaIS1_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIP10LineLayoutSaIS1_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIP10LineLayoutSaIS1_EE5emptyEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIP10LineLayoutSaIS1_EE8max_sizeEv@Base 2.8.4 + (optional=templinst|subst)_ZNKSt6vectorIP11LexerModuleSaIS1_EE12_M_check_lenE{size_t}PKc@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIP11LexerModuleSaIS1_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIP11LexerModuleSaIS1_EE8max_sizeEv@Base 2.8.4 + (optional=templinst|subst)_ZNKSt6vectorIP14SelectionRangeSaIS1_EE12_M_check_lenE{size_t}PKc@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIP14SelectionRangeSaIS1_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIP14SelectionRangeSaIS1_EE8max_sizeEv@Base 2.8.4 + (optional=templinst|subst)_ZNKSt6vectorIPcSaIS0_EE12_M_check_lenE{size_t}PKc@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIPcSaIS0_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIPcSaIS0_EE8max_sizeEv@Base 2.8.4 + (optional=templinst|subst)_ZNKSt6vectorISsSaISsEE12_M_check_lenE{size_t}PKc@Base 2.8.4 + (optional=templinst|subst)_ZNKSt6vectorISsSaISsEE14_M_range_checkE{size_t}@Base 2.9 + (optional=templinst)_ZNKSt6vectorISsSaISsEE3endEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorISsSaISsEE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorISsSaISsEE5beginEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorISsSaISsEE5emptyEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorISsSaISsEE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIcSaIcEE4sizeEv@Base 2.8.4 + (optional=templinst|subst)_ZNKSt6vectorIiSaIiEE12_M_check_lenE{size_t}PKc@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIiSaIiEE3endEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIiSaIiEE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIiSaIiEE5beginEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIiSaIiEE5emptyEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIiSaIiEE8capacityEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIiSaIiEE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE13get_allocatorEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE14_M_lower_boundEPKSt13_Rb_tree_nodeIS3_ESD_RS2_@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE21_M_get_Node_allocatorEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE3endEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE4findERS2_@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE4sizeEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE6_M_endEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE8_M_beginEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE8key_compEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE13get_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE13get_allocatorEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE21_M_get_Node_allocatorEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE4sizeEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE7_M_rootEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE8_M_beginEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE8key_compEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE13get_allocatorEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE14_M_lower_boundEPKSt13_Rb_tree_nodeIS4_ESE_RS1_@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE21_M_get_Node_allocatorEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE3endEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE4findERS1_@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE4sizeEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE6_M_endEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE7_M_rootEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE8_M_beginEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE8key_compEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13get_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13get_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13get_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13get_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13get_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13get_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13get_allocatorEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE21_M_get_Node_allocatorEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE4sizeEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8key_compEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13get_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13get_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE13get_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE13get_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE14_M_lower_boundEPKSt13_Rb_tree_nodeIS2_ESC_RS1_@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE4findERS1_@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE6_M_endEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE7_M_rootEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE8_M_beginEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE13get_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE14_M_lower_boundEPKSt13_Rb_tree_nodeIS3_ESD_RS1_@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE4findERS1_@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE6_M_endEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE8_M_beginEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE13get_allocatorEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE21_M_get_Node_allocatorEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE4sizeEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE8key_compEv@Base 2.9 + (optional=templinst)_ZNSaI13latexFoldSaveEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaI13latexFoldSaveEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaI13latexFoldSaveED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaI13latexFoldSaveED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaI14SelectionRangeEC1ERKS0_@Base 2.8.4 + (optional=templinst)_ZNSaI14SelectionRangeEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaI14SelectionRangeEC2ERKS0_@Base 2.8.4 + (optional=templinst)_ZNSaI14SelectionRangeEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaI14SelectionRangeED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaI14SelectionRangeED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaI14WordClassifierEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaI14WordClassifierEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaI14WordClassifierED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaI14WordClassifierED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaI18PositionCacheEntryEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaI18PositionCacheEntryEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaI18PositionCacheEntryED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaI18PositionCacheEntryED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaI5StyleEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaI5StyleEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaI5StyleED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaI5StyleED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaI9DrawPhaseEC1Ev@Base 2.9 + (optional=templinst)_ZNSaI9DrawPhaseEC2Ev@Base 2.9 + (optional=templinst)_ZNSaI9DrawPhaseED1Ev@Base 2.9 + (optional=templinst)_ZNSaI9DrawPhaseED2Ev@Base 2.9 + (optional=templinst)_ZNSaIN11SparseStateISsE5StateEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaIN11SparseStateISsE5StateEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaIN11SparseStateISsE5StateEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaIN11SparseStateISsE5StateEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaIN11SparseStateIjE5StateEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaIN11SparseStateIjE5StateEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaIN11SparseStateIjE5StateEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaIN11SparseStateIjE5StateEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaIN8Document19WatcherWithUserDataEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaIN8Document19WatcherWithUserDataEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaIN8Document19WatcherWithUserDataEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaIN8Document19WatcherWithUserDataEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaIP10LineLayoutEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaIP10LineLayoutEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaIP10LineLayoutED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaIP10LineLayoutED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaIP11LexerModuleEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaIP11LexerModuleEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaIP11LexerModuleED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaIP11LexerModuleED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaIP14SelectionRangeEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaIP14SelectionRangeEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaIP14SelectionRangeED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaIP14SelectionRangeED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaIPcEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaIPcEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaIPcED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaIPcED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISsEC1ERKS_@Base 2.8.4 + (optional=templinst)_ZNSaISsEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISsEC2ERKS_@Base 2.8.4 + (optional=templinst)_ZNSaISsEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISsED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISsED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIK12KeyModifiersjEEEC1Ev@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIK12KeyModifiersjEEEC2Ev@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIK12KeyModifiersjEEED1Ev@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIK12KeyModifiersjEEED2Ev@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIK17FontSpecificationP12FontRealisedEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIK17FontSpecificationP12FontRealisedEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIK17FontSpecificationP12FontRealisedEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIK17FontSpecificationP12FontRealisedEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEEEC1ERKS6_@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEEEC1Ev@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEEEC2ERKS6_@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEEEC2Ev@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEEED1Ev@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEEED2Ev@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEEEC1ERKS6_@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEEEC1Ev@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEEEC2ERKS6_@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEEEC2Ev@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEEED1Ev@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEEED2Ev@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEEC1Ev@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEEC2Ev@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEED1Ev@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEED2Ev@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsSsEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsSsEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsSsEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsSsEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsiEEEC1ERKS4_@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsiEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsiEEEC2ERKS4_@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsiEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsiEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsiEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKi14RepresentationEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKi14RepresentationEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKi14RepresentationEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKi14RepresentationEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKiiEEEC1Ev@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKiiEEEC2Ev@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKiiEEED1Ev@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKiiEEED2Ev@Base 2.9 + (optional=templinst)_ZNSaISt4pairIK12KeyModifiersjEEC1ISt13_Rb_tree_nodeIS2_EEERKSaIT_E@Base 2.9 + (optional=templinst)_ZNSaISt4pairIK12KeyModifiersjEEC2ISt13_Rb_tree_nodeIS2_EEERKSaIT_E@Base 2.9 + (optional=templinst)_ZNSaISt4pairIK12KeyModifiersjEED1Ev@Base 2.9 + (optional=templinst)_ZNSaISt4pairIK12KeyModifiersjEED2Ev@Base 2.9 + (optional=templinst)_ZNSaISt4pairIK17FontSpecificationP12FontRealisedEEC1ISt13_Rb_tree_nodeIS4_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIK17FontSpecificationP12FontRealisedEEC2ISt13_Rb_tree_nodeIS4_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIK17FontSpecificationP12FontRealisedEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIK17FontSpecificationP12FontRealisedEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN12LexerVerilog11SymbolValueEEEC1ISt13_Rb_tree_nodeIS3_EEERKSaIT_E@Base 2.9 + (optional=templinst)_ZNSaISt4pairIKSsN12LexerVerilog11SymbolValueEEEC2ISt13_Rb_tree_nodeIS3_EEERKSaIT_E@Base 2.9 + (optional=templinst)_ZNSaISt4pairIKSsN12LexerVerilog11SymbolValueEEED1Ev@Base 2.9 + (optional=templinst)_ZNSaISt4pairIKSsN12LexerVerilog11SymbolValueEEED2Ev@Base 2.9 + (optional=templinst)_ZNSaISt4pairIKSsN8LexerCPP11SymbolValueEEEC1ISt13_Rb_tree_nodeIS3_EEERKSaIT_E@Base 2.9 + (optional=templinst)_ZNSaISt4pairIKSsN8LexerCPP11SymbolValueEEEC2ISt13_Rb_tree_nodeIS3_EEERKSaIT_E@Base 2.9 + (optional=templinst)_ZNSaISt4pairIKSsN8LexerCPP11SymbolValueEEED1Ev@Base 2.9 + (optional=templinst)_ZNSaISt4pairIKSsN8LexerCPP11SymbolValueEEED2Ev@Base 2.9 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEC1ISt13_Rb_tree_nodeIS5_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEC2ISt13_Rb_tree_nodeIS5_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEC1ISt13_Rb_tree_nodeIS5_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEC2ISt13_Rb_tree_nodeIS5_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEC1ISt13_Rb_tree_nodeIS5_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEC2ISt13_Rb_tree_nodeIS5_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEC1ISt13_Rb_tree_nodeIS5_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEC2ISt13_Rb_tree_nodeIS5_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEC1ISt13_Rb_tree_nodeIS5_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEC2ISt13_Rb_tree_nodeIS5_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEC1ISt13_Rb_tree_nodeIS5_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEC2ISt13_Rb_tree_nodeIS5_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEC1ISt13_Rb_tree_nodeIS5_EEERKSaIT_E@Base 2.9 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEC2ISt13_Rb_tree_nodeIS5_EEERKSaIT_E@Base 2.9 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEED1Ev@Base 2.9 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEED2Ev@Base 2.9 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEC1ISt13_Rb_tree_nodeIS5_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEC2ISt13_Rb_tree_nodeIS5_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEC1ISt13_Rb_tree_nodeIS5_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEC2ISt13_Rb_tree_nodeIS5_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsSsEEC1ISt13_Rb_tree_nodeIS1_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsSsEEC2ISt13_Rb_tree_nodeIS1_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsSsEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsSsEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsiEEC1ISt13_Rb_tree_nodeIS1_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsiEEC2ISt13_Rb_tree_nodeIS1_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsiEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsiEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKi14RepresentationEEC1ISt13_Rb_tree_nodeIS2_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKi14RepresentationEEC2ISt13_Rb_tree_nodeIS2_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKi14RepresentationEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKi14RepresentationEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKiiEEC1ISt13_Rb_tree_nodeIS1_EEERKSaIT_E@Base 2.9 + (optional=templinst)_ZNSaISt4pairIKiiEEC2ISt13_Rb_tree_nodeIS1_EEERKSaIT_E@Base 2.9 + (optional=templinst)_ZNSaISt4pairIKiiEED1Ev@Base 2.9 + (optional=templinst)_ZNSaISt4pairIKiiEED2Ev@Base 2.9 + (optional=templinst)_ZNSaIiEC1ERKS_@Base 2.8.4 + (optional=templinst)_ZNSaIiEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaIiEC2ERKS_@Base 2.8.4 + (optional=templinst)_ZNSaIiEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaIiED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaIiED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEELb0EE7_S_baseES7_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEELb1EE7_S_baseES7_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIN9__gnu_cxx17__normal_iteratorIPK14SelectionRangeSt6vectorIS2_SaIS2_EEEELb0EE7_S_baseES8_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIN9__gnu_cxx17__normal_iteratorIPK14SelectionRangeSt6vectorIS2_SaIS2_EEEELb1EE7_S_baseES8_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIN9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEELb0EE7_S_baseESA_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIN9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEELb1EE7_S_baseESA_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIN9__gnu_cxx17__normal_iteratorIPKiSt6vectorIiSaIiEEEELb0EE7_S_baseES7_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIN9__gnu_cxx17__normal_iteratorIPKiSt6vectorIiSaIiEEEELb1EE7_S_baseES7_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIN9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEELb0EE7_S_baseES9_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIN9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEELb1EE7_S_baseES9_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIN9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS4_SaIS4_EEEELb0EE7_S_baseES9_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIN9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS4_SaIS4_EEEELb1EE7_S_baseES9_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIN9__gnu_cxx17__normal_iteratorIPN8Document19WatcherWithUserDataESt6vectorIS3_SaIS3_EEEELb0EE7_S_baseES8_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIN9__gnu_cxx17__normal_iteratorIPN8Document19WatcherWithUserDataESt6vectorIS3_SaIS3_EEEELb1EE7_S_baseES8_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEELb0EE7_S_baseES8_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEELb1EE7_S_baseES8_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEELb0EE7_S_baseES6_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEELb1EE7_S_baseES6_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEELb0EE7_S_baseES6_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEELb1EE7_S_baseES6_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIP13latexFoldSaveLb0EE7_S_baseES1_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIP14SelectionRangeLb0EE7_S_baseES1_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIP14WordClassifierLb0EE7_S_baseES1_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIP18PositionCacheEntryLb0EE7_S_baseES1_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIP5StyleLb0EE7_S_baseES1_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIP9DrawPhaseLb0EE7_S_baseES1_@Base 2.9 + (optional=templinst)_ZNSt10_Iter_baseIPN11SparseStateISsE5StateELb0EE7_S_baseES3_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIPN11SparseStateIjE5StateELb0EE7_S_baseES3_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIPN8Document19WatcherWithUserDataELb0EE7_S_baseES2_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIPP10LineLayoutLb0EE7_S_baseES2_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIPP11LexerModuleLb0EE7_S_baseES2_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIPP14SelectionRangeLb0EE7_S_baseES2_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIPP15MarkerHandleSetLb0EE7_S_baseES2_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIPPSt6vectorIiSaIiEELb0EE7_S_baseES4_@Base 2.9 + (optional=templinst)_ZNSt10_Iter_baseIPPcLb0EE7_S_baseES1_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIPSsLb0EE7_S_baseES0_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIPcLb0EE7_S_baseES0_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIPfLb0EE7_S_baseES0_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIPhLb0EE7_S_baseES0_@Base 2.9 + (optional=templinst)_ZNSt10_Iter_baseIPiLb0EE7_S_baseES0_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIPsLb0EE7_S_baseES0_@Base 2.8.4 + (optional=templinst)_ZNSt11__copy_moveILb0ELb0ESt26random_access_iterator_tagE8__copy_mIP14SelectionRangeS4_EET0_T_S6_S5_@Base 2.8.4 + (optional=templinst)_ZNSt11__copy_moveILb0ELb0ESt26random_access_iterator_tagE8__copy_mIPK14SelectionRangePS3_EET0_T_S8_S7_@Base 2.8.4 + (optional=templinst)_ZNSt11__copy_moveILb0ELb0ESt26random_access_iterator_tagE8__copy_mIPKN11SparseStateISsE5StateEPS5_EET0_T_SA_S9_@Base 2.8.4 + (optional=templinst)_ZNSt11__copy_moveILb0ELb0ESt26random_access_iterator_tagE8__copy_mIPN11SparseStateISsE5StateES6_EET0_T_S8_S7_@Base 2.8.4 + (optional=templinst)_ZNSt11__copy_moveILb0ELb0ESt26random_access_iterator_tagE8__copy_mIPN11SparseStateIjE5StateES6_EET0_T_S8_S7_@Base 2.8.4 + (optional=templinst)_ZNSt11__copy_moveILb0ELb0ESt26random_access_iterator_tagE8__copy_mIPN8Document19WatcherWithUserDataES5_EET0_T_S7_S6_@Base 2.8.4 + (optional=templinst)_ZNSt11__copy_moveILb0ELb0ESt26random_access_iterator_tagE8__copy_mIPSsS3_EET0_T_S5_S4_@Base 2.8.4 + (optional=templinst)_ZNSt11__copy_moveILb0ELb1ESt26random_access_iterator_tagE8__copy_mI9DrawPhaseEEPT_PKS4_S7_S5_@Base 2.9 + (optional=templinst)_ZNSt11__copy_moveILb0ELb1ESt26random_access_iterator_tagE8__copy_mIP10LineLayoutEEPT_PKS5_S8_S6_@Base 2.8.4 + (optional=templinst)_ZNSt11__copy_moveILb0ELb1ESt26random_access_iterator_tagE8__copy_mIP11LexerModuleEEPT_PKS5_S8_S6_@Base 2.8.4 + (optional=templinst)_ZNSt11__copy_moveILb0ELb1ESt26random_access_iterator_tagE8__copy_mIP14SelectionRangeEEPT_PKS5_S8_S6_@Base 2.8.4 + (optional=templinst)_ZNSt11__copy_moveILb0ELb1ESt26random_access_iterator_tagE8__copy_mIPcEEPT_PKS4_S7_S5_@Base 2.8.4 + (optional=templinst)_ZNSt11__copy_moveILb0ELb1ESt26random_access_iterator_tagE8__copy_mIiEEPT_PKS3_S6_S4_@Base 2.8.4 + (optional=templinst)_ZNSt11__iter_swapILb1EE9iter_swapIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS4_SaIS4_EEEES9_EEvT_T0_@Base 2.8.4 + (optional=templinst)_ZNSt11__iter_swapILb1EE9iter_swapIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS5_SaIS5_EEEESA_EEvT_T0_@Base 2.8.4 + (optional=templinst)_ZNSt11__iter_swapILb1EE9iter_swapIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEES8_EEvT_T0_@Base 2.8.4 + (optional=templinst|subst)_ZNSt11char_traitsIcE7compareEPKcS2_{size_t}@Base 2.8.4 + (optional=templinst)_ZNSt12_Destroy_auxILb0EE9__destroyIP14WordClassifierEEvT_S4_@Base 2.8.4 + (optional=templinst)_ZNSt12_Destroy_auxILb0EE9__destroyIP18PositionCacheEntryEEvT_S4_@Base 2.8.4 + (optional=templinst)_ZNSt12_Destroy_auxILb0EE9__destroyIP5StyleEEvT_S4_@Base 2.8.4 + (optional=templinst)_ZNSt12_Destroy_auxILb0EE9__destroyIPN11SparseStateISsE5StateEEEvT_S6_@Base 2.8.4 + (optional=templinst)_ZNSt12_Destroy_auxILb0EE9__destroyIPSsEEvT_S3_@Base 2.8.4 + (optional=templinst)_ZNSt12_Destroy_auxILb1EE9__destroyIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS4_SaIS4_EEEEEEvT_SA_@Base 2.8.4 + (optional=templinst)_ZNSt12_Destroy_auxILb1EE9__destroyIP13latexFoldSaveEEvT_S4_@Base 2.8.4 + (optional=templinst)_ZNSt12_Destroy_auxILb1EE9__destroyIP14SelectionRangeEEvT_S4_@Base 2.8.4 + (optional=templinst)_ZNSt12_Destroy_auxILb1EE9__destroyIP9DrawPhaseEEvT_S4_@Base 2.9 + (optional=templinst)_ZNSt12_Destroy_auxILb1EE9__destroyIPN11SparseStateIjE5StateEEEvT_S6_@Base 2.8.4 + (optional=templinst)_ZNSt12_Destroy_auxILb1EE9__destroyIPN8Document19WatcherWithUserDataEEEvT_S5_@Base 2.8.4 + (optional=templinst)_ZNSt12_Destroy_auxILb1EE9__destroyIPP10LineLayoutEEvT_S5_@Base 2.8.4 + (optional=templinst)_ZNSt12_Destroy_auxILb1EE9__destroyIPP11LexerModuleEEvT_S5_@Base 2.8.4 + (optional=templinst)_ZNSt12_Destroy_auxILb1EE9__destroyIPP14SelectionRangeEEvT_S5_@Base 2.8.4 + (optional=templinst)_ZNSt12_Destroy_auxILb1EE9__destroyIPPcEEvT_S4_@Base 2.8.4 + (optional=templinst)_ZNSt12_Destroy_auxILb1EE9__destroyIPcEEvT_S3_@Base 2.8.4 + (optional=templinst)_ZNSt12_Destroy_auxILb1EE9__destroyIPiEEvT_S3_@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseI13latexFoldSaveSaIS0_EE11_M_allocateE{size_t}@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI13latexFoldSaveSaIS0_EE12_Vector_implC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI13latexFoldSaveSaIS0_EE12_Vector_implC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI13latexFoldSaveSaIS0_EE12_Vector_implD1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI13latexFoldSaveSaIS0_EE12_Vector_implD2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseI13latexFoldSaveSaIS0_EE13_M_deallocateEPS0_{size_t}@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI13latexFoldSaveSaIS0_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI13latexFoldSaveSaIS0_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI13latexFoldSaveSaIS0_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI13latexFoldSaveSaIS0_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI13latexFoldSaveSaIS0_EED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseI14SelectionRangeSaIS0_EE11_M_allocateE{size_t}@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI14SelectionRangeSaIS0_EE12_Vector_implC1ERKS1_@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI14SelectionRangeSaIS0_EE12_Vector_implC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI14SelectionRangeSaIS0_EE12_Vector_implC2ERKS1_@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI14SelectionRangeSaIS0_EE12_Vector_implC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI14SelectionRangeSaIS0_EE12_Vector_implD1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI14SelectionRangeSaIS0_EE12_Vector_implD2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseI14SelectionRangeSaIS0_EE13_M_deallocateEPS0_{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseI14SelectionRangeSaIS0_EE17_M_create_storageE{size_t}@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI14SelectionRangeSaIS0_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI14SelectionRangeSaIS0_EEC1Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseI14SelectionRangeSaIS0_EEC1E{size_t}RKS1_@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI14SelectionRangeSaIS0_EEC2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseI14SelectionRangeSaIS0_EEC2E{size_t}RKS1_@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI14SelectionRangeSaIS0_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI14SelectionRangeSaIS0_EED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseI14WordClassifierSaIS0_EE11_M_allocateE{size_t}@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI14WordClassifierSaIS0_EE12_Vector_implC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI14WordClassifierSaIS0_EE12_Vector_implC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI14WordClassifierSaIS0_EE12_Vector_implD1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI14WordClassifierSaIS0_EE12_Vector_implD2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseI14WordClassifierSaIS0_EE13_M_deallocateEPS0_{size_t}@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI14WordClassifierSaIS0_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI14WordClassifierSaIS0_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI14WordClassifierSaIS0_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI14WordClassifierSaIS0_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI14WordClassifierSaIS0_EED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseI18PositionCacheEntrySaIS0_EE11_M_allocateE{size_t}@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI18PositionCacheEntrySaIS0_EE12_Vector_implC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI18PositionCacheEntrySaIS0_EE12_Vector_implC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI18PositionCacheEntrySaIS0_EE12_Vector_implD1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI18PositionCacheEntrySaIS0_EE12_Vector_implD2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseI18PositionCacheEntrySaIS0_EE13_M_deallocateEPS0_{size_t}@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI18PositionCacheEntrySaIS0_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI18PositionCacheEntrySaIS0_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI18PositionCacheEntrySaIS0_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI18PositionCacheEntrySaIS0_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI18PositionCacheEntrySaIS0_EED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseI5StyleSaIS0_EE11_M_allocateE{size_t}@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI5StyleSaIS0_EE12_Vector_implC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI5StyleSaIS0_EE12_Vector_implC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI5StyleSaIS0_EE12_Vector_implD1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI5StyleSaIS0_EE12_Vector_implD2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseI5StyleSaIS0_EE13_M_deallocateEPS0_{size_t}@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI5StyleSaIS0_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI5StyleSaIS0_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI5StyleSaIS0_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI5StyleSaIS0_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI5StyleSaIS0_EED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseI9DrawPhaseSaIS0_EE11_M_allocateE{size_t}@Base 2.9 + (optional=templinst)_ZNSt12_Vector_baseI9DrawPhaseSaIS0_EE12_Vector_implC1Ev@Base 2.9 + (optional=templinst)_ZNSt12_Vector_baseI9DrawPhaseSaIS0_EE12_Vector_implC2Ev@Base 2.9 + (optional=templinst)_ZNSt12_Vector_baseI9DrawPhaseSaIS0_EE12_Vector_implD1Ev@Base 2.9 + (optional=templinst)_ZNSt12_Vector_baseI9DrawPhaseSaIS0_EE12_Vector_implD2Ev@Base 2.9 + (optional=templinst|subst)_ZNSt12_Vector_baseI9DrawPhaseSaIS0_EE13_M_deallocateEPS0_{size_t}@Base 2.9 + (optional=templinst)_ZNSt12_Vector_baseI9DrawPhaseSaIS0_EE19_M_get_Tp_allocatorEv@Base 2.9 + (optional=templinst)_ZNSt12_Vector_baseI9DrawPhaseSaIS0_EEC1Ev@Base 2.9 + (optional=templinst)_ZNSt12_Vector_baseI9DrawPhaseSaIS0_EEC2Ev@Base 2.9 + (optional=templinst)_ZNSt12_Vector_baseI9DrawPhaseSaIS0_EED1Ev@Base 2.9 + (optional=templinst)_ZNSt12_Vector_baseI9DrawPhaseSaIS0_EED2Ev@Base 2.9 + (optional=templinst|subst)_ZNSt12_Vector_baseIN11SparseStateISsE5StateESaIS2_EE11_M_allocateE{size_t}@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN11SparseStateISsE5StateESaIS2_EE12_Vector_implC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN11SparseStateISsE5StateESaIS2_EE12_Vector_implC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN11SparseStateISsE5StateESaIS2_EE12_Vector_implD1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN11SparseStateISsE5StateESaIS2_EE12_Vector_implD2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseIN11SparseStateISsE5StateESaIS2_EE13_M_deallocateEPS2_{size_t}@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN11SparseStateISsE5StateESaIS2_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN11SparseStateISsE5StateESaIS2_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN11SparseStateISsE5StateESaIS2_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN11SparseStateISsE5StateESaIS2_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN11SparseStateISsE5StateESaIS2_EED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseIN11SparseStateIjE5StateESaIS2_EE11_M_allocateE{size_t}@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN11SparseStateIjE5StateESaIS2_EE12_Vector_implC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN11SparseStateIjE5StateESaIS2_EE12_Vector_implC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN11SparseStateIjE5StateESaIS2_EE12_Vector_implD1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN11SparseStateIjE5StateESaIS2_EE12_Vector_implD2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseIN11SparseStateIjE5StateESaIS2_EE13_M_deallocateEPS2_{size_t}@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN11SparseStateIjE5StateESaIS2_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN11SparseStateIjE5StateESaIS2_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN11SparseStateIjE5StateESaIS2_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN11SparseStateIjE5StateESaIS2_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN11SparseStateIjE5StateESaIS2_EED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseIN8Document19WatcherWithUserDataESaIS1_EE11_M_allocateE{size_t}@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN8Document19WatcherWithUserDataESaIS1_EE12_Vector_implC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN8Document19WatcherWithUserDataESaIS1_EE12_Vector_implC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN8Document19WatcherWithUserDataESaIS1_EE12_Vector_implD1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN8Document19WatcherWithUserDataESaIS1_EE12_Vector_implD2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseIN8Document19WatcherWithUserDataESaIS1_EE13_M_deallocateEPS1_{size_t}@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN8Document19WatcherWithUserDataESaIS1_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN8Document19WatcherWithUserDataESaIS1_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN8Document19WatcherWithUserDataESaIS1_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN8Document19WatcherWithUserDataESaIS1_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN8Document19WatcherWithUserDataESaIS1_EED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseIP10LineLayoutSaIS1_EE11_M_allocateE{size_t}@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP10LineLayoutSaIS1_EE12_Vector_implC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP10LineLayoutSaIS1_EE12_Vector_implC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP10LineLayoutSaIS1_EE12_Vector_implD1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP10LineLayoutSaIS1_EE12_Vector_implD2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseIP10LineLayoutSaIS1_EE13_M_deallocateEPS1_{size_t}@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP10LineLayoutSaIS1_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP10LineLayoutSaIS1_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP10LineLayoutSaIS1_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP10LineLayoutSaIS1_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP10LineLayoutSaIS1_EED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseIP11LexerModuleSaIS1_EE11_M_allocateE{size_t}@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP11LexerModuleSaIS1_EE12_Vector_implC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP11LexerModuleSaIS1_EE12_Vector_implC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP11LexerModuleSaIS1_EE12_Vector_implD1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP11LexerModuleSaIS1_EE12_Vector_implD2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseIP11LexerModuleSaIS1_EE13_M_deallocateEPS1_{size_t}@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP11LexerModuleSaIS1_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP11LexerModuleSaIS1_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP11LexerModuleSaIS1_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP11LexerModuleSaIS1_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP11LexerModuleSaIS1_EED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseIP14SelectionRangeSaIS1_EE11_M_allocateE{size_t}@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP14SelectionRangeSaIS1_EE12_Vector_implC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP14SelectionRangeSaIS1_EE12_Vector_implC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP14SelectionRangeSaIS1_EE12_Vector_implD1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP14SelectionRangeSaIS1_EE12_Vector_implD2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseIP14SelectionRangeSaIS1_EE13_M_deallocateEPS1_{size_t}@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP14SelectionRangeSaIS1_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP14SelectionRangeSaIS1_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP14SelectionRangeSaIS1_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP14SelectionRangeSaIS1_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP14SelectionRangeSaIS1_EED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseIPcSaIS0_EE11_M_allocateE{size_t}@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIPcSaIS0_EE12_Vector_implC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIPcSaIS0_EE12_Vector_implC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIPcSaIS0_EE12_Vector_implD1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIPcSaIS0_EE12_Vector_implD2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseIPcSaIS0_EE13_M_deallocateEPS0_{size_t}@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIPcSaIS0_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIPcSaIS0_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIPcSaIS0_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIPcSaIS0_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIPcSaIS0_EED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseISsSaISsEE11_M_allocateE{size_t}@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseISsSaISsEE12_Vector_implC1ERKS0_@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseISsSaISsEE12_Vector_implC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseISsSaISsEE12_Vector_implC2ERKS0_@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseISsSaISsEE12_Vector_implC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseISsSaISsEE12_Vector_implD1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseISsSaISsEE12_Vector_implD2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseISsSaISsEE13_M_deallocateEPSs{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseISsSaISsEE17_M_create_storageE{size_t}@Base 2.9 + (optional=templinst)_ZNSt12_Vector_baseISsSaISsEE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseISsSaISsEEC1ERKS0_@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseISsSaISsEEC1Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseISsSaISsEEC1E{size_t}RKS0_@Base 2.9 + (optional=templinst)_ZNSt12_Vector_baseISsSaISsEEC2ERKS0_@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseISsSaISsEEC2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseISsSaISsEEC2E{size_t}RKS0_@Base 2.9 + (optional=templinst)_ZNSt12_Vector_baseISsSaISsEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseISsSaISsEED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseIcSaIcEE11_M_allocateE{size_t}@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIcSaIcEE12_Vector_implC1ERKS0_@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIcSaIcEE12_Vector_implC2ERKS0_@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIcSaIcEE12_Vector_implD1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIcSaIcEE12_Vector_implD2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseIcSaIcEE13_M_deallocateEPc{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseIcSaIcEE17_M_create_storageE{size_t}@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIcSaIcEE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseIcSaIcEEC1E{size_t}RKS0_@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseIcSaIcEEC2E{size_t}RKS0_@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIcSaIcEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIcSaIcEED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseIiSaIiEE11_M_allocateE{size_t}@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIiSaIiEE12_Vector_implC1ERKS0_@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIiSaIiEE12_Vector_implC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIiSaIiEE12_Vector_implC2ERKS0_@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIiSaIiEE12_Vector_implC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIiSaIiEE12_Vector_implD1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIiSaIiEE12_Vector_implD2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseIiSaIiEE13_M_deallocateEPi{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseIiSaIiEE17_M_create_storageE{size_t}@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIiSaIiEE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIiSaIiEEC1Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseIiSaIiEEC1E{size_t}RKS0_@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIiSaIiEEC2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt12_Vector_baseIiSaIiEEC2E{size_t}RKS0_@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIiSaIiEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIiSaIiEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt13_Rb_tree_nodeISt4pairIK12KeyModifiersjEE9_M_valptrEv@Base 2.9 + (optional=templinst)_ZNSt13_Rb_tree_nodeISt4pairIK17FontSpecificationP12FontRealisedEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNSt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEE9_M_valptrEv@Base 2.9 + (optional=templinst)_ZNSt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEE9_M_valptrEv@Base 2.9 + (optional=templinst)_ZNSt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNSt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNSt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNSt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNSt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNSt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNSt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEE9_M_valptrEv@Base 2.9 + (optional=templinst)_ZNSt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNSt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNSt13_Rb_tree_nodeISt4pairIKSsSsEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNSt13_Rb_tree_nodeISt4pairIKSsiEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNSt13_Rb_tree_nodeISt4pairIKi14RepresentationEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNSt13_Rb_tree_nodeISt4pairIKiiEE9_M_valptrEv@Base 2.9 + (optional=templinst)_ZNSt16reverse_iteratorIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEEEC1ES8_@Base 2.8.4 + (optional=templinst)_ZNSt16reverse_iteratorIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEEEC2ES8_@Base 2.8.4 + (optional=templinst)_ZNSt16reverse_iteratorIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEEEppEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIK12KeyModifiersjEEC1EPSt13_Rb_tree_nodeIS3_E@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIK12KeyModifiersjEEC2EPSt13_Rb_tree_nodeIS3_E@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIK12KeyModifiersjEEmmEv@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIK12KeyModifiersjEEppEv@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIK17FontSpecificationP12FontRealisedEEC1EPSt13_Rb_tree_nodeIS5_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIK17FontSpecificationP12FontRealisedEEC2EPSt13_Rb_tree_nodeIS5_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIK17FontSpecificationP12FontRealisedEEmmEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIK17FontSpecificationP12FontRealisedEEppEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN12LexerVerilog11SymbolValueEEEC1EPSt13_Rb_tree_nodeIS4_E@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN12LexerVerilog11SymbolValueEEEC1Ev@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN12LexerVerilog11SymbolValueEEEC2EPSt13_Rb_tree_nodeIS4_E@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN12LexerVerilog11SymbolValueEEEC2Ev@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN12LexerVerilog11SymbolValueEEEmmEv@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN12LexerVerilog11SymbolValueEEEppEv@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN8LexerCPP11SymbolValueEEEC1EPSt13_Rb_tree_nodeIS4_E@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN8LexerCPP11SymbolValueEEEC2EPSt13_Rb_tree_nodeIS4_E@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN8LexerCPP11SymbolValueEEEmmEv@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN8LexerCPP11SymbolValueEEEppEv@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEC1EPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEC2EPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEmmEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEppEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEC1EPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEC2EPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEmmEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEppEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEC1EPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEC2EPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEmmEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEppEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEC1EPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEC2EPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEmmEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEppEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEC1EPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEC2EPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEmmEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEppEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEC1EPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEC2EPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEmmEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEppEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEC1EPSt13_Rb_tree_nodeIS6_E@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEC2EPSt13_Rb_tree_nodeIS6_E@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEmmEv@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEppEv@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEC1EPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEC2EPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEC1EPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEC2EPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEmmEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEppEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsSsEEC1EPSt13_Rb_tree_nodeIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsSsEEC2EPSt13_Rb_tree_nodeIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsSsEEmmEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsSsEEppEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsiEEC1EPSt13_Rb_tree_nodeIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsiEEC2EPSt13_Rb_tree_nodeIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsiEEmmEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsiEEppEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKi14RepresentationEEC1EPSt13_Rb_tree_nodeIS3_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKi14RepresentationEEC2EPSt13_Rb_tree_nodeIS3_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKi14RepresentationEEmmEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKi14RepresentationEEppEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKiiEEC1EPSt13_Rb_tree_nodeIS2_E@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKiiEEC2EPSt13_Rb_tree_nodeIS2_E@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKiiEEmmEv@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKiiEEppEv@Base 2.9 + _ZNSt18_Rb_tree_node_base10_S_maximumEPS_@Base 2.8.4 + _ZNSt18_Rb_tree_node_base10_S_minimumEPS_@Base 2.8.4 + (optional=templinst)_ZNSt20__copy_move_backwardILb0ELb0ESt26random_access_iterator_tagE13__copy_move_bIP13latexFoldSaveS4_EET0_T_S6_S5_@Base 2.8.4 + (optional=templinst)_ZNSt20__copy_move_backwardILb0ELb0ESt26random_access_iterator_tagE13__copy_move_bIP14SelectionRangeS4_EET0_T_S6_S5_@Base 2.8.4 + (optional=templinst)_ZNSt20__copy_move_backwardILb0ELb0ESt26random_access_iterator_tagE13__copy_move_bIP14WordClassifierS4_EET0_T_S6_S5_@Base 2.8.4 + (optional=templinst)_ZNSt20__copy_move_backwardILb0ELb0ESt26random_access_iterator_tagE13__copy_move_bIP18PositionCacheEntryS4_EET0_T_S6_S5_@Base 2.8.4 + (optional=templinst)_ZNSt20__copy_move_backwardILb0ELb0ESt26random_access_iterator_tagE13__copy_move_bIP5StyleS4_EET0_T_S6_S5_@Base 2.8.4 + (optional=templinst)_ZNSt20__copy_move_backwardILb0ELb0ESt26random_access_iterator_tagE13__copy_move_bIPN11SparseStateISsE5StateES6_EET0_T_S8_S7_@Base 2.8.4 + (optional=templinst)_ZNSt20__copy_move_backwardILb0ELb0ESt26random_access_iterator_tagE13__copy_move_bIPN11SparseStateIjE5StateES6_EET0_T_S8_S7_@Base 2.8.4 + (optional=templinst)_ZNSt20__copy_move_backwardILb0ELb0ESt26random_access_iterator_tagE13__copy_move_bIPN8Document19WatcherWithUserDataES5_EET0_T_S7_S6_@Base 2.8.4 + (optional=templinst)_ZNSt20__copy_move_backwardILb0ELb0ESt26random_access_iterator_tagE13__copy_move_bIPSsS3_EET0_T_S5_S4_@Base 2.8.4 + (optional=templinst)_ZNSt20__copy_move_backwardILb0ELb1ESt26random_access_iterator_tagE13__copy_move_bI9DrawPhaseEEPT_PKS4_S7_S5_@Base 2.9 + (optional=templinst)_ZNSt20__copy_move_backwardILb0ELb1ESt26random_access_iterator_tagE13__copy_move_bIP10LineLayoutEEPT_PKS5_S8_S6_@Base 2.8.4 + (optional=templinst)_ZNSt20__copy_move_backwardILb0ELb1ESt26random_access_iterator_tagE13__copy_move_bIP11LexerModuleEEPT_PKS5_S8_S6_@Base 2.8.4 + (optional=templinst)_ZNSt20__copy_move_backwardILb0ELb1ESt26random_access_iterator_tagE13__copy_move_bIP14SelectionRangeEEPT_PKS5_S8_S6_@Base 2.8.4 + (optional=templinst)_ZNSt20__copy_move_backwardILb0ELb1ESt26random_access_iterator_tagE13__copy_move_bIPcEEPT_PKS4_S7_S5_@Base 2.8.4 + (optional=templinst)_ZNSt20__copy_move_backwardILb0ELb1ESt26random_access_iterator_tagE13__copy_move_bIiEEPT_PKS3_S6_S4_@Base 2.8.4 + (optional=templinst)_ZNSt20__uninitialized_copyILb0EE13__uninit_copyIN9__gnu_cxx17__normal_iteratorIPK14SelectionRangeSt6vectorIS4_SaIS4_EEEEPS4_EET0_T_SD_SC_@Base 2.8.4 + (optional=templinst)_ZNSt20__uninitialized_copyILb0EE13__uninit_copyIN9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS6_SaIS6_EEEEPS6_EET0_T_SF_SE_@Base 2.8.4 + (optional=templinst)_ZNSt20__uninitialized_copyILb0EE13__uninit_copyIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEES4_EET0_T_SA_S9_@Base 2.8.4 + (optional=templinst)_ZNSt20__uninitialized_copyILb0EE13__uninit_copyIP13latexFoldSaveS3_EET0_T_S5_S4_@Base 2.8.4 + (optional=templinst)_ZNSt20__uninitialized_copyILb0EE13__uninit_copyIP14SelectionRangeS3_EET0_T_S5_S4_@Base 2.8.4 + (optional=templinst)_ZNSt20__uninitialized_copyILb0EE13__uninit_copyIP14WordClassifierS3_EET0_T_S5_S4_@Base 2.8.4 + (optional=templinst)_ZNSt20__uninitialized_copyILb0EE13__uninit_copyIP18PositionCacheEntryS3_EET0_T_S5_S4_@Base 2.8.4 + (optional=templinst)_ZNSt20__uninitialized_copyILb0EE13__uninit_copyIP5StyleS3_EET0_T_S5_S4_@Base 2.8.4 + (optional=templinst)_ZNSt20__uninitialized_copyILb0EE13__uninit_copyIPN11SparseStateISsE5StateES5_EET0_T_S7_S6_@Base 2.8.4 + (optional=templinst)_ZNSt20__uninitialized_copyILb0EE13__uninit_copyIPN11SparseStateIjE5StateES5_EET0_T_S7_S6_@Base 2.8.4 + (optional=templinst)_ZNSt20__uninitialized_copyILb0EE13__uninit_copyIPN8Document19WatcherWithUserDataES4_EET0_T_S6_S5_@Base 2.8.4 + (optional=templinst)_ZNSt20__uninitialized_copyILb0EE13__uninit_copyIPSsS2_EET0_T_S4_S3_@Base 2.8.4 + (optional=templinst)_ZNSt20__uninitialized_copyILb1EE13__uninit_copyIN9__gnu_cxx17__normal_iteratorIPKiSt6vectorIiSaIiEEEEPiEET0_T_SC_SB_@Base 2.8.4 + (optional=templinst)_ZNSt20__uninitialized_copyILb1EE13__uninit_copyIP9DrawPhaseS3_EET0_T_S5_S4_@Base 2.9 + (optional=templinst)_ZNSt20__uninitialized_copyILb1EE13__uninit_copyIPP10LineLayoutS4_EET0_T_S6_S5_@Base 2.8.4 + (optional=templinst)_ZNSt20__uninitialized_copyILb1EE13__uninit_copyIPP11LexerModuleS4_EET0_T_S6_S5_@Base 2.8.4 + (optional=templinst)_ZNSt20__uninitialized_copyILb1EE13__uninit_copyIPP14SelectionRangeS4_EET0_T_S6_S5_@Base 2.8.4 + (optional=templinst)_ZNSt20__uninitialized_copyILb1EE13__uninit_copyIPPcS3_EET0_T_S5_S4_@Base 2.8.4 + (optional=templinst)_ZNSt20__uninitialized_copyILb1EE13__uninit_copyIPiS2_EET0_T_S4_S3_@Base 2.8.4 + (optional=templinst|subst)_ZNSt22__uninitialized_fill_nILb0EE15__uninit_fill_nIP13latexFoldSave{size_t}S2_EEvT_T0_RKT1_@Base 2.8.4 + (optional=templinst|subst)_ZNSt22__uninitialized_fill_nILb0EE15__uninit_fill_nIP18PositionCacheEntry{size_t}S2_EEvT_T0_RKT1_@Base 2.8.4 + (optional=templinst|subst)_ZNSt22__uninitialized_fill_nILb0EE15__uninit_fill_nIP5Style{size_t}S2_EEvT_T0_RKT1_@Base 2.8.4 + (optional=templinst|subst)_ZNSt22__uninitialized_fill_nILb0EE15__uninit_fill_nIPSs{size_t}SsEEvT_T0_RKT1_@Base 2.9 + (optional=templinst|subst)_ZNSt22__uninitialized_fill_nILb1EE15__uninit_fill_nIPP10LineLayout{size_t}S3_EEvT_T0_RKT1_@Base 2.8.4 + (optional=templinst|subst)_ZNSt22__uninitialized_fill_nILb1EE15__uninit_fill_nIPc{size_t}cEEvT_T0_RKT1_@Base 2.8.4 + (optional=templinst|subst)_ZNSt22__uninitialized_fill_nILb1EE15__uninit_fill_nIPi{size_t}iEEvT_T0_RKT1_@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIK12KeyModifiersjEEC1EPKSt13_Rb_tree_nodeIS3_E@Base 2.9 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIK12KeyModifiersjEEC1ERKSt17_Rb_tree_iteratorIS3_E@Base 2.9 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIK12KeyModifiersjEEC2EPKSt13_Rb_tree_nodeIS3_E@Base 2.9 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIK12KeyModifiersjEEC2ERKSt17_Rb_tree_iteratorIS3_E@Base 2.9 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIK17FontSpecificationP12FontRealisedEEC1ERKSt17_Rb_tree_iteratorIS5_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIK17FontSpecificationP12FontRealisedEEC2ERKSt17_Rb_tree_iteratorIS5_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIK17FontSpecificationP12FontRealisedEEppEv@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN12LexerVerilog11SymbolValueEEEC1ERKSt17_Rb_tree_iteratorIS4_E@Base 2.9 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN12LexerVerilog11SymbolValueEEEC2ERKSt17_Rb_tree_iteratorIS4_E@Base 2.9 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN12LexerVerilog11SymbolValueEEEppEi@Base 2.9 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN8LexerCPP11SymbolValueEEEC1EPKSt13_Rb_tree_nodeIS4_E@Base 2.9 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN8LexerCPP11SymbolValueEEEC1ERKSt17_Rb_tree_iteratorIS4_E@Base 2.9 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN8LexerCPP11SymbolValueEEEC2EPKSt13_Rb_tree_nodeIS4_E@Base 2.9 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN8LexerCPP11SymbolValueEEEC2ERKSt17_Rb_tree_iteratorIS4_E@Base 2.9 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN8LexerCPP11SymbolValueEEEppEi@Base 2.9 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEC1ERKSt17_Rb_tree_iteratorIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEC2ERKSt17_Rb_tree_iteratorIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEC1ERKSt17_Rb_tree_iteratorIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEC2ERKSt17_Rb_tree_iteratorIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEC1ERKSt17_Rb_tree_iteratorIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEC2ERKSt17_Rb_tree_iteratorIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEC1ERKSt17_Rb_tree_iteratorIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEC2ERKSt17_Rb_tree_iteratorIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEC1ERKSt17_Rb_tree_iteratorIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEC2ERKSt17_Rb_tree_iteratorIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEC1ERKSt17_Rb_tree_iteratorIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEC2ERKSt17_Rb_tree_iteratorIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEC1ERKSt17_Rb_tree_iteratorIS6_E@Base 2.9 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEC2ERKSt17_Rb_tree_iteratorIS6_E@Base 2.9 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEC1ERKSt17_Rb_tree_iteratorIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEC2ERKSt17_Rb_tree_iteratorIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsSsEEC1ERKSt17_Rb_tree_iteratorIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsSsEEC2ERKSt17_Rb_tree_iteratorIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsiEEC1EPKSt13_Rb_tree_nodeIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsiEEC1ERKSt17_Rb_tree_iteratorIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsiEEC2EPKSt13_Rb_tree_nodeIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsiEEC2ERKSt17_Rb_tree_iteratorIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKi14RepresentationEEC1EPKSt13_Rb_tree_nodeIS3_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKi14RepresentationEEC1ERKSt17_Rb_tree_iteratorIS3_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKi14RepresentationEEC2EPKSt13_Rb_tree_nodeIS3_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKi14RepresentationEEC2ERKSt17_Rb_tree_iteratorIS3_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKiiEEC1ERKSt17_Rb_tree_iteratorIS2_E@Base 2.9 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKiiEEC2ERKSt17_Rb_tree_iteratorIS2_E@Base 2.9 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKiiEEppEi@Base 2.9 + (optional=templinst)_ZNSt3mapI12KeyModifiersjSt4lessIS0_ESaISt4pairIKS0_jEEE11lower_boundERS4_@Base 2.9 + (optional=templinst)_ZNSt3mapI12KeyModifiersjSt4lessIS0_ESaISt4pairIKS0_jEEE3endEv@Base 2.9 + (optional=templinst)_ZNSt3mapI12KeyModifiersjSt4lessIS0_ESaISt4pairIKS0_jEEE5clearEv@Base 2.9 + (optional=templinst)_ZNSt3mapI12KeyModifiersjSt4lessIS0_ESaISt4pairIKS0_jEEE6insertESt17_Rb_tree_iteratorIS5_ERKS5_@Base 2.9 + (optional=templinst)_ZNSt3mapI12KeyModifiersjSt4lessIS0_ESaISt4pairIKS0_jEEEC1Ev@Base 2.9 + (optional=templinst)_ZNSt3mapI12KeyModifiersjSt4lessIS0_ESaISt4pairIKS0_jEEEC2Ev@Base 2.9 + (optional=templinst)_ZNSt3mapI12KeyModifiersjSt4lessIS0_ESaISt4pairIKS0_jEEED1Ev@Base 2.9 + (optional=templinst)_ZNSt3mapI12KeyModifiersjSt4lessIS0_ESaISt4pairIKS0_jEEED2Ev@Base 2.9 + (optional=templinst)_ZNSt3mapI12KeyModifiersjSt4lessIS0_ESaISt4pairIKS0_jEEEixERS4_@Base 2.9 + (optional=templinst)_ZNSt3mapI17FontSpecificationP12FontRealisedSt4lessIS0_ESaISt4pairIKS0_S2_EEE11lower_boundERS6_@Base 2.8.4 + (optional=templinst)_ZNSt3mapI17FontSpecificationP12FontRealisedSt4lessIS0_ESaISt4pairIKS0_S2_EEE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt3mapI17FontSpecificationP12FontRealisedSt4lessIS0_ESaISt4pairIKS0_S2_EEE4findERS6_@Base 2.8.4 + (optional=templinst)_ZNSt3mapI17FontSpecificationP12FontRealisedSt4lessIS0_ESaISt4pairIKS0_S2_EEE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt3mapI17FontSpecificationP12FontRealisedSt4lessIS0_ESaISt4pairIKS0_S2_EEE5clearEv@Base 2.8.4 + (optional=templinst)_ZNSt3mapI17FontSpecificationP12FontRealisedSt4lessIS0_ESaISt4pairIKS0_S2_EEE6insertESt17_Rb_tree_iteratorIS7_ERKS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapI17FontSpecificationP12FontRealisedSt4lessIS0_ESaISt4pairIKS0_S2_EEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapI17FontSpecificationP12FontRealisedSt4lessIS0_ESaISt4pairIKS0_S2_EEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapI17FontSpecificationP12FontRealisedSt4lessIS0_ESaISt4pairIKS0_S2_EEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapI17FontSpecificationP12FontRealisedSt4lessIS0_ESaISt4pairIKS0_S2_EEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapI17FontSpecificationP12FontRealisedSt4lessIS0_ESaISt4pairIKS0_S2_EEEixERS6_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN12LexerVerilog11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEE11lower_boundERS5_@Base 2.9 + (optional=templinst)_ZNSt3mapISsN12LexerVerilog11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEE3endEv@Base 2.9 + (optional=templinst)_ZNSt3mapISsN12LexerVerilog11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEE4findERS5_@Base 2.9 + (optional=templinst)_ZNSt3mapISsN12LexerVerilog11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEE5beginEv@Base 2.9 + (optional=templinst)_ZNSt3mapISsN12LexerVerilog11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEE5clearEv@Base 2.9 + (optional=templinst)_ZNSt3mapISsN12LexerVerilog11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEE5eraseERS5_@Base 2.9 + (optional=templinst)_ZNSt3mapISsN12LexerVerilog11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEE6insertESt17_Rb_tree_iteratorIS6_ERKS6_@Base 2.9 + (optional=templinst)_ZNSt3mapISsN12LexerVerilog11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEEC1ERKS8_@Base 2.9 + (optional=templinst)_ZNSt3mapISsN12LexerVerilog11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEEC1Ev@Base 2.9 + (optional=templinst)_ZNSt3mapISsN12LexerVerilog11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEEC2ERKS8_@Base 2.9 + (optional=templinst)_ZNSt3mapISsN12LexerVerilog11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEEC2Ev@Base 2.9 + (optional=templinst)_ZNSt3mapISsN12LexerVerilog11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEED1Ev@Base 2.9 + (optional=templinst)_ZNSt3mapISsN12LexerVerilog11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEED2Ev@Base 2.9 + (optional=templinst)_ZNSt3mapISsN12LexerVerilog11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEEixERS5_@Base 2.9 + (optional=templinst)_ZNSt3mapISsN8LexerCPP11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEE11lower_boundERS5_@Base 2.9 + (optional=templinst)_ZNSt3mapISsN8LexerCPP11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEE3endEv@Base 2.9 + (optional=templinst)_ZNSt3mapISsN8LexerCPP11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEE4findERS5_@Base 2.9 + (optional=templinst)_ZNSt3mapISsN8LexerCPP11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEE5clearEv@Base 2.9 + (optional=templinst)_ZNSt3mapISsN8LexerCPP11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEE5eraseERS5_@Base 2.9 + (optional=templinst)_ZNSt3mapISsN8LexerCPP11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEE6insertESt17_Rb_tree_iteratorIS6_ERKS6_@Base 2.9 + (optional=templinst)_ZNSt3mapISsN8LexerCPP11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEEC1ERKS8_@Base 2.9 + (optional=templinst)_ZNSt3mapISsN8LexerCPP11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEEC1Ev@Base 2.9 + (optional=templinst)_ZNSt3mapISsN8LexerCPP11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEEC2ERKS8_@Base 2.9 + (optional=templinst)_ZNSt3mapISsN8LexerCPP11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEEC2Ev@Base 2.9 + (optional=templinst)_ZNSt3mapISsN8LexerCPP11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEED1Ev@Base 2.9 + (optional=templinst)_ZNSt3mapISsN8LexerCPP11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEED2Ev@Base 2.9 + (optional=templinst)_ZNSt3mapISsN8LexerCPP11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEEixERS5_@Base 2.9 + (optional=templinst)_ZNSt3mapISsN9OptionSetI10OptionsAsmE6OptionESt4lessISsESaISt4pairIKSsS3_EEE11lower_boundERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI10OptionsAsmE6OptionESt4lessISsESaISt4pairIKSsS3_EEE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI10OptionsAsmE6OptionESt4lessISsESaISt4pairIKSsS3_EEE4findERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI10OptionsAsmE6OptionESt4lessISsESaISt4pairIKSsS3_EEE6insertESt17_Rb_tree_iteratorIS8_ERKS8_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI10OptionsAsmE6OptionESt4lessISsESaISt4pairIKSsS3_EEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI10OptionsAsmE6OptionESt4lessISsESaISt4pairIKSsS3_EEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI10OptionsAsmE6OptionESt4lessISsESaISt4pairIKSsS3_EEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI10OptionsAsmE6OptionESt4lessISsESaISt4pairIKSsS3_EEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI10OptionsAsmE6OptionESt4lessISsESaISt4pairIKSsS3_EEEixERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI10OptionsSQLE6OptionESt4lessISsESaISt4pairIKSsS3_EEE11lower_boundERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI10OptionsSQLE6OptionESt4lessISsESaISt4pairIKSsS3_EEE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI10OptionsSQLE6OptionESt4lessISsESaISt4pairIKSsS3_EEE4findERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI10OptionsSQLE6OptionESt4lessISsESaISt4pairIKSsS3_EEE6insertESt17_Rb_tree_iteratorIS8_ERKS8_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI10OptionsSQLE6OptionESt4lessISsESaISt4pairIKSsS3_EEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI10OptionsSQLE6OptionESt4lessISsESaISt4pairIKSsS3_EEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI10OptionsSQLE6OptionESt4lessISsESaISt4pairIKSsS3_EEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI10OptionsSQLE6OptionESt4lessISsESaISt4pairIKSsS3_EEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI10OptionsSQLE6OptionESt4lessISsESaISt4pairIKSsS3_EEEixERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI11OptionsPerlE6OptionESt4lessISsESaISt4pairIKSsS3_EEE11lower_boundERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI11OptionsPerlE6OptionESt4lessISsESaISt4pairIKSsS3_EEE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI11OptionsPerlE6OptionESt4lessISsESaISt4pairIKSsS3_EEE4findERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI11OptionsPerlE6OptionESt4lessISsESaISt4pairIKSsS3_EEE6insertESt17_Rb_tree_iteratorIS8_ERKS8_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI11OptionsPerlE6OptionESt4lessISsESaISt4pairIKSsS3_EEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI11OptionsPerlE6OptionESt4lessISsESaISt4pairIKSsS3_EEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI11OptionsPerlE6OptionESt4lessISsESaISt4pairIKSsS3_EEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI11OptionsPerlE6OptionESt4lessISsESaISt4pairIKSsS3_EEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI11OptionsPerlE6OptionESt4lessISsESaISt4pairIKSsS3_EEEixERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI11OptionsRustE6OptionESt4lessISsESaISt4pairIKSsS3_EEE11lower_boundERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI11OptionsRustE6OptionESt4lessISsESaISt4pairIKSsS3_EEE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI11OptionsRustE6OptionESt4lessISsESaISt4pairIKSsS3_EEE4findERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI11OptionsRustE6OptionESt4lessISsESaISt4pairIKSsS3_EEE6insertESt17_Rb_tree_iteratorIS8_ERKS8_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI11OptionsRustE6OptionESt4lessISsESaISt4pairIKSsS3_EEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI11OptionsRustE6OptionESt4lessISsESaISt4pairIKSsS3_EEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI11OptionsRustE6OptionESt4lessISsESaISt4pairIKSsS3_EEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI11OptionsRustE6OptionESt4lessISsESaISt4pairIKSsS3_EEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI11OptionsRustE6OptionESt4lessISsESaISt4pairIKSsS3_EEEixERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI12OptionsBasicE6OptionESt4lessISsESaISt4pairIKSsS3_EEE11lower_boundERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI12OptionsBasicE6OptionESt4lessISsESaISt4pairIKSsS3_EEE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI12OptionsBasicE6OptionESt4lessISsESaISt4pairIKSsS3_EEE4findERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI12OptionsBasicE6OptionESt4lessISsESaISt4pairIKSsS3_EEE6insertESt17_Rb_tree_iteratorIS8_ERKS8_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI12OptionsBasicE6OptionESt4lessISsESaISt4pairIKSsS3_EEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI12OptionsBasicE6OptionESt4lessISsESaISt4pairIKSsS3_EEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI12OptionsBasicE6OptionESt4lessISsESaISt4pairIKSsS3_EEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI12OptionsBasicE6OptionESt4lessISsESaISt4pairIKSsS3_EEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI12OptionsBasicE6OptionESt4lessISsESaISt4pairIKSsS3_EEEixERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI14OptionsHaskellE6OptionESt4lessISsESaISt4pairIKSsS3_EEE11lower_boundERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI14OptionsHaskellE6OptionESt4lessISsESaISt4pairIKSsS3_EEE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI14OptionsHaskellE6OptionESt4lessISsESaISt4pairIKSsS3_EEE4findERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI14OptionsHaskellE6OptionESt4lessISsESaISt4pairIKSsS3_EEE6insertESt17_Rb_tree_iteratorIS8_ERKS8_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI14OptionsHaskellE6OptionESt4lessISsESaISt4pairIKSsS3_EEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI14OptionsHaskellE6OptionESt4lessISsESaISt4pairIKSsS3_EEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI14OptionsHaskellE6OptionESt4lessISsESaISt4pairIKSsS3_EEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI14OptionsHaskellE6OptionESt4lessISsESaISt4pairIKSsS3_EEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI14OptionsHaskellE6OptionESt4lessISsESaISt4pairIKSsS3_EEEixERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI15OptionsRegistryE6OptionESt4lessISsESaISt4pairIKSsS3_EEE11lower_boundERS7_@Base 2.9 + (optional=templinst)_ZNSt3mapISsN9OptionSetI15OptionsRegistryE6OptionESt4lessISsESaISt4pairIKSsS3_EEE3endEv@Base 2.9 + (optional=templinst)_ZNSt3mapISsN9OptionSetI15OptionsRegistryE6OptionESt4lessISsESaISt4pairIKSsS3_EEE4findERS7_@Base 2.9 + (optional=templinst)_ZNSt3mapISsN9OptionSetI15OptionsRegistryE6OptionESt4lessISsESaISt4pairIKSsS3_EEE6insertESt17_Rb_tree_iteratorIS8_ERKS8_@Base 2.9 + (optional=templinst)_ZNSt3mapISsN9OptionSetI15OptionsRegistryE6OptionESt4lessISsESaISt4pairIKSsS3_EEEC1Ev@Base 2.9 + (optional=templinst)_ZNSt3mapISsN9OptionSetI15OptionsRegistryE6OptionESt4lessISsESaISt4pairIKSsS3_EEEC2Ev@Base 2.9 + (optional=templinst)_ZNSt3mapISsN9OptionSetI15OptionsRegistryE6OptionESt4lessISsESaISt4pairIKSsS3_EEED1Ev@Base 2.9 + (optional=templinst)_ZNSt3mapISsN9OptionSetI15OptionsRegistryE6OptionESt4lessISsESaISt4pairIKSsS3_EEED2Ev@Base 2.9 + (optional=templinst)_ZNSt3mapISsN9OptionSetI15OptionsRegistryE6OptionESt4lessISsESaISt4pairIKSsS3_EEEixERS7_@Base 2.9 + (optional=templinst)_ZNSt3mapISsN9OptionSetI19OptionsVisualPrologE6OptionESt4lessISsESaISt4pairIKSsS3_EEE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI19OptionsVisualPrologE6OptionESt4lessISsESaISt4pairIKSsS3_EEE4findERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI19OptionsVisualPrologE6OptionESt4lessISsESaISt4pairIKSsS3_EEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI19OptionsVisualPrologE6OptionESt4lessISsESaISt4pairIKSsS3_EEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI19OptionsVisualPrologE6OptionESt4lessISsESaISt4pairIKSsS3_EEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI19OptionsVisualPrologE6OptionESt4lessISsESaISt4pairIKSsS3_EEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI8OptionsDE6OptionESt4lessISsESaISt4pairIKSsS3_EEE11lower_boundERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI8OptionsDE6OptionESt4lessISsESaISt4pairIKSsS3_EEE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI8OptionsDE6OptionESt4lessISsESaISt4pairIKSsS3_EEE4findERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI8OptionsDE6OptionESt4lessISsESaISt4pairIKSsS3_EEE6insertESt17_Rb_tree_iteratorIS8_ERKS8_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI8OptionsDE6OptionESt4lessISsESaISt4pairIKSsS3_EEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI8OptionsDE6OptionESt4lessISsESaISt4pairIKSsS3_EEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI8OptionsDE6OptionESt4lessISsESaISt4pairIKSsS3_EEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI8OptionsDE6OptionESt4lessISsESaISt4pairIKSsS3_EEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI8OptionsDE6OptionESt4lessISsESaISt4pairIKSsS3_EEEixERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsSsSt4lessISsESaISt4pairIKSsSsEEE11lower_boundERS3_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsSsSt4lessISsESaISt4pairIKSsSsEEE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsSsSt4lessISsESaISt4pairIKSsSsEEE4findERS3_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsSsSt4lessISsESaISt4pairIKSsSsEEE6insertESt17_Rb_tree_iteratorIS4_ERKS4_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsSsSt4lessISsESaISt4pairIKSsSsEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsSsSt4lessISsESaISt4pairIKSsSsEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsSsSt4lessISsESaISt4pairIKSsSsEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsSsSt4lessISsESaISt4pairIKSsSsEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsSsSt4lessISsESaISt4pairIKSsSsEEEixERS3_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsiSt4lessISsESaISt4pairIKSsiEEE11lower_boundERS3_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsiSt4lessISsESaISt4pairIKSsiEEE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsiSt4lessISsESaISt4pairIKSsiEEE5clearEv@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsiSt4lessISsESaISt4pairIKSsiEEE6insertESt17_Rb_tree_iteratorIS4_ERKS4_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsiSt4lessISsESaISt4pairIKSsiEEEC1ERKS6_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsiSt4lessISsESaISt4pairIKSsiEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsiSt4lessISsESaISt4pairIKSsiEEEC2ERKS6_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsiSt4lessISsESaISt4pairIKSsiEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsiSt4lessISsESaISt4pairIKSsiEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsiSt4lessISsESaISt4pairIKSsiEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsiSt4lessISsESaISt4pairIKSsiEEEaSERKS6_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsiSt4lessISsESaISt4pairIKSsiEEEixERS3_@Base 2.8.4 + (optional=templinst)_ZNSt3mapIi14RepresentationSt4lessIiESaISt4pairIKiS0_EEE11lower_boundERS4_@Base 2.8.4 + (optional=templinst)_ZNSt3mapIi14RepresentationSt4lessIiESaISt4pairIKiS0_EEE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt3mapIi14RepresentationSt4lessIiESaISt4pairIKiS0_EEE4findERS4_@Base 2.8.4 + (optional=templinst)_ZNSt3mapIi14RepresentationSt4lessIiESaISt4pairIKiS0_EEE5clearEv@Base 2.8.4 + (optional=templinst)_ZNSt3mapIi14RepresentationSt4lessIiESaISt4pairIKiS0_EEE5eraseESt17_Rb_tree_iteratorIS5_E@Base 2.8.4 + (optional=templinst)_ZNSt3mapIi14RepresentationSt4lessIiESaISt4pairIKiS0_EEE6insertESt17_Rb_tree_iteratorIS5_ERKS5_@Base 2.8.4 + (optional=templinst)_ZNSt3mapIi14RepresentationSt4lessIiESaISt4pairIKiS0_EEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapIi14RepresentationSt4lessIiESaISt4pairIKiS0_EEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapIi14RepresentationSt4lessIiESaISt4pairIKiS0_EEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapIi14RepresentationSt4lessIiESaISt4pairIKiS0_EEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapIi14RepresentationSt4lessIiESaISt4pairIKiS0_EEEixERS4_@Base 2.8.4 + (optional=templinst)_ZNSt3mapIiiSt4lessIiESaISt4pairIKiiEEE11lower_boundERS3_@Base 2.9 + (optional=templinst)_ZNSt3mapIiiSt4lessIiESaISt4pairIKiiEEE11upper_boundERS3_@Base 2.9 + (optional=templinst)_ZNSt3mapIiiSt4lessIiESaISt4pairIKiiEEE3endEv@Base 2.9 + (optional=templinst)_ZNSt3mapIiiSt4lessIiESaISt4pairIKiiEEE4findERS3_@Base 2.9 + (optional=templinst)_ZNSt3mapIiiSt4lessIiESaISt4pairIKiiEEE5eraseESt17_Rb_tree_iteratorIS4_ES8_@Base 2.9 + (optional=templinst)_ZNSt3mapIiiSt4lessIiESaISt4pairIKiiEEE6insertESt17_Rb_tree_iteratorIS4_ERKS4_@Base 2.9 + (optional=templinst)_ZNSt3mapIiiSt4lessIiESaISt4pairIKiiEEEC1Ev@Base 2.9 + (optional=templinst)_ZNSt3mapIiiSt4lessIiESaISt4pairIKiiEEEC2Ev@Base 2.9 + (optional=templinst)_ZNSt3mapIiiSt4lessIiESaISt4pairIKiiEEED1Ev@Base 2.9 + (optional=templinst)_ZNSt3mapIiiSt4lessIiESaISt4pairIKiiEEED2Ev@Base 2.9 + (optional=templinst)_ZNSt3mapIiiSt4lessIiESaISt4pairIKiiEEEixERS3_@Base 2.9 + (optional=templinst)_ZNSt4pairIK12KeyModifiersjEC1ERS1_RKj@Base 2.9 + (optional=templinst)_ZNSt4pairIK12KeyModifiersjEC2ERS1_RKj@Base 2.9 + (optional=templinst)_ZNSt4pairIK17FontSpecificationP12FontRealisedEC1ERS1_RKS3_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIK17FontSpecificationP12FontRealisedEC2ERS1_RKS3_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN12LexerVerilog11SymbolValueEEC1ERKS3_@Base 2.9 + (optional=templinst)_ZNSt4pairIKSsN12LexerVerilog11SymbolValueEEC1ERS0_RKS2_@Base 2.9 + (optional=templinst)_ZNSt4pairIKSsN12LexerVerilog11SymbolValueEEC2ERKS3_@Base 2.9 + (optional=templinst)_ZNSt4pairIKSsN12LexerVerilog11SymbolValueEEC2ERS0_RKS2_@Base 2.9 + (optional=templinst)_ZNSt4pairIKSsN12LexerVerilog11SymbolValueEED1Ev@Base 2.9 + (optional=templinst)_ZNSt4pairIKSsN12LexerVerilog11SymbolValueEED2Ev@Base 2.9 + (optional=templinst)_ZNSt4pairIKSsN8LexerCPP11SymbolValueEEC1ERKS3_@Base 2.9 + (optional=templinst)_ZNSt4pairIKSsN8LexerCPP11SymbolValueEEC1ERS0_RKS2_@Base 2.9 + (optional=templinst)_ZNSt4pairIKSsN8LexerCPP11SymbolValueEEC2ERKS3_@Base 2.9 + (optional=templinst)_ZNSt4pairIKSsN8LexerCPP11SymbolValueEEC2ERS0_RKS2_@Base 2.9 + (optional=templinst)_ZNSt4pairIKSsN8LexerCPP11SymbolValueEED1Ev@Base 2.9 + (optional=templinst)_ZNSt4pairIKSsN8LexerCPP11SymbolValueEED2Ev@Base 2.9 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEC1ERKS5_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEC1ERS0_RKS4_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEC2ERKS5_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEC2ERS0_RKS4_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEC1ERKS5_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEC1ERS0_RKS4_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEC2ERKS5_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEC2ERS0_RKS4_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEC1ERKS5_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEC1ERS0_RKS4_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEC2ERKS5_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEC2ERS0_RKS4_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEC1ERKS5_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEC1ERS0_RKS4_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEC2ERKS5_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEC2ERS0_RKS4_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEC1ERKS5_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEC1ERS0_RKS4_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEC2ERKS5_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEC2ERS0_RKS4_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEC1ERKS5_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEC1ERS0_RKS4_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEC2ERKS5_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEC2ERS0_RKS4_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEC1ERKS5_@Base 2.9 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEC1ERS0_RKS4_@Base 2.9 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEC2ERKS5_@Base 2.9 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEC2ERS0_RKS4_@Base 2.9 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEED1Ev@Base 2.9 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEED2Ev@Base 2.9 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI8OptionsDE6OptionEEC1ERKS5_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI8OptionsDE6OptionEEC1ERS0_RKS4_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI8OptionsDE6OptionEEC2ERKS5_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI8OptionsDE6OptionEEC2ERS0_RKS4_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI8OptionsDE6OptionEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI8OptionsDE6OptionEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsSsEC1ERKS1_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsSsEC1ERS0_S2_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsSsEC2ERKS1_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsSsEC2ERS0_S2_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsSsED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsSsED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsiEC1ERKS1_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsiEC1ERS0_RKi@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsiEC2ERKS1_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsiEC2ERS0_RKi@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsiED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsiED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKi14RepresentationEC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKi14RepresentationEC1ERS0_RKS1_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKi14RepresentationEC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKi14RepresentationEC2ERS0_RKS1_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKi14RepresentationED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKi14RepresentationED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKiiEC1ERS0_S2_@Base 2.9 + (optional=templinst)_ZNSt4pairIKiiEC2ERS0_S2_@Base 2.9 + (optional=templinst)_ZNSt4pairIPSt18_Rb_tree_node_baseS1_EC1ERKS1_S4_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIPSt18_Rb_tree_node_baseS1_EC2ERKS1_S4_@Base 2.8.4 + (optional=templinst)_ZNSt4pairISt17_Rb_tree_iteratorIS_IKSsN12LexerVerilog11SymbolValueEEES5_EC1ERKS5_S8_@Base 2.9 + (optional=templinst)_ZNSt4pairISt17_Rb_tree_iteratorIS_IKSsN12LexerVerilog11SymbolValueEEES5_EC2ERKS5_S8_@Base 2.9 + (optional=templinst)_ZNSt4pairISt17_Rb_tree_iteratorIS_IKSsN8LexerCPP11SymbolValueEEES5_EC1ERKS5_S8_@Base 2.9 + (optional=templinst)_ZNSt4pairISt17_Rb_tree_iteratorIS_IKSsN8LexerCPP11SymbolValueEEES5_EC2ERKS5_S8_@Base 2.9 + (optional=templinst|subst)_ZNSt6vectorI13latexFoldSaveSaIS0_EE14_M_fill_insertEN9__gnu_cxx17__normal_iteratorIPS0_S2_EE{size_t}RKS0_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI13latexFoldSaveSaIS0_EE15_M_erase_at_endEPS0_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI13latexFoldSaveSaIS0_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI13latexFoldSaveSaIS0_EE5beginEv@Base 2.8.4 + (optional=templinst|subst)_ZNSt6vectorI13latexFoldSaveSaIS0_EE6insertEN9__gnu_cxx17__normal_iteratorIPS0_S2_EE{size_t}RKS0_@Base 2.8.4 + (optional=templinst|subst)_ZNSt6vectorI13latexFoldSaveSaIS0_EE6resizeE{size_t}S0_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI13latexFoldSaveSaIS0_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI13latexFoldSaveSaIS0_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI13latexFoldSaveSaIS0_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI13latexFoldSaveSaIS0_EED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt6vectorI13latexFoldSaveSaIS0_EEixE{size_t}@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14SelectionRangeSaIS0_EE13_M_insert_auxEN9__gnu_cxx17__normal_iteratorIPS0_S2_EERKS0_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14SelectionRangeSaIS0_EE15_M_erase_at_endEPS0_@Base 2.8.4 + (optional=templinst|subst)_ZNSt6vectorI14SelectionRangeSaIS0_EE20_M_allocate_and_copyIN9__gnu_cxx17__normal_iteratorIPKS0_S2_EEEEPS0_{size_t}T_SA_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14SelectionRangeSaIS0_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14SelectionRangeSaIS0_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14SelectionRangeSaIS0_EE5clearEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14SelectionRangeSaIS0_EE5eraseEN9__gnu_cxx17__normal_iteratorIPS0_S2_EE@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14SelectionRangeSaIS0_EE8_M_eraseEN9__gnu_cxx17__normal_iteratorIPS0_S2_EE@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14SelectionRangeSaIS0_EE8pop_backEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14SelectionRangeSaIS0_EE9push_backERKS0_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14SelectionRangeSaIS0_EEC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14SelectionRangeSaIS0_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14SelectionRangeSaIS0_EEC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14SelectionRangeSaIS0_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14SelectionRangeSaIS0_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14SelectionRangeSaIS0_EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14SelectionRangeSaIS0_EEaSERKS2_@Base 2.8.4 + (optional=templinst|subst)_ZNSt6vectorI14SelectionRangeSaIS0_EEixE{size_t}@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14WordClassifierSaIS0_EE13_M_insert_auxEN9__gnu_cxx17__normal_iteratorIPS0_S2_EERKS0_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14WordClassifierSaIS0_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14WordClassifierSaIS0_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14WordClassifierSaIS0_EE9push_backERKS0_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14WordClassifierSaIS0_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14WordClassifierSaIS0_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14WordClassifierSaIS0_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14WordClassifierSaIS0_EED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt6vectorI14WordClassifierSaIS0_EEixE{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZNSt6vectorI18PositionCacheEntrySaIS0_EE14_M_fill_insertEN9__gnu_cxx17__normal_iteratorIPS0_S2_EE{size_t}RKS0_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI18PositionCacheEntrySaIS0_EE15_M_erase_at_endEPS0_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI18PositionCacheEntrySaIS0_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI18PositionCacheEntrySaIS0_EE5beginEv@Base 2.8.4 + (optional=templinst|subst)_ZNSt6vectorI18PositionCacheEntrySaIS0_EE6insertEN9__gnu_cxx17__normal_iteratorIPS0_S2_EE{size_t}RKS0_@Base 2.8.4 + (optional=templinst|subst)_ZNSt6vectorI18PositionCacheEntrySaIS0_EE6resizeE{size_t}S0_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI18PositionCacheEntrySaIS0_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI18PositionCacheEntrySaIS0_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI18PositionCacheEntrySaIS0_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI18PositionCacheEntrySaIS0_EED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt6vectorI18PositionCacheEntrySaIS0_EEixE{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZNSt6vectorI5StyleSaIS0_EE14_M_fill_insertEN9__gnu_cxx17__normal_iteratorIPS0_S2_EE{size_t}RKS0_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI5StyleSaIS0_EE15_M_erase_at_endEPS0_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI5StyleSaIS0_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI5StyleSaIS0_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI5StyleSaIS0_EE5clearEv@Base 2.8.4 + (optional=templinst|subst)_ZNSt6vectorI5StyleSaIS0_EE6insertEN9__gnu_cxx17__normal_iteratorIPS0_S2_EE{size_t}RKS0_@Base 2.8.4 + (optional=templinst|subst)_ZNSt6vectorI5StyleSaIS0_EE6resizeE{size_t}S0_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI5StyleSaIS0_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI5StyleSaIS0_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI5StyleSaIS0_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI5StyleSaIS0_EED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt6vectorI5StyleSaIS0_EEixE{size_t}@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI9DrawPhaseSaIS0_EE13_M_insert_auxEN9__gnu_cxx17__normal_iteratorIPS0_S2_EERKS0_@Base 2.9 + (optional=templinst)_ZNSt6vectorI9DrawPhaseSaIS0_EE3endEv@Base 2.9 + (optional=templinst)_ZNSt6vectorI9DrawPhaseSaIS0_EE5beginEv@Base 2.9 + (optional=templinst)_ZNSt6vectorI9DrawPhaseSaIS0_EE9push_backERKS0_@Base 2.9 + (optional=templinst)_ZNSt6vectorI9DrawPhaseSaIS0_EEC1Ev@Base 2.9 + (optional=templinst)_ZNSt6vectorI9DrawPhaseSaIS0_EEC2Ev@Base 2.9 + (optional=templinst)_ZNSt6vectorI9DrawPhaseSaIS0_EED1Ev@Base 2.9 + (optional=templinst)_ZNSt6vectorI9DrawPhaseSaIS0_EED2Ev@Base 2.9 + (optional=templinst)_ZNSt6vectorIN11SparseStateISsE5StateESaIS2_EE13_M_insert_auxEN9__gnu_cxx17__normal_iteratorIPS2_S4_EERKS2_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateISsE5StateESaIS2_EE15_M_erase_at_endEPS2_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateISsE5StateESaIS2_EE15_M_range_insertIN9__gnu_cxx17__normal_iteratorIPKS2_S4_EEEEvNS7_IPS2_S4_EET_SD_St20forward_iterator_tag@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateISsE5StateESaIS2_EE18_M_insert_dispatchIN9__gnu_cxx17__normal_iteratorIPKS2_S4_EEEEvNS7_IPS2_S4_EET_SD_St12__false_type@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateISsE5StateESaIS2_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateISsE5StateESaIS2_EE4backEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateISsE5StateESaIS2_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateISsE5StateESaIS2_EE5eraseEN9__gnu_cxx17__normal_iteratorIPS2_S4_EES8_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateISsE5StateESaIS2_EE6insertIN9__gnu_cxx17__normal_iteratorIPKS2_S4_EEEEvNS7_IPS2_S4_EET_SD_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateISsE5StateESaIS2_EE8_M_eraseEN9__gnu_cxx17__normal_iteratorIPS2_S4_EES8_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateISsE5StateESaIS2_EE9push_backERKS2_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateISsE5StateESaIS2_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateISsE5StateESaIS2_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateISsE5StateESaIS2_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateISsE5StateESaIS2_EED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt6vectorIN11SparseStateISsE5StateESaIS2_EEixE{size_t}@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateIjE5StateESaIS2_EE13_M_insert_auxEN9__gnu_cxx17__normal_iteratorIPS2_S4_EERKS2_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateIjE5StateESaIS2_EE15_M_erase_at_endEPS2_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateIjE5StateESaIS2_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateIjE5StateESaIS2_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateIjE5StateESaIS2_EE5eraseEN9__gnu_cxx17__normal_iteratorIPS2_S4_EES8_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateIjE5StateESaIS2_EE8_M_eraseEN9__gnu_cxx17__normal_iteratorIPS2_S4_EES8_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateIjE5StateESaIS2_EE9push_backERKS2_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateIjE5StateESaIS2_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateIjE5StateESaIS2_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateIjE5StateESaIS2_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateIjE5StateESaIS2_EED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt6vectorIN11SparseStateIjE5StateESaIS2_EEixE{size_t}@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN8Document19WatcherWithUserDataESaIS1_EE13_M_insert_auxEN9__gnu_cxx17__normal_iteratorIPS1_S3_EERKS1_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN8Document19WatcherWithUserDataESaIS1_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN8Document19WatcherWithUserDataESaIS1_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN8Document19WatcherWithUserDataESaIS1_EE5eraseEN9__gnu_cxx17__normal_iteratorIPS1_S3_EE@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN8Document19WatcherWithUserDataESaIS1_EE8_M_eraseEN9__gnu_cxx17__normal_iteratorIPS1_S3_EE@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN8Document19WatcherWithUserDataESaIS1_EE9push_backERKS1_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN8Document19WatcherWithUserDataESaIS1_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN8Document19WatcherWithUserDataESaIS1_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN8Document19WatcherWithUserDataESaIS1_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN8Document19WatcherWithUserDataESaIS1_EED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt6vectorIP10LineLayoutSaIS1_EE14_M_fill_insertEN9__gnu_cxx17__normal_iteratorIPS1_S3_EE{size_t}RKS1_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP10LineLayoutSaIS1_EE15_M_erase_at_endEPS1_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP10LineLayoutSaIS1_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP10LineLayoutSaIS1_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP10LineLayoutSaIS1_EE5clearEv@Base 2.8.4 + (optional=templinst|subst)_ZNSt6vectorIP10LineLayoutSaIS1_EE6insertEN9__gnu_cxx17__normal_iteratorIPS1_S3_EE{size_t}RKS1_@Base 2.8.4 + (optional=templinst|subst)_ZNSt6vectorIP10LineLayoutSaIS1_EE6resizeE{size_t}S1_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP10LineLayoutSaIS1_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP10LineLayoutSaIS1_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP10LineLayoutSaIS1_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP10LineLayoutSaIS1_EED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt6vectorIP10LineLayoutSaIS1_EEixE{size_t}@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP11LexerModuleSaIS1_EE13_M_insert_auxEN9__gnu_cxx17__normal_iteratorIPS1_S3_EERKS1_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP11LexerModuleSaIS1_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP11LexerModuleSaIS1_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP11LexerModuleSaIS1_EE9push_backERKS1_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP11LexerModuleSaIS1_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP11LexerModuleSaIS1_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP11LexerModuleSaIS1_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP11LexerModuleSaIS1_EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP14SelectionRangeSaIS1_EE13_M_insert_auxEN9__gnu_cxx17__normal_iteratorIPS1_S3_EERKS1_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP14SelectionRangeSaIS1_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP14SelectionRangeSaIS1_EE4rendEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP14SelectionRangeSaIS1_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP14SelectionRangeSaIS1_EE6rbeginEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP14SelectionRangeSaIS1_EE9push_backERKS1_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP14SelectionRangeSaIS1_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP14SelectionRangeSaIS1_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP14SelectionRangeSaIS1_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP14SelectionRangeSaIS1_EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIPcSaIS0_EE13_M_insert_auxEN9__gnu_cxx17__normal_iteratorIPS0_S2_EERKS0_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIPcSaIS0_EE15_M_erase_at_endEPS0_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIPcSaIS0_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIPcSaIS0_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIPcSaIS0_EE5clearEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIPcSaIS0_EE9push_backERKS0_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIPcSaIS0_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIPcSaIS0_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIPcSaIS0_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIPcSaIS0_EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorISsSaISsEE13_M_insert_auxEN9__gnu_cxx17__normal_iteratorIPSsS1_EERKSs@Base 2.8.4 + (optional=templinst)_ZNSt6vectorISsSaISsEE15_M_erase_at_endEPSs@Base 2.8.4 + (optional=templinst)_ZNSt6vectorISsSaISsEE15_M_range_insertIN9__gnu_cxx17__normal_iteratorIPSsS1_EEEEvS6_T_S7_St20forward_iterator_tag@Base 2.8.4 + (optional=templinst|subst)_ZNSt6vectorISsSaISsEE18_M_fill_initializeE{size_t}RKSs@Base 2.9 + (optional=templinst)_ZNSt6vectorISsSaISsEE18_M_insert_dispatchIN9__gnu_cxx17__normal_iteratorIPSsS1_EEEEvS6_T_S7_St12__false_type@Base 2.8.4 + (optional=templinst)_ZNSt6vectorISsSaISsEE19_M_range_initializeIN9__gnu_cxx17__normal_iteratorIPSsS1_EEEEvT_S7_St20forward_iterator_tag@Base 2.8.4 + (optional=templinst)_ZNSt6vectorISsSaISsEE22_M_initialize_dispatchIN9__gnu_cxx17__normal_iteratorIPSsS1_EEEEvT_S7_St12__false_type@Base 2.8.4 + (optional=templinst|subst)_ZNSt6vectorISsSaISsEE2atE{size_t}@Base 2.9 + (optional=templinst)_ZNSt6vectorISsSaISsEE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorISsSaISsEE4backEv@Base 2.9 + (optional=templinst)_ZNSt6vectorISsSaISsEE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorISsSaISsEE5eraseEN9__gnu_cxx17__normal_iteratorIPSsS1_EE@Base 2.9 + (optional=templinst)_ZNSt6vectorISsSaISsEE5eraseEN9__gnu_cxx17__normal_iteratorIPSsS1_EES5_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorISsSaISsEE6insertEN9__gnu_cxx17__normal_iteratorIPSsS1_EERKSs@Base 2.8.4 + (optional=templinst)_ZNSt6vectorISsSaISsEE6insertIN9__gnu_cxx17__normal_iteratorIPSsS1_EEEEvS6_T_S7_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorISsSaISsEE8_M_eraseEN9__gnu_cxx17__normal_iteratorIPSsS1_EE@Base 2.9 + (optional=templinst)_ZNSt6vectorISsSaISsEE8_M_eraseEN9__gnu_cxx17__normal_iteratorIPSsS1_EES5_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorISsSaISsEE9push_backERKSs@Base 2.8.4 + (optional=templinst)_ZNSt6vectorISsSaISsEEC1Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt6vectorISsSaISsEEC1E{size_t}RKSsRKS0_@Base 2.9 + (optional=templinst)_ZNSt6vectorISsSaISsEEC1IN9__gnu_cxx17__normal_iteratorIPSsS1_EEEET_S7_RKS0_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorISsSaISsEEC2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt6vectorISsSaISsEEC2E{size_t}RKSsRKS0_@Base 2.9 + (optional=templinst)_ZNSt6vectorISsSaISsEEC2IN9__gnu_cxx17__normal_iteratorIPSsS1_EEEET_S7_RKS0_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorISsSaISsEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorISsSaISsEED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt6vectorISsSaISsEEixE{size_t}@Base 2.8.4 + (optional=templinst|subst)_ZNSt6vectorIcSaIcEE18_M_fill_initializeE{size_t}RKc@Base 2.8.4 + (optional=templinst|subst)_ZNSt6vectorIcSaIcEEC1E{size_t}RKcRKS0_@Base 2.8.4 + (optional=templinst|subst)_ZNSt6vectorIcSaIcEEC2E{size_t}RKcRKS0_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIcSaIcEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIcSaIcEED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt6vectorIcSaIcEEixE{size_t}@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIiSaIiEE13_M_insert_auxEN9__gnu_cxx17__normal_iteratorIPiS1_EERKi@Base 2.8.4 + (optional=templinst|subst)_ZNSt6vectorIiSaIiEE14_M_fill_insertEN9__gnu_cxx17__normal_iteratorIPiS1_EE{size_t}RKi@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIiSaIiEE15_M_erase_at_endEPi@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIiSaIiEE18_M_insert_dispatchIiEEvN9__gnu_cxx17__normal_iteratorIPiS1_EET_S7_St11__true_type@Base 2.8.4 + (optional=templinst|subst)_ZNSt6vectorIiSaIiEE20_M_allocate_and_copyIPiEES3_{size_t}T_S4_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIiSaIiEE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIiSaIiEE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIiSaIiEE5clearEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIiSaIiEE6insertEN9__gnu_cxx17__normal_iteratorIPiS1_EERKi@Base 2.9 + (optional=templinst|subst)_ZNSt6vectorIiSaIiEE6insertEN9__gnu_cxx17__normal_iteratorIPiS1_EE{size_t}RKi@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIiSaIiEE6insertIiEEvN9__gnu_cxx17__normal_iteratorIPiS1_EET_S7_@Base 2.8.4 + (optional=templinst|subst)_ZNSt6vectorIiSaIiEE6resizeE{size_t}i@Base 2.8.4 + (optional=templinst|subst)_ZNSt6vectorIiSaIiEE7reserveE{size_t}@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIiSaIiEE9push_backERKi@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIiSaIiEEC1ERKS1_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIiSaIiEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIiSaIiEEC2ERKS1_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIiSaIiEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIiSaIiEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIiSaIiEED2Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt6vectorIiSaIiEEixE{size_t}@Base 2.8.4 + (optional=templinst)_ZNSt7__equalILb0EE5equalIPN11SparseStateISsE5StateEPKS4_EEbT_S8_T0_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE10_M_insert_EPSt18_Rb_tree_node_baseSB_RKS3_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE11_M_get_nodeEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE11_M_leftmostEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE11_M_put_nodeEPSt13_Rb_tree_nodeIS3_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE11lower_boundERS2_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE12_M_rightmostEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE13_Rb_tree_implIS7_Lb0EE13_M_initializeEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE13_Rb_tree_implIS7_Lb0EEC1Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE13_Rb_tree_implIS7_Lb0EEC2Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE13_Rb_tree_implIS7_Lb0EED1Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE13_Rb_tree_implIS7_Lb0EED2Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE14_M_create_nodeERKS3_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE14_M_lower_boundEPSt13_Rb_tree_nodeIS3_ESC_RS2_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE15_M_destroy_nodeEPSt13_Rb_tree_nodeIS3_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE17_M_insert_unique_ESt23_Rb_tree_const_iteratorIS3_ERKS3_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE21_M_get_Node_allocatorEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE24_M_get_insert_unique_posERS2_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE29_M_get_insert_hint_unique_posESt23_Rb_tree_const_iteratorIS3_ERS2_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE3endEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE5beginEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE5clearEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE6_M_endEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE6_S_keyEPKSt13_Rb_tree_nodeIS3_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE6_S_keyEPKSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE7_M_rootEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE7_S_leftEPKSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE7_S_leftEPSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE8_M_beginEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE8_M_eraseEPSt13_Rb_tree_nodeIS3_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE8_S_rightEPKSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE8_S_rightEPSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE8_S_valueEPKSt13_Rb_tree_nodeIS3_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE8_S_valueEPKSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EEC1Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EEC2Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EED1Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EED2Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE10_M_insert_EPSt18_Rb_tree_node_baseSD_RKS5_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE11_M_get_nodeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE11_M_leftmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE11_M_put_nodeEPSt13_Rb_tree_nodeIS5_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE11lower_boundERS2_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE12_M_rightmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE13_Rb_tree_implIS9_Lb0EE13_M_initializeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE13_Rb_tree_implIS9_Lb0EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE13_Rb_tree_implIS9_Lb0EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE13_Rb_tree_implIS9_Lb0EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE13_Rb_tree_implIS9_Lb0EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE14_M_create_nodeERKS5_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE14_M_lower_boundEPSt13_Rb_tree_nodeIS5_ESE_RS2_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE15_M_destroy_nodeEPSt13_Rb_tree_nodeIS5_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE17_M_insert_unique_ESt23_Rb_tree_const_iteratorIS5_ERKS5_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE24_M_get_insert_unique_posERS2_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE29_M_get_insert_hint_unique_posESt23_Rb_tree_const_iteratorIS5_ERS2_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE4findERS2_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE5clearEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE6_M_endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE6_S_keyEPKSt13_Rb_tree_nodeIS5_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE6_S_keyEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE7_M_rootEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE7_S_leftEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE8_M_beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE8_M_eraseEPSt13_Rb_tree_nodeIS5_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE8_S_rightEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE8_S_valueEPKSt13_Rb_tree_nodeIS5_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE8_S_valueEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE10_M_insert_EPSt18_Rb_tree_node_baseSC_RKS4_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE10_S_maximumEPSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE10_S_minimumEPSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE11_M_get_nodeEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE11_M_leftmostEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE11_M_put_nodeEPSt13_Rb_tree_nodeIS4_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE11equal_rangeERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE11lower_boundERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE12_M_erase_auxESt23_Rb_tree_const_iteratorIS4_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE12_M_erase_auxESt23_Rb_tree_const_iteratorIS4_ESC_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE12_M_rightmostEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE13_M_clone_nodeEPKSt13_Rb_tree_nodeIS4_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE13_Rb_tree_implIS8_Lb0EE13_M_initializeEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE13_Rb_tree_implIS8_Lb0EEC1ERKS8_RKSaISt13_Rb_tree_nodeIS4_EE@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE13_Rb_tree_implIS8_Lb0EEC1Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE13_Rb_tree_implIS8_Lb0EEC2ERKS8_RKSaISt13_Rb_tree_nodeIS4_EE@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE13_Rb_tree_implIS8_Lb0EEC2Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE13_Rb_tree_implIS8_Lb0EED1Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE13_Rb_tree_implIS8_Lb0EED2Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE14_M_create_nodeERKS4_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE14_M_lower_boundEPSt13_Rb_tree_nodeIS4_ESD_RS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE14_M_upper_boundEPSt13_Rb_tree_nodeIS4_ESD_RS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE15_M_destroy_nodeEPSt13_Rb_tree_nodeIS4_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE17_M_insert_unique_ESt23_Rb_tree_const_iteratorIS4_ERKS4_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE21_M_get_Node_allocatorEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE24_M_get_insert_unique_posERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE29_M_get_insert_hint_unique_posESt23_Rb_tree_const_iteratorIS4_ERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE3endEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE4findERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE5beginEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE5clearEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE5eraseERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE5eraseESt17_Rb_tree_iteratorIS4_ESC_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE5eraseESt23_Rb_tree_const_iteratorIS4_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE6_M_endEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE6_S_keyEPKSt13_Rb_tree_nodeIS4_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE6_S_keyEPKSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE7_M_copyEPKSt13_Rb_tree_nodeIS4_EPSC_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE7_M_rootEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE7_S_leftEPKSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE7_S_leftEPSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE8_M_beginEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE8_M_eraseEPSt13_Rb_tree_nodeIS4_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE8_S_rightEPKSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE8_S_rightEPSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE8_S_valueEPKSt13_Rb_tree_nodeIS4_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE8_S_valueEPKSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EEC1ERKSA_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EEC1Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EEC2ERKSA_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EEC2Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EED1Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EED2Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE10_M_insert_EPSt18_Rb_tree_node_baseSC_RKS4_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE10_S_maximumEPSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE10_S_minimumEPSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE11_M_get_nodeEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE11_M_leftmostEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE11_M_put_nodeEPSt13_Rb_tree_nodeIS4_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE11equal_rangeERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE11lower_boundERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE12_M_erase_auxESt23_Rb_tree_const_iteratorIS4_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE12_M_erase_auxESt23_Rb_tree_const_iteratorIS4_ESC_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE12_M_rightmostEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE13_M_clone_nodeEPKSt13_Rb_tree_nodeIS4_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE13_Rb_tree_implIS8_Lb0EE13_M_initializeEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE13_Rb_tree_implIS8_Lb0EEC1ERKS8_RKSaISt13_Rb_tree_nodeIS4_EE@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE13_Rb_tree_implIS8_Lb0EEC1Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE13_Rb_tree_implIS8_Lb0EEC2ERKS8_RKSaISt13_Rb_tree_nodeIS4_EE@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE13_Rb_tree_implIS8_Lb0EEC2Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE13_Rb_tree_implIS8_Lb0EED1Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE13_Rb_tree_implIS8_Lb0EED2Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE14_M_create_nodeERKS4_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE14_M_lower_boundEPSt13_Rb_tree_nodeIS4_ESD_RS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE14_M_upper_boundEPSt13_Rb_tree_nodeIS4_ESD_RS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE15_M_destroy_nodeEPSt13_Rb_tree_nodeIS4_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE17_M_insert_unique_ESt23_Rb_tree_const_iteratorIS4_ERKS4_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE21_M_get_Node_allocatorEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE24_M_get_insert_unique_posERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE29_M_get_insert_hint_unique_posESt23_Rb_tree_const_iteratorIS4_ERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE3endEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE4findERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE5beginEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE5clearEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE5eraseERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE5eraseESt17_Rb_tree_iteratorIS4_ESC_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE5eraseESt23_Rb_tree_const_iteratorIS4_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE6_M_endEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE6_S_keyEPKSt13_Rb_tree_nodeIS4_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE6_S_keyEPKSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE7_M_copyEPKSt13_Rb_tree_nodeIS4_EPSC_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE7_M_rootEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE7_S_leftEPKSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE7_S_leftEPSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE8_M_beginEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE8_M_eraseEPSt13_Rb_tree_nodeIS4_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE8_S_rightEPKSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE8_S_rightEPSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE8_S_valueEPKSt13_Rb_tree_nodeIS4_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE8_S_valueEPKSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EEC1ERKSA_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EEC1Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EEC2ERKSA_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EEC2Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EED1Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EED2Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE10_M_insert_EPSt18_Rb_tree_node_baseSE_RKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_get_nodeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_leftmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_put_nodeEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11lower_boundERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE12_M_rightmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EE13_M_initializeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE14_M_create_nodeERKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE14_M_lower_boundEPSt13_Rb_tree_nodeIS6_ESF_RS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE15_M_destroy_nodeEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE17_M_insert_unique_ESt23_Rb_tree_const_iteratorIS6_ERKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE24_M_get_insert_unique_posERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE29_M_get_insert_hint_unique_posESt23_Rb_tree_const_iteratorIS6_ERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE4findERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_M_endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_S_keyEPKSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_S_keyEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE7_S_leftEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_M_beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_M_eraseEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_rightEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_valueEPKSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_valueEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE10_M_insert_EPSt18_Rb_tree_node_baseSE_RKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_get_nodeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_leftmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_put_nodeEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11lower_boundERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE12_M_rightmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EE13_M_initializeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE14_M_create_nodeERKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE14_M_lower_boundEPSt13_Rb_tree_nodeIS6_ESF_RS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE15_M_destroy_nodeEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE17_M_insert_unique_ESt23_Rb_tree_const_iteratorIS6_ERKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE24_M_get_insert_unique_posERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE29_M_get_insert_hint_unique_posESt23_Rb_tree_const_iteratorIS6_ERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE4findERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_M_endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_S_keyEPKSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_S_keyEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE7_S_leftEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_M_beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_M_eraseEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_rightEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_valueEPKSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_valueEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE10_M_insert_EPSt18_Rb_tree_node_baseSE_RKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_get_nodeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_leftmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_put_nodeEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11lower_boundERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE12_M_rightmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EE13_M_initializeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE14_M_create_nodeERKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE14_M_lower_boundEPSt13_Rb_tree_nodeIS6_ESF_RS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE15_M_destroy_nodeEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE17_M_insert_unique_ESt23_Rb_tree_const_iteratorIS6_ERKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE24_M_get_insert_unique_posERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE29_M_get_insert_hint_unique_posESt23_Rb_tree_const_iteratorIS6_ERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE4findERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_M_endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_S_keyEPKSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_S_keyEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE7_S_leftEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_M_beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_M_eraseEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_rightEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_valueEPKSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_valueEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE10_M_insert_EPSt18_Rb_tree_node_baseSE_RKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_get_nodeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_leftmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_put_nodeEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11lower_boundERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE12_M_rightmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EE13_M_initializeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE14_M_create_nodeERKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE14_M_lower_boundEPSt13_Rb_tree_nodeIS6_ESF_RS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE15_M_destroy_nodeEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE17_M_insert_unique_ESt23_Rb_tree_const_iteratorIS6_ERKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE24_M_get_insert_unique_posERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE29_M_get_insert_hint_unique_posESt23_Rb_tree_const_iteratorIS6_ERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE4findERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_M_endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_S_keyEPKSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_S_keyEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE7_S_leftEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_M_beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_M_eraseEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_rightEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_valueEPKSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_valueEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE10_M_insert_EPSt18_Rb_tree_node_baseSE_RKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_get_nodeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_leftmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_put_nodeEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11lower_boundERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE12_M_rightmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EE13_M_initializeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE14_M_create_nodeERKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE14_M_lower_boundEPSt13_Rb_tree_nodeIS6_ESF_RS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE15_M_destroy_nodeEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE17_M_insert_unique_ESt23_Rb_tree_const_iteratorIS6_ERKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE24_M_get_insert_unique_posERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE29_M_get_insert_hint_unique_posESt23_Rb_tree_const_iteratorIS6_ERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE4findERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_M_endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_S_keyEPKSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_S_keyEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE7_S_leftEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_M_beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_M_eraseEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_rightEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_valueEPKSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_valueEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE10_M_insert_EPSt18_Rb_tree_node_baseSE_RKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_get_nodeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_leftmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_put_nodeEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11lower_boundERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE12_M_rightmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EE13_M_initializeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE14_M_create_nodeERKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE14_M_lower_boundEPSt13_Rb_tree_nodeIS6_ESF_RS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE15_M_destroy_nodeEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE17_M_insert_unique_ESt23_Rb_tree_const_iteratorIS6_ERKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE24_M_get_insert_unique_posERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE29_M_get_insert_hint_unique_posESt23_Rb_tree_const_iteratorIS6_ERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE4findERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_M_endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_S_keyEPKSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_S_keyEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE7_S_leftEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_M_beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_M_eraseEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_rightEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_valueEPKSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_valueEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE10_M_insert_EPSt18_Rb_tree_node_baseSE_RKS6_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_get_nodeEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_leftmostEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_put_nodeEPSt13_Rb_tree_nodeIS6_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11lower_boundERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE12_M_rightmostEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EE13_M_initializeEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EEC1Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EEC2Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EED1Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EED2Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE14_M_create_nodeERKS6_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE14_M_lower_boundEPSt13_Rb_tree_nodeIS6_ESF_RS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE15_M_destroy_nodeEPSt13_Rb_tree_nodeIS6_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE17_M_insert_unique_ESt23_Rb_tree_const_iteratorIS6_ERKS6_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE21_M_get_Node_allocatorEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE24_M_get_insert_unique_posERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE29_M_get_insert_hint_unique_posESt23_Rb_tree_const_iteratorIS6_ERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE3endEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE4findERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE5beginEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_M_endEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_S_keyEPKSt13_Rb_tree_nodeIS6_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_S_keyEPKSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE7_S_leftEPSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_M_beginEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_M_eraseEPSt13_Rb_tree_nodeIS6_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_rightEPSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_valueEPKSt13_Rb_tree_nodeIS6_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_valueEPKSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EEC1Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EEC2Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EED1Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EED2Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_put_nodeEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EE13_M_initializeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE14_M_lower_boundEPSt13_Rb_tree_nodeIS6_ESF_RS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE15_M_destroy_nodeEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE4findERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_M_endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_S_keyEPKSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_S_keyEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE7_S_leftEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_M_beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_M_eraseEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_rightEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_valueEPKSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_valueEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE10_M_insert_EPSt18_Rb_tree_node_baseSE_RKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_get_nodeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_leftmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_put_nodeEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11lower_boundERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE12_M_rightmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EE13_M_initializeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE14_M_create_nodeERKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE14_M_lower_boundEPSt13_Rb_tree_nodeIS6_ESF_RS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE15_M_destroy_nodeEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE17_M_insert_unique_ESt23_Rb_tree_const_iteratorIS6_ERKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE24_M_get_insert_unique_posERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE29_M_get_insert_hint_unique_posESt23_Rb_tree_const_iteratorIS6_ERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE4findERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_M_endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_S_keyEPKSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_S_keyEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE7_S_leftEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_M_beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_M_eraseEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_rightEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_valueEPKSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_valueEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE10_M_insert_EPSt18_Rb_tree_node_baseSA_RKS2_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE11_M_get_nodeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE11_M_leftmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE11_M_put_nodeEPSt13_Rb_tree_nodeIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE11lower_boundERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE12_M_rightmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE13_Rb_tree_implIS6_Lb0EE13_M_initializeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE13_Rb_tree_implIS6_Lb0EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE13_Rb_tree_implIS6_Lb0EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE13_Rb_tree_implIS6_Lb0EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE13_Rb_tree_implIS6_Lb0EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE14_M_create_nodeERKS2_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE14_M_lower_boundEPSt13_Rb_tree_nodeIS2_ESB_RS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE15_M_destroy_nodeEPSt13_Rb_tree_nodeIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE17_M_insert_unique_ESt23_Rb_tree_const_iteratorIS2_ERKS2_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE24_M_get_insert_unique_posERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE29_M_get_insert_hint_unique_posESt23_Rb_tree_const_iteratorIS2_ERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE4findERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE6_M_endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE6_S_keyEPKSt13_Rb_tree_nodeIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE6_S_keyEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE7_S_leftEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE8_M_beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE8_M_eraseEPSt13_Rb_tree_nodeIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE8_S_rightEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE8_S_valueEPKSt13_Rb_tree_nodeIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE8_S_valueEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE10_M_insert_EPSt18_Rb_tree_node_baseSA_RKS2_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE10_S_maximumEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE10_S_minimumEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE11_M_get_nodeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE11_M_leftmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE11_M_put_nodeEPSt13_Rb_tree_nodeIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE11lower_boundERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE12_M_rightmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE13_M_clone_nodeEPKSt13_Rb_tree_nodeIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE13_Rb_tree_implIS6_Lb0EE13_M_initializeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE13_Rb_tree_implIS6_Lb0EEC1ERKS6_RKSaISt13_Rb_tree_nodeIS2_EE@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE13_Rb_tree_implIS6_Lb0EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE13_Rb_tree_implIS6_Lb0EEC2ERKS6_RKSaISt13_Rb_tree_nodeIS2_EE@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE13_Rb_tree_implIS6_Lb0EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE13_Rb_tree_implIS6_Lb0EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE13_Rb_tree_implIS6_Lb0EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE14_M_create_nodeERKS2_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE14_M_lower_boundEPSt13_Rb_tree_nodeIS2_ESB_RS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE15_M_destroy_nodeEPSt13_Rb_tree_nodeIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE17_M_insert_unique_ESt23_Rb_tree_const_iteratorIS2_ERKS2_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE24_M_get_insert_unique_posERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE29_M_get_insert_hint_unique_posESt23_Rb_tree_const_iteratorIS2_ERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE5clearEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE6_M_endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE6_S_keyEPKSt13_Rb_tree_nodeIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE6_S_keyEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE7_M_copyEPKSt13_Rb_tree_nodeIS2_EPSA_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE7_M_rootEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE7_S_leftEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE7_S_leftEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE8_M_beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE8_M_eraseEPSt13_Rb_tree_nodeIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE8_S_rightEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE8_S_rightEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE8_S_valueEPKSt13_Rb_tree_nodeIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE8_S_valueEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EEC1ERKS8_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EEC2ERKS8_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EEaSERKS8_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE10_M_insert_EPSt18_Rb_tree_node_baseSB_RKS3_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE11_M_get_nodeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE11_M_leftmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE11_M_put_nodeEPSt13_Rb_tree_nodeIS3_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE11lower_boundERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE12_M_erase_auxESt23_Rb_tree_const_iteratorIS3_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE12_M_rightmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE13_Rb_tree_implIS7_Lb0EE13_M_initializeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE13_Rb_tree_implIS7_Lb0EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE13_Rb_tree_implIS7_Lb0EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE13_Rb_tree_implIS7_Lb0EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE13_Rb_tree_implIS7_Lb0EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE14_M_create_nodeERKS3_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE14_M_lower_boundEPSt13_Rb_tree_nodeIS3_ESC_RS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE15_M_destroy_nodeEPSt13_Rb_tree_nodeIS3_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE17_M_insert_unique_ESt23_Rb_tree_const_iteratorIS3_ERKS3_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE24_M_get_insert_unique_posERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE29_M_get_insert_hint_unique_posESt23_Rb_tree_const_iteratorIS3_ERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE4findERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE5clearEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE5eraseESt17_Rb_tree_iteratorIS3_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE6_M_endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE6_S_keyEPKSt13_Rb_tree_nodeIS3_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE6_S_keyEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE7_M_rootEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE7_S_leftEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE7_S_leftEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE8_M_beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE8_M_eraseEPSt13_Rb_tree_nodeIS3_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE8_S_rightEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE8_S_rightEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE8_S_valueEPKSt13_Rb_tree_nodeIS3_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE8_S_valueEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE10_M_insert_EPSt18_Rb_tree_node_baseSA_RKS2_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE11_M_get_nodeEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE11_M_leftmostEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE11_M_put_nodeEPSt13_Rb_tree_nodeIS2_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE11lower_boundERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE11upper_boundERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE12_M_erase_auxESt23_Rb_tree_const_iteratorIS2_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE12_M_erase_auxESt23_Rb_tree_const_iteratorIS2_ESA_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE12_M_rightmostEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE13_Rb_tree_implIS6_Lb0EE13_M_initializeEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE13_Rb_tree_implIS6_Lb0EEC1Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE13_Rb_tree_implIS6_Lb0EEC2Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE13_Rb_tree_implIS6_Lb0EED1Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE13_Rb_tree_implIS6_Lb0EED2Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE14_M_create_nodeERKS2_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE14_M_lower_boundEPSt13_Rb_tree_nodeIS2_ESB_RS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE14_M_upper_boundEPSt13_Rb_tree_nodeIS2_ESB_RS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE15_M_destroy_nodeEPSt13_Rb_tree_nodeIS2_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE17_M_insert_unique_ESt23_Rb_tree_const_iteratorIS2_ERKS2_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE21_M_get_Node_allocatorEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE24_M_get_insert_unique_posERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE29_M_get_insert_hint_unique_posESt23_Rb_tree_const_iteratorIS2_ERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE3endEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE4findERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE5beginEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE5clearEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE5eraseESt17_Rb_tree_iteratorIS2_ESA_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE5eraseESt23_Rb_tree_const_iteratorIS2_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE6_M_endEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE6_S_keyEPKSt13_Rb_tree_nodeIS2_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE6_S_keyEPKSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE7_M_rootEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE7_S_leftEPSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE8_M_beginEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE8_M_eraseEPSt13_Rb_tree_nodeIS2_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE8_S_rightEPSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE8_S_valueEPKSt13_Rb_tree_nodeIS2_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE8_S_valueEPKSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EEC1Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EEC2Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EED1Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EED2Ev@Base 2.9 + (optional=templinst|subst)_ZNSt8iteratorISt26random_access_iterator_tagP14SelectionRange{ssize_t}PS2_RS2_EC1Ev@Base 2.8.4 + (optional=templinst|subst)_ZNSt8iteratorISt26random_access_iterator_tagP14SelectionRange{ssize_t}PS2_RS2_EC2Ev@Base 2.8.4 + (optional=templinst)_ZSt10_ConstructI13latexFoldSaveS0_EvPT_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt10_ConstructI14SelectionRangeS0_EvPT_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt10_ConstructI14WordClassifierS0_EvPT_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt10_ConstructI18PositionCacheEntryS0_EvPT_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt10_ConstructI5StyleS0_EvPT_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt10_ConstructIN11SparseStateISsE5StateES2_EvPT_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt10_ConstructIN11SparseStateIjE5StateES2_EvPT_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt10_ConstructIN8Document19WatcherWithUserDataES1_EvPT_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt10_ConstructISsSsEvPT_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt10__distanceIN9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEEENSt15iterator_traitsIT_E15difference_typeESC_SC_St26random_access_iterator_tag@Base 2.8.4 + (optional=templinst)_ZSt10__distanceIN9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEEENSt15iterator_traitsIT_E15difference_typeESB_SB_St26random_access_iterator_tag@Base 2.8.4 + (optional=templinst)_ZSt10__distanceIN9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS4_SaIS4_EEEEENSt15iterator_traitsIT_E15difference_typeESB_SB_St26random_access_iterator_tag@Base 2.8.4 + (optional=templinst)_ZSt10__distanceIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEEENSt15iterator_traitsIT_E15difference_typeES8_S8_St26random_access_iterator_tag@Base 2.8.4 + (optional=templinst)_ZSt10__distanceIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEEENSt15iterator_traitsIT_E15difference_typeES8_S8_St26random_access_iterator_tag@Base 2.8.4 + (optional=templinst)_ZSt10__distanceIPKiENSt15iterator_traitsIT_E15difference_typeES3_S3_St26random_access_iterator_tag@Base 2.8.4 + (optional=templinst|subst)_ZSt10__fill_n_aIPP10LineLayout{size_t}S1_EN9__gnu_cxx11__enable_ifIXsrSt11__is_scalarIT1_E7__valueET_E6__typeES8_T0_RKS6_@Base 2.8.4 + (optional=templinst|subst)_ZSt10__fill_n_aIPi{size_t}iEN9__gnu_cxx11__enable_ifIXsrSt11__is_scalarIT1_E7__valueET_E6__typeES6_T0_RKS4_@Base 2.8.4 + (optional=templinst|subst)_ZSt10__fill_n_aI{size_t}cEN9__gnu_cxx11__enable_ifIXsrSt9__is_byteIT0_E7__valueEPS3_E6__typeES5_T_RKS3_@Base 2.8.4 + (optional=templinst)_ZSt10__pop_heapIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEENS0_5__ops15_Iter_less_iterEEvT_SA_SA_T0_@Base 2.8.4 + (optional=templinst)_ZSt10__pop_heapIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEENS0_5__ops15_Iter_comp_iterIPFbPKS2_SC_EEEEvT_SG_SG_T0_@Base 2.8.4 + (optional=templinst)_ZSt10__pop_heapIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEENS0_5__ops15_Iter_comp_iterI6SorterEEEvT_SB_SB_T0_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofI13latexFoldSaveEPT_RS1_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofI14SelectionRangeEPT_RS1_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofI14WordClassifierEPT_RS1_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofI18PositionCacheEntryEPT_RS1_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofI5StyleEPT_RS1_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofIKSt4pairIK12KeyModifiersjEEPT_RS5_@Base 2.9 + (optional=templinst)_ZSt11__addressofIKSt4pairIK17FontSpecificationP12FontRealisedEEPT_RS7_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofIKSt4pairIKSsN12LexerVerilog11SymbolValueEEEPT_RS6_@Base 2.9 + (optional=templinst)_ZSt11__addressofIKSt4pairIKSsN8LexerCPP11SymbolValueEEEPT_RS6_@Base 2.9 + (optional=templinst)_ZSt11__addressofIKSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEPT_RS8_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofIKSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEPT_RS8_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofIKSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEPT_RS8_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofIKSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEPT_RS8_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofIKSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEPT_RS8_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofIKSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEPT_RS8_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofIKSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEPT_RS8_@Base 2.9 + (optional=templinst)_ZSt11__addressofIKSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEPT_RS8_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofIKSt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEPT_RS8_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofIKSt4pairIKSsSsEEPT_RS4_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofIKSt4pairIKSsiEEPT_RS4_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofIKSt4pairIKi14RepresentationEEPT_RS5_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofIKSt4pairIKiiEEPT_RS4_@Base 2.9 + (optional=templinst)_ZSt11__addressofIN11SparseStateISsE5StateEEPT_RS3_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofIN11SparseStateIjE5StateEEPT_RS3_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofIN8Document19WatcherWithUserDataEEPT_RS2_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofISsEPT_RS0_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofISt4pairIK12KeyModifiersjEEPT_RS4_@Base 2.9 + (optional=templinst)_ZSt11__addressofISt4pairIK17FontSpecificationP12FontRealisedEEPT_RS6_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofISt4pairIKSsN12LexerVerilog11SymbolValueEEEPT_RS5_@Base 2.9 + (optional=templinst)_ZSt11__addressofISt4pairIKSsN8LexerCPP11SymbolValueEEEPT_RS5_@Base 2.9 + (optional=templinst)_ZSt11__addressofISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEPT_RS7_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEPT_RS7_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEPT_RS7_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEPT_RS7_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEPT_RS7_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEPT_RS7_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEPT_RS7_@Base 2.9 + (optional=templinst)_ZSt11__addressofISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEPT_RS7_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEPT_RS7_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofISt4pairIKSsSsEEPT_RS3_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofISt4pairIKSsiEEPT_RS3_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofISt4pairIKi14RepresentationEEPT_RS4_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofISt4pairIKiiEEPT_RS3_@Base 2.9 + (optional=templinst)_ZSt11__equal_auxIPN11SparseStateISsE5StateEPKS2_EbT_S6_T0_@Base 2.8.4 + (optional=templinst)_ZSt11__make_heapIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEENS0_5__ops15_Iter_less_iterEEvT_SA_T0_@Base 2.8.4 + (optional=templinst)_ZSt11__make_heapIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEENS0_5__ops15_Iter_comp_iterIPFbPKS2_SC_EEEEvT_SG_T0_@Base 2.8.4 + (optional=templinst)_ZSt11__make_heapIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEENS0_5__ops15_Iter_comp_iterI6SorterEEEvT_SB_T0_@Base 2.8.4 + (optional=templinst|subst)_ZSt11__push_heapIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEE{ssize_t}S2_NS0_5__ops14_Iter_less_valEEvT_T0_SB_T1_T2_@Base 2.8.4 + (optional=templinst|subst)_ZSt11__push_heapIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEE{ssize_t}S3_NS0_5__ops14_Iter_comp_valIPFbPKS2_SC_EEEEvT_T0_SH_T1_T2_@Base 2.8.4 + (optional=templinst|subst)_ZSt11__push_heapIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEE{ssize_t}iNS0_5__ops14_Iter_comp_valI6SorterEEEvT_T0_SC_T1_T2_@Base 2.8.4 + (optional=templinst)_ZSt11__remove_ifIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEENS0_5__ops10_Iter_predIPFbRKSsEEEET_SE_SE_T0_@Base 2.9 + (optional=templinst)_ZSt11__sort_heapIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEENS0_5__ops15_Iter_less_iterEEvT_SA_T0_@Base 2.8.4 + (optional=templinst)_ZSt11__sort_heapIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEENS0_5__ops15_Iter_comp_iterIPFbPKS2_SC_EEEEvT_SG_T0_@Base 2.8.4 + (optional=templinst)_ZSt11__sort_heapIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEENS0_5__ops15_Iter_comp_iterI6SorterEEEvT_SB_T0_@Base 2.8.4 + (optional=templinst)_ZSt11lower_boundIN9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEES4_ET_SA_SA_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt11lower_boundIN9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS4_SaIS4_EEEES4_ET_SA_SA_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt11lower_boundIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEEiET_S7_S7_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt11lower_boundIPKiiET_S2_S2_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEEENSt11_Miter_baseIT_E13iterator_typeES9_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIN9__gnu_cxx17__normal_iteratorIPK14SelectionRangeSt6vectorIS2_SaIS2_EEEEENSt11_Miter_baseIT_E13iterator_typeESA_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIN9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEEENSt11_Miter_baseIT_E13iterator_typeESC_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIN9__gnu_cxx17__normal_iteratorIPKiSt6vectorIiSaIiEEEEENSt11_Miter_baseIT_E13iterator_typeES9_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIN9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEEENSt11_Miter_baseIT_E13iterator_typeESB_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIN9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS4_SaIS4_EEEEENSt11_Miter_baseIT_E13iterator_typeESB_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIN9__gnu_cxx17__normal_iteratorIPN8Document19WatcherWithUserDataESt6vectorIS3_SaIS3_EEEEENSt11_Miter_baseIT_E13iterator_typeESA_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEEENSt11_Miter_baseIT_E13iterator_typeESA_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEEENSt11_Miter_baseIT_E13iterator_typeES8_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEEENSt11_Miter_baseIT_E13iterator_typeES8_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIP13latexFoldSaveENSt11_Miter_baseIT_E13iterator_typeES3_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIP14SelectionRangeENSt11_Miter_baseIT_E13iterator_typeES3_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIP14WordClassifierENSt11_Miter_baseIT_E13iterator_typeES3_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIP18PositionCacheEntryENSt11_Miter_baseIT_E13iterator_typeES3_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIP5StyleENSt11_Miter_baseIT_E13iterator_typeES3_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIP9DrawPhaseENSt11_Miter_baseIT_E13iterator_typeES3_@Base 2.9 + (optional=templinst)_ZSt12__miter_baseIPN11SparseStateISsE5StateEENSt11_Miter_baseIT_E13iterator_typeES5_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIPN11SparseStateIjE5StateEENSt11_Miter_baseIT_E13iterator_typeES5_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIPN8Document19WatcherWithUserDataEENSt11_Miter_baseIT_E13iterator_typeES4_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIPP10LineLayoutENSt11_Miter_baseIT_E13iterator_typeES4_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIPP11LexerModuleENSt11_Miter_baseIT_E13iterator_typeES4_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIPP14SelectionRangeENSt11_Miter_baseIT_E13iterator_typeES4_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIPPcENSt11_Miter_baseIT_E13iterator_typeES3_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIPSsENSt11_Miter_baseIT_E13iterator_typeES2_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIPiENSt11_Miter_baseIT_E13iterator_typeES2_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEEENSt11_Niter_baseIT_E13iterator_typeES9_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIN9__gnu_cxx17__normal_iteratorIPK14SelectionRangeSt6vectorIS2_SaIS2_EEEEENSt11_Niter_baseIT_E13iterator_typeESA_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIN9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEEENSt11_Niter_baseIT_E13iterator_typeESC_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIN9__gnu_cxx17__normal_iteratorIPKiSt6vectorIiSaIiEEEEENSt11_Niter_baseIT_E13iterator_typeES9_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIN9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEEENSt11_Niter_baseIT_E13iterator_typeESB_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIN9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS4_SaIS4_EEEEENSt11_Niter_baseIT_E13iterator_typeESB_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIN9__gnu_cxx17__normal_iteratorIPN8Document19WatcherWithUserDataESt6vectorIS3_SaIS3_EEEEENSt11_Niter_baseIT_E13iterator_typeESA_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEEENSt11_Niter_baseIT_E13iterator_typeESA_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEEENSt11_Niter_baseIT_E13iterator_typeES8_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEEENSt11_Niter_baseIT_E13iterator_typeES8_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIP13latexFoldSaveENSt11_Niter_baseIT_E13iterator_typeES3_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIP14SelectionRangeENSt11_Niter_baseIT_E13iterator_typeES3_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIP14WordClassifierENSt11_Niter_baseIT_E13iterator_typeES3_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIP18PositionCacheEntryENSt11_Niter_baseIT_E13iterator_typeES3_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIP5StyleENSt11_Niter_baseIT_E13iterator_typeES3_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIP9DrawPhaseENSt11_Niter_baseIT_E13iterator_typeES3_@Base 2.9 + (optional=templinst)_ZSt12__niter_baseIPN11SparseStateISsE5StateEENSt11_Niter_baseIT_E13iterator_typeES5_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIPN11SparseStateIjE5StateEENSt11_Niter_baseIT_E13iterator_typeES5_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIPN8Document19WatcherWithUserDataEENSt11_Niter_baseIT_E13iterator_typeES4_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIPP10LineLayoutENSt11_Niter_baseIT_E13iterator_typeES4_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIPP11LexerModuleENSt11_Niter_baseIT_E13iterator_typeES4_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIPP14SelectionRangeENSt11_Niter_baseIT_E13iterator_typeES4_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIPP15MarkerHandleSetENSt11_Niter_baseIT_E13iterator_typeES4_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIPPSt6vectorIiSaIiEEENSt11_Niter_baseIT_E13iterator_typeES6_@Base 2.9 + (optional=templinst)_ZSt12__niter_baseIPPcENSt11_Niter_baseIT_E13iterator_typeES3_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIPSsENSt11_Niter_baseIT_E13iterator_typeES2_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIPcENSt11_Niter_baseIT_E13iterator_typeES2_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIPfENSt11_Niter_baseIT_E13iterator_typeES2_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIPhENSt11_Niter_baseIT_E13iterator_typeES2_@Base 2.9 + (optional=templinst)_ZSt12__niter_baseIPiENSt11_Niter_baseIT_E13iterator_typeES2_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIPsENSt11_Niter_baseIT_E13iterator_typeES2_@Base 2.8.4 + (optional=templinst|subst)_ZSt13__adjust_heapIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEE{ssize_t}S2_NS0_5__ops15_Iter_less_iterEEvT_T0_SB_T1_T2_@Base 2.8.4 + (optional=templinst|subst)_ZSt13__adjust_heapIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEE{ssize_t}S3_NS0_5__ops15_Iter_comp_iterIPFbPKS2_SC_EEEEvT_T0_SH_T1_T2_@Base 2.8.4 + (optional=templinst|subst)_ZSt13__adjust_heapIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEE{ssize_t}iNS0_5__ops15_Iter_comp_iterI6SorterEEEvT_T0_SC_T1_T2_@Base 2.8.4 + (optional=templinst)_ZSt13__copy_move_aILb0EP14SelectionRangeS1_ET1_T0_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt13__copy_move_aILb0EP9DrawPhaseS1_ET1_T0_S3_S2_@Base 2.9 + (optional=templinst)_ZSt13__copy_move_aILb0EPK14SelectionRangePS0_ET1_T0_S5_S4_@Base 2.8.4 + (optional=templinst)_ZSt13__copy_move_aILb0EPKN11SparseStateISsE5StateEPS2_ET1_T0_S7_S6_@Base 2.8.4 + (optional=templinst)_ZSt13__copy_move_aILb0EPKiPiET1_T0_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt13__copy_move_aILb0EPN11SparseStateISsE5StateES3_ET1_T0_S5_S4_@Base 2.8.4 + (optional=templinst)_ZSt13__copy_move_aILb0EPN11SparseStateIjE5StateES3_ET1_T0_S5_S4_@Base 2.8.4 + (optional=templinst)_ZSt13__copy_move_aILb0EPN8Document19WatcherWithUserDataES2_ET1_T0_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt13__copy_move_aILb0EPP10LineLayoutS2_ET1_T0_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt13__copy_move_aILb0EPP11LexerModuleS2_ET1_T0_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt13__copy_move_aILb0EPP14SelectionRangeS2_ET1_T0_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt13__copy_move_aILb0EPPcS1_ET1_T0_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt13__copy_move_aILb0EPSsS0_ET1_T0_S2_S1_@Base 2.8.4 + (optional=templinst)_ZSt13__copy_move_aILb0EPiS0_ET1_T0_S2_S1_@Base 2.8.4 + (optional=templinst)_ZSt13__heap_selectIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEENS0_5__ops15_Iter_less_iterEEvT_SA_SA_T0_@Base 2.8.4 + (optional=templinst)_ZSt13__heap_selectIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEENS0_5__ops15_Iter_comp_iterIPFbPKS2_SC_EEEEvT_SG_SG_T0_@Base 2.8.4 + (optional=templinst)_ZSt13__heap_selectIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEENS0_5__ops15_Iter_comp_iterI6SorterEEEvT_SB_SB_T0_@Base 2.8.4 + (optional=templinst)_ZSt13__lower_boundIN9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEES4_NS0_5__ops14_Iter_less_valEET_SC_SC_RKT0_T1_@Base 2.8.4 + (optional=templinst)_ZSt13__lower_boundIN9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS4_SaIS4_EEEES4_NS0_5__ops14_Iter_less_valEET_SC_SC_RKT0_T1_@Base 2.8.4 + (optional=templinst)_ZSt13__lower_boundIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEEiNS0_5__ops14_Iter_less_valEET_S9_S9_RKT0_T1_@Base 2.8.4 + (optional=templinst)_ZSt13__lower_boundIPKiiN9__gnu_cxx5__ops14_Iter_less_valEET_S5_S5_RKT0_T1_@Base 2.8.4 + (optional=templinst)_ZSt13copy_backwardIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEES7_ET0_T_S9_S8_@Base 2.8.4 + (optional=templinst)_ZSt13copy_backwardIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEES8_ET0_T_SA_S9_@Base 2.8.4 + (optional=templinst)_ZSt13copy_backwardIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEES6_ET0_T_S8_S7_@Base 2.8.4 + (optional=templinst)_ZSt13copy_backwardIP13latexFoldSaveS1_ET0_T_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt13copy_backwardIP14SelectionRangeS1_ET0_T_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt13copy_backwardIP14WordClassifierS1_ET0_T_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt13copy_backwardIP18PositionCacheEntryS1_ET0_T_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt13copy_backwardIP5StyleS1_ET0_T_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt13copy_backwardIP9DrawPhaseS1_ET0_T_S3_S2_@Base 2.9 + (optional=templinst)_ZSt13copy_backwardIPN11SparseStateISsE5StateES3_ET0_T_S5_S4_@Base 2.8.4 + (optional=templinst)_ZSt13copy_backwardIPN11SparseStateIjE5StateES3_ET0_T_S5_S4_@Base 2.8.4 + (optional=templinst)_ZSt13copy_backwardIPN8Document19WatcherWithUserDataES2_ET0_T_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt13copy_backwardIPP10LineLayoutS2_ET0_T_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt13copy_backwardIPP11LexerModuleS2_ET0_T_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt13copy_backwardIPP14SelectionRangeS2_ET0_T_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt13copy_backwardIPPcS1_ET0_T_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt13copy_backwardIPSsS0_ET0_T_S2_S1_@Base 2.8.4 + (optional=templinst)_ZSt13copy_backwardIPiS0_ET0_T_S2_S1_@Base 2.8.4 + (optional=templinst)_ZSt14__copy_move_a2ILb0EN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEES7_ET1_T0_S9_S8_@Base 2.8.4 + (optional=templinst)_ZSt14__copy_move_a2ILb0EN9__gnu_cxx17__normal_iteratorIPK14SelectionRangeSt6vectorIS2_SaIS2_EEEENS1_IPS2_S7_EEET1_T0_SC_SB_@Base 2.8.4 + (optional=templinst)_ZSt14__copy_move_a2ILb0EN9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEENS1_IPS4_S9_EEET1_T0_SE_SD_@Base 2.8.4 + (optional=templinst)_ZSt14__copy_move_a2ILb0EN9__gnu_cxx17__normal_iteratorIPKiSt6vectorIiSaIiEEEEPiET1_T0_SA_S9_@Base 2.8.4 + (optional=templinst)_ZSt14__copy_move_a2ILb0EN9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEES9_ET1_T0_SB_SA_@Base 2.8.4 + (optional=templinst)_ZSt14__copy_move_a2ILb0EN9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS4_SaIS4_EEEES9_ET1_T0_SB_SA_@Base 2.8.4 + (optional=templinst)_ZSt14__copy_move_a2ILb0EN9__gnu_cxx17__normal_iteratorIPN8Document19WatcherWithUserDataESt6vectorIS3_SaIS3_EEEES8_ET1_T0_SA_S9_@Base 2.8.4 + (optional=templinst)_ZSt14__copy_move_a2ILb0EN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEES6_ET1_T0_S8_S7_@Base 2.8.4 + (optional=templinst)_ZSt14__copy_move_a2ILb0EP14SelectionRangeS1_ET1_T0_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt14__copy_move_a2ILb0EP9DrawPhaseS1_ET1_T0_S3_S2_@Base 2.9 + (optional=templinst)_ZSt14__copy_move_a2ILb0EPP10LineLayoutS2_ET1_T0_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt14__copy_move_a2ILb0EPP11LexerModuleS2_ET1_T0_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt14__copy_move_a2ILb0EPP14SelectionRangeS2_ET1_T0_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt14__copy_move_a2ILb0EPPcS1_ET1_T0_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt14__copy_move_a2ILb0EPiS0_ET1_T0_S2_S1_@Base 2.8.4 + (optional=templinst)_ZSt14__partial_sortIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEENS0_5__ops15_Iter_less_iterEEvT_SA_SA_T0_@Base 2.8.4 + (optional=templinst)_ZSt14__partial_sortIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEENS0_5__ops15_Iter_comp_iterIPFbPKS2_SC_EEEEvT_SG_SG_T0_@Base 2.8.4 + (optional=templinst)_ZSt14__partial_sortIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEENS0_5__ops15_Iter_comp_iterI6SorterEEEvT_SB_SB_T0_@Base 2.8.4 + (optional=templinst)_ZSt16__insertion_sortIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEENS0_5__ops15_Iter_less_iterEEvT_SA_T0_@Base 2.8.4 + (optional=templinst)_ZSt16__insertion_sortIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEENS0_5__ops15_Iter_comp_iterIPFbPKS2_SC_EEEEvT_SG_T0_@Base 2.8.4 + (optional=templinst)_ZSt16__insertion_sortIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEENS0_5__ops15_Iter_comp_iterI6SorterEEEvT_SB_T0_@Base 2.8.4 + (optional=templinst|subst)_ZSt16__introsort_loopIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEE{ssize_t}NS0_5__ops15_Iter_less_iterEEvT_SA_T0_T1_@Base 2.8.4 + (optional=templinst|subst)_ZSt16__introsort_loopIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEE{ssize_t}NS0_5__ops15_Iter_comp_iterIPFbPKS2_SC_EEEEvT_SG_T0_T1_@Base 2.8.4 + (optional=templinst|subst)_ZSt16__introsort_loopIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEE{ssize_t}NS0_5__ops15_Iter_comp_iterI6SorterEEEvT_SB_T0_T1_@Base 2.8.4 + (optional=templinst)_ZSt18uninitialized_copyIN9__gnu_cxx17__normal_iteratorIPK14SelectionRangeSt6vectorIS2_SaIS2_EEEEPS2_ET0_T_SB_SA_@Base 2.8.4 + (optional=templinst)_ZSt18uninitialized_copyIN9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEEPS4_ET0_T_SD_SC_@Base 2.8.4 + (optional=templinst)_ZSt18uninitialized_copyIN9__gnu_cxx17__normal_iteratorIPKiSt6vectorIiSaIiEEEEPiET0_T_SA_S9_@Base 2.8.4 + (optional=templinst)_ZSt18uninitialized_copyIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEES2_ET0_T_S8_S7_@Base 2.8.4 + (optional=templinst)_ZSt18uninitialized_copyIP13latexFoldSaveS1_ET0_T_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt18uninitialized_copyIP14SelectionRangeS1_ET0_T_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt18uninitialized_copyIP14WordClassifierS1_ET0_T_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt18uninitialized_copyIP18PositionCacheEntryS1_ET0_T_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt18uninitialized_copyIP5StyleS1_ET0_T_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt18uninitialized_copyIP9DrawPhaseS1_ET0_T_S3_S2_@Base 2.9 + (optional=templinst)_ZSt18uninitialized_copyIPN11SparseStateISsE5StateES3_ET0_T_S5_S4_@Base 2.8.4 + (optional=templinst)_ZSt18uninitialized_copyIPN11SparseStateIjE5StateES3_ET0_T_S5_S4_@Base 2.8.4 + (optional=templinst)_ZSt18uninitialized_copyIPN8Document19WatcherWithUserDataES2_ET0_T_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt18uninitialized_copyIPP10LineLayoutS2_ET0_T_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt18uninitialized_copyIPP11LexerModuleS2_ET0_T_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt18uninitialized_copyIPP14SelectionRangeS2_ET0_T_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt18uninitialized_copyIPPcS1_ET0_T_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt18uninitialized_copyIPSsS0_ET0_T_S2_S1_@Base 2.8.4 + (optional=templinst)_ZSt18uninitialized_copyIPiS0_ET0_T_S2_S1_@Base 2.8.4 + (optional=templinst)_ZSt19__iterator_categoryIN9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEEENSt15iterator_traitsIT_E17iterator_categoryERKSC_@Base 2.8.4 + (optional=templinst)_ZSt19__iterator_categoryIN9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEEENSt15iterator_traitsIT_E17iterator_categoryERKSB_@Base 2.8.4 + (optional=templinst)_ZSt19__iterator_categoryIN9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS4_SaIS4_EEEEENSt15iterator_traitsIT_E17iterator_categoryERKSB_@Base 2.8.4 + (optional=templinst)_ZSt19__iterator_categoryIN9__gnu_cxx17__normal_iteratorIPN8Document19WatcherWithUserDataESt6vectorIS3_SaIS3_EEEEENSt15iterator_traitsIT_E17iterator_categoryERKSA_@Base 2.8.4 + (optional=templinst)_ZSt19__iterator_categoryIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEEENSt15iterator_traitsIT_E17iterator_categoryERKS8_@Base 2.8.4 + (optional=templinst)_ZSt19__iterator_categoryIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEEENSt15iterator_traitsIT_E17iterator_categoryERKS8_@Base 2.8.4 + (optional=templinst)_ZSt19__iterator_categoryIPKiENSt15iterator_traitsIT_E17iterator_categoryERKS3_@Base 2.8.4 + (optional=templinst|subst)_ZSt20uninitialized_fill_nIP13latexFoldSave{size_t}S0_EvT_T0_RKT1_@Base 2.8.4 + (optional=templinst|subst)_ZSt20uninitialized_fill_nIP18PositionCacheEntry{size_t}S0_EvT_T0_RKT1_@Base 2.8.4 + (optional=templinst|subst)_ZSt20uninitialized_fill_nIP5Style{size_t}S0_EvT_T0_RKT1_@Base 2.8.4 + (optional=templinst|subst)_ZSt20uninitialized_fill_nIPP10LineLayout{size_t}S1_EvT_T0_RKT1_@Base 2.8.4 + (optional=templinst|subst)_ZSt20uninitialized_fill_nIPSs{size_t}SsEvT_T0_RKT1_@Base 2.9 + (optional=templinst|subst)_ZSt20uninitialized_fill_nIPc{size_t}cEvT_T0_RKT1_@Base 2.8.4 + (optional=templinst|subst)_ZSt20uninitialized_fill_nIPi{size_t}iEvT_T0_RKT1_@Base 2.8.4 + (optional=templinst)_ZSt21__unguarded_partitionIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEENS0_5__ops15_Iter_less_iterEET_SA_SA_SA_T0_@Base 2.8.4 + (optional=templinst)_ZSt21__unguarded_partitionIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEENS0_5__ops15_Iter_comp_iterIPFbPKS2_SC_EEEET_SG_SG_SG_T0_@Base 2.8.4 + (optional=templinst)_ZSt21__unguarded_partitionIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEENS0_5__ops15_Iter_comp_iterI6SorterEEET_SB_SB_SB_T0_@Base 2.8.4 + (optional=templinst)_ZSt22__copy_move_backward_aILb0EP13latexFoldSaveS1_ET1_T0_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt22__copy_move_backward_aILb0EP14SelectionRangeS1_ET1_T0_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt22__copy_move_backward_aILb0EP14WordClassifierS1_ET1_T0_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt22__copy_move_backward_aILb0EP18PositionCacheEntryS1_ET1_T0_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt22__copy_move_backward_aILb0EP5StyleS1_ET1_T0_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt22__copy_move_backward_aILb0EP9DrawPhaseS1_ET1_T0_S3_S2_@Base 2.9 + (optional=templinst)_ZSt22__copy_move_backward_aILb0EPN11SparseStateISsE5StateES3_ET1_T0_S5_S4_@Base 2.8.4 + (optional=templinst)_ZSt22__copy_move_backward_aILb0EPN11SparseStateIjE5StateES3_ET1_T0_S5_S4_@Base 2.8.4 + (optional=templinst)_ZSt22__copy_move_backward_aILb0EPN8Document19WatcherWithUserDataES2_ET1_T0_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt22__copy_move_backward_aILb0EPP10LineLayoutS2_ET1_T0_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt22__copy_move_backward_aILb0EPP11LexerModuleS2_ET1_T0_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt22__copy_move_backward_aILb0EPP14SelectionRangeS2_ET1_T0_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt22__copy_move_backward_aILb0EPPcS1_ET1_T0_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt22__copy_move_backward_aILb0EPSsS0_ET1_T0_S2_S1_@Base 2.8.4 + (optional=templinst)_ZSt22__copy_move_backward_aILb0EPiS0_ET1_T0_S2_S1_@Base 2.8.4 + (optional=templinst)_ZSt22__final_insertion_sortIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEENS0_5__ops15_Iter_less_iterEEvT_SA_T0_@Base 2.8.4 + (optional=templinst)_ZSt22__final_insertion_sortIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEENS0_5__ops15_Iter_comp_iterIPFbPKS2_SC_EEEEvT_SG_T0_@Base 2.8.4 + (optional=templinst)_ZSt22__final_insertion_sortIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEENS0_5__ops15_Iter_comp_iterI6SorterEEEvT_SB_T0_@Base 2.8.4 + (optional=templinst)_ZSt22__move_median_to_firstIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEENS0_5__ops15_Iter_less_iterEEvT_SA_SA_SA_T0_@Base 2.8.4 + (optional=templinst)_ZSt22__move_median_to_firstIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEENS0_5__ops15_Iter_comp_iterIPFbPKS2_SC_EEEEvT_SG_SG_SG_T0_@Base 2.8.4 + (optional=templinst)_ZSt22__move_median_to_firstIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEENS0_5__ops15_Iter_comp_iterI6SorterEEEvT_SB_SB_SB_T0_@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_copy_aIN9__gnu_cxx17__normal_iteratorIPK14SelectionRangeSt6vectorIS2_SaIS2_EEEEPS2_S2_ET0_T_SB_SA_RSaIT1_E@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_copy_aIN9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEEPS4_S4_ET0_T_SD_SC_RSaIT1_E@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_copy_aIN9__gnu_cxx17__normal_iteratorIPKiSt6vectorIiSaIiEEEEPiiET0_T_SA_S9_RSaIT1_E@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_copy_aIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEES2_SsET0_T_S8_S7_RSaIT1_E@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_copy_aIP13latexFoldSaveS1_S0_ET0_T_S3_S2_RSaIT1_E@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_copy_aIP14SelectionRangeS1_S0_ET0_T_S3_S2_RSaIT1_E@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_copy_aIP14WordClassifierS1_S0_ET0_T_S3_S2_RSaIT1_E@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_copy_aIP18PositionCacheEntryS1_S0_ET0_T_S3_S2_RSaIT1_E@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_copy_aIP5StyleS1_S0_ET0_T_S3_S2_RSaIT1_E@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_copy_aIP9DrawPhaseS1_S0_ET0_T_S3_S2_RSaIT1_E@Base 2.9 + (optional=templinst)_ZSt22__uninitialized_copy_aIPN11SparseStateISsE5StateES3_S2_ET0_T_S5_S4_RSaIT1_E@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_copy_aIPN11SparseStateIjE5StateES3_S2_ET0_T_S5_S4_RSaIT1_E@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_copy_aIPN8Document19WatcherWithUserDataES2_S1_ET0_T_S4_S3_RSaIT1_E@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_copy_aIPP10LineLayoutS2_S1_ET0_T_S4_S3_RSaIT1_E@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_copy_aIPP11LexerModuleS2_S1_ET0_T_S4_S3_RSaIT1_E@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_copy_aIPP14SelectionRangeS2_S1_ET0_T_S4_S3_RSaIT1_E@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_copy_aIPPcS1_S0_ET0_T_S3_S2_RSaIT1_E@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_copy_aIPSsS0_SsET0_T_S2_S1_RSaIT1_E@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_copy_aIPiS0_iET0_T_S2_S1_RSaIT1_E@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_move_aIP13latexFoldSaveS1_SaIS0_EET0_T_S4_S3_RT1_@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_move_aIP18PositionCacheEntryS1_SaIS0_EET0_T_S4_S3_RT1_@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_move_aIP5StyleS1_SaIS0_EET0_T_S4_S3_RT1_@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_move_aIPN11SparseStateISsE5StateES3_SaIS2_EET0_T_S6_S5_RT1_@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_move_aIPP10LineLayoutS2_SaIS1_EET0_T_S5_S4_RT1_@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_move_aIPSsS0_SaISsEET0_T_S3_S2_RT1_@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_move_aIPiS0_SaIiEET0_T_S3_S2_RT1_@Base 2.8.4 + (optional=templinst)_ZSt23__copy_move_backward_a2ILb0EN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEES7_ET1_T0_S9_S8_@Base 2.8.4 + (optional=templinst)_ZSt23__copy_move_backward_a2ILb0EN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEES8_ET1_T0_SA_S9_@Base 2.8.4 + (optional=templinst)_ZSt23__copy_move_backward_a2ILb0EN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEES6_ET1_T0_S8_S7_@Base 2.8.4 + (optional=templinst)_ZSt23__copy_move_backward_a2ILb0EP13latexFoldSaveS1_ET1_T0_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt23__copy_move_backward_a2ILb0EP14SelectionRangeS1_ET1_T0_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt23__copy_move_backward_a2ILb0EP14WordClassifierS1_ET1_T0_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt23__copy_move_backward_a2ILb0EP18PositionCacheEntryS1_ET1_T0_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt23__copy_move_backward_a2ILb0EP5StyleS1_ET1_T0_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt23__copy_move_backward_a2ILb0EP9DrawPhaseS1_ET1_T0_S3_S2_@Base 2.9 + (optional=templinst)_ZSt23__copy_move_backward_a2ILb0EPN11SparseStateISsE5StateES3_ET1_T0_S5_S4_@Base 2.8.4 + (optional=templinst)_ZSt23__copy_move_backward_a2ILb0EPN11SparseStateIjE5StateES3_ET1_T0_S5_S4_@Base 2.8.4 + (optional=templinst)_ZSt23__copy_move_backward_a2ILb0EPN8Document19WatcherWithUserDataES2_ET1_T0_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt23__copy_move_backward_a2ILb0EPP10LineLayoutS2_ET1_T0_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt23__copy_move_backward_a2ILb0EPP11LexerModuleS2_ET1_T0_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt23__copy_move_backward_a2ILb0EPP14SelectionRangeS2_ET1_T0_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt23__copy_move_backward_a2ILb0EPPcS1_ET1_T0_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt23__copy_move_backward_a2ILb0EPSsS0_ET1_T0_S2_S1_@Base 2.8.4 + (optional=templinst)_ZSt23__copy_move_backward_a2ILb0EPiS0_ET1_T0_S2_S1_@Base 2.8.4 + (optional=templinst|subst)_ZSt24__uninitialized_fill_n_aIP13latexFoldSave{size_t}S0_S0_EvT_T0_RKT1_RSaIT2_E@Base 2.8.4 + (optional=templinst|subst)_ZSt24__uninitialized_fill_n_aIP18PositionCacheEntry{size_t}S0_S0_EvT_T0_RKT1_RSaIT2_E@Base 2.8.4 + (optional=templinst|subst)_ZSt24__uninitialized_fill_n_aIP5Style{size_t}S0_S0_EvT_T0_RKT1_RSaIT2_E@Base 2.8.4 + (optional=templinst|subst)_ZSt24__uninitialized_fill_n_aIPP10LineLayout{size_t}S1_S1_EvT_T0_RKT1_RSaIT2_E@Base 2.8.4 + (optional=templinst|subst)_ZSt24__uninitialized_fill_n_aIPSs{size_t}SsSsEvT_T0_RKT1_RSaIT2_E@Base 2.9 + (optional=templinst|subst)_ZSt24__uninitialized_fill_n_aIPc{size_t}ccEvT_T0_RKT1_RSaIT2_E@Base 2.8.4 + (optional=templinst|subst)_ZSt24__uninitialized_fill_n_aIPi{size_t}iiEvT_T0_RKT1_RSaIT2_E@Base 2.8.4 + (optional=templinst)_ZSt25__unguarded_linear_insertIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEENS0_5__ops14_Val_less_iterEEvT_T0_@Base 2.8.4 + (optional=templinst)_ZSt25__unguarded_linear_insertIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEENS0_5__ops14_Val_comp_iterIPFbPKS2_SC_EEEEvT_T0_@Base 2.8.4 + (optional=templinst)_ZSt25__unguarded_linear_insertIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEENS0_5__ops14_Val_comp_iterI6SorterEEEvT_T0_@Base 2.8.4 + (optional=templinst)_ZSt26__unguarded_insertion_sortIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEENS0_5__ops15_Iter_less_iterEEvT_SA_T0_@Base 2.8.4 + (optional=templinst)_ZSt26__unguarded_insertion_sortIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEENS0_5__ops15_Iter_comp_iterIPFbPKS2_SC_EEEEvT_SG_T0_@Base 2.8.4 + (optional=templinst)_ZSt26__unguarded_insertion_sortIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEENS0_5__ops15_Iter_comp_iterI6SorterEEEvT_SB_T0_@Base 2.8.4 + (optional=templinst)_ZSt27__unguarded_partition_pivotIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEENS0_5__ops15_Iter_less_iterEET_SA_SA_T0_@Base 2.8.4 + (optional=templinst)_ZSt27__unguarded_partition_pivotIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEENS0_5__ops15_Iter_comp_iterIPFbPKS2_SC_EEEET_SG_SG_T0_@Base 2.8.4 + (optional=templinst)_ZSt27__unguarded_partition_pivotIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEENS0_5__ops15_Iter_comp_iterI6SorterEEET_SB_SB_T0_@Base 2.8.4 + (optional=templinst)_ZSt34__uninitialized_move_if_noexcept_aIP13latexFoldSaveS1_SaIS0_EET0_T_S4_S3_RT1_@Base 2.8.4 + (optional=templinst)_ZSt34__uninitialized_move_if_noexcept_aIP14SelectionRangeS1_SaIS0_EET0_T_S4_S3_RT1_@Base 2.8.4 + (optional=templinst)_ZSt34__uninitialized_move_if_noexcept_aIP14WordClassifierS1_SaIS0_EET0_T_S4_S3_RT1_@Base 2.8.4 + (optional=templinst)_ZSt34__uninitialized_move_if_noexcept_aIP18PositionCacheEntryS1_SaIS0_EET0_T_S4_S3_RT1_@Base 2.8.4 + (optional=templinst)_ZSt34__uninitialized_move_if_noexcept_aIP5StyleS1_SaIS0_EET0_T_S4_S3_RT1_@Base 2.8.4 + (optional=templinst)_ZSt34__uninitialized_move_if_noexcept_aIP9DrawPhaseS1_SaIS0_EET0_T_S4_S3_RT1_@Base 2.9 + (optional=templinst)_ZSt34__uninitialized_move_if_noexcept_aIPN11SparseStateISsE5StateES3_SaIS2_EET0_T_S6_S5_RT1_@Base 2.8.4 + (optional=templinst)_ZSt34__uninitialized_move_if_noexcept_aIPN11SparseStateIjE5StateES3_SaIS2_EET0_T_S6_S5_RT1_@Base 2.8.4 + (optional=templinst)_ZSt34__uninitialized_move_if_noexcept_aIPN8Document19WatcherWithUserDataES2_SaIS1_EET0_T_S5_S4_RT1_@Base 2.8.4 + (optional=templinst)_ZSt34__uninitialized_move_if_noexcept_aIPP10LineLayoutS2_SaIS1_EET0_T_S5_S4_RT1_@Base 2.8.4 + (optional=templinst)_ZSt34__uninitialized_move_if_noexcept_aIPP11LexerModuleS2_SaIS1_EET0_T_S5_S4_RT1_@Base 2.8.4 + (optional=templinst)_ZSt34__uninitialized_move_if_noexcept_aIPP14SelectionRangeS2_SaIS1_EET0_T_S5_S4_RT1_@Base 2.8.4 + (optional=templinst)_ZSt34__uninitialized_move_if_noexcept_aIPPcS1_SaIS0_EET0_T_S4_S3_RT1_@Base 2.8.4 + (optional=templinst)_ZSt34__uninitialized_move_if_noexcept_aIPSsS0_SaISsEET0_T_S3_S2_RT1_@Base 2.8.4 + (optional=templinst)_ZSt34__uninitialized_move_if_noexcept_aIPiS0_SaIiEET0_T_S3_S2_RT1_@Base 2.8.4 + _ZSt3absf@Base 2.9 + (optional=templinst)_ZSt3maxIiERKT_S2_S2_@Base 2.8.4 + (optional=templinst|subst)_ZSt3maxI{size_t}ERKT_S2_S2_@Base 2.8.4 + (optional=templinst)_ZSt3minIiERKT_S2_S2_@Base 2.8.4 + (subst)_ZSt4__lg{ssize_t}@Base 2.8.4 + (optional=templinst)_ZSt4copyIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEES7_ET0_T_S9_S8_@Base 2.8.4 + (optional=templinst)_ZSt4copyIN9__gnu_cxx17__normal_iteratorIPK14SelectionRangeSt6vectorIS2_SaIS2_EEEENS1_IPS2_S7_EEET0_T_SC_SB_@Base 2.8.4 + (optional=templinst)_ZSt4copyIN9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEENS1_IPS4_S9_EEET0_T_SE_SD_@Base 2.8.4 + (optional=templinst)_ZSt4copyIN9__gnu_cxx17__normal_iteratorIPKiSt6vectorIiSaIiEEEEPiET0_T_SA_S9_@Base 2.8.4 + (optional=templinst)_ZSt4copyIN9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEES9_ET0_T_SB_SA_@Base 2.8.4 + (optional=templinst)_ZSt4copyIN9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS4_SaIS4_EEEES9_ET0_T_SB_SA_@Base 2.8.4 + (optional=templinst)_ZSt4copyIN9__gnu_cxx17__normal_iteratorIPN8Document19WatcherWithUserDataESt6vectorIS3_SaIS3_EEEES8_ET0_T_SA_S9_@Base 2.8.4 + (optional=templinst)_ZSt4copyIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEES6_ET0_T_S8_S7_@Base 2.8.4 + (optional=templinst)_ZSt4copyIP14SelectionRangeS1_ET0_T_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt4copyIP9DrawPhaseS1_ET0_T_S3_S2_@Base 2.9 + (optional=templinst)_ZSt4copyIPP10LineLayoutS2_ET0_T_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt4copyIPP11LexerModuleS2_ET0_T_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt4copyIPP14SelectionRangeS2_ET0_T_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt4copyIPPcS1_ET0_T_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt4copyIPiS0_ET0_T_S2_S1_@Base 2.8.4 + (optional=templinst)_ZSt4fillIP13latexFoldSaveS0_EvT_S2_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt4fillIP18PositionCacheEntryS0_EvT_S2_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt4fillIP5StyleS0_EvT_S2_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt4fillIPP10LineLayoutS1_EvT_S3_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt4fillIPP15MarkerHandleSetS1_EvT_S3_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt4fillIPPSt6vectorIiSaIiEES3_EvT_S5_RKT0_@Base 2.9 + (optional=templinst)_ZSt4fillIPPcS0_EvT_S2_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt4fillIPccEvT_S1_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt4fillIPciEvT_S1_RKT0_@Base 2.9 + (optional=templinst)_ZSt4fillIPffEvT_S1_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt4fillIPhiEvT_S1_RKT0_@Base 2.9 + (optional=templinst)_ZSt4fillIPiiEvT_S1_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt4fillIPsiEvT_S1_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt4findIN9__gnu_cxx17__normal_iteratorIPN8Document19WatcherWithUserDataESt6vectorIS3_SaIS3_EEEES3_ET_S9_S9_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt4findIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEEA2_cET_S8_S8_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt4sortIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEEEvT_S8_@Base 2.8.4 + (optional=templinst)_ZSt4sortIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEEPFbPKS2_SA_EEvT_SD_T0_@Base 2.8.4 + (optional=templinst)_ZSt4sortIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEE6SorterEvT_S8_T0_@Base 2.8.4 + (optional=templinst)_ZSt4swapI14SelectionRangeEvRT_S2_@Base 2.8.4 + (optional=templinst)_ZSt4swapIP14SelectionRangeEvRT_S3_@Base 2.8.4 + (optional=templinst)_ZSt4swapIiEvRT_S1_@Base 2.8.4 + (optional=templinst)_ZSt5equalIN9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEENS1_IPKS4_S8_EEEbT_SD_T0_@Base 2.8.4 + (optional=templinst)_ZSt6__sortIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEENS0_5__ops15_Iter_less_iterEEvT_SA_T0_@Base 2.8.4 + (optional=templinst)_ZSt6__sortIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEENS0_5__ops15_Iter_comp_iterIPFbPKS2_SC_EEEEvT_SG_T0_@Base 2.8.4 + (optional=templinst)_ZSt6__sortIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEENS0_5__ops15_Iter_comp_iterI6SorterEEEvT_SB_T0_@Base 2.8.4 + (optional=templinst|subst)_ZSt6fill_nIPP10LineLayout{size_t}S1_ET_S3_T0_RKT1_@Base 2.8.4 + (optional=templinst|subst)_ZSt6fill_nIPc{size_t}cET_S1_T0_RKT1_@Base 2.8.4 + (optional=templinst|subst)_ZSt6fill_nIPi{size_t}iET_S1_T0_RKT1_@Base 2.8.4 + (optional=templinst|subst)_ZSt7advanceIN9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEE{size_t}EvRT_T0_@Base 2.8.4 + (optional=templinst|subst)_ZSt7advanceIN9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEE{ssize_t}EvRT_T0_@Base 2.8.4 + (optional=templinst|subst)_ZSt7advanceIN9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS4_SaIS4_EEEE{ssize_t}EvRT_T0_@Base 2.8.4 + (optional=templinst|subst)_ZSt7advanceIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEE{size_t}EvRT_T0_@Base 2.8.4 + (optional=templinst|subst)_ZSt7advanceIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEE{ssize_t}EvRT_T0_@Base 2.8.4 + (optional=templinst|subst)_ZSt7advanceIPKi{ssize_t}EvRT_T0_@Base 2.8.4 + (optional=templinst)_ZSt7replaceIN9__gnu_cxx17__normal_iteratorIPcSsEEcEvT_S4_RKT0_S7_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyI14WordClassifierEvPT_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyI18PositionCacheEntryEvPT_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyI5StyleEvPT_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIN11SparseStateISsE5StateEEvPT_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEEEvT_S8_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEES2_EvT_S8_RSaIT0_E@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIP13latexFoldSaveEvT_S2_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIP13latexFoldSaveS0_EvT_S2_RSaIT0_E@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIP14SelectionRangeEvT_S2_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIP14SelectionRangeS0_EvT_S2_RSaIT0_E@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIP14WordClassifierEvT_S2_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIP14WordClassifierS0_EvT_S2_RSaIT0_E@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIP18PositionCacheEntryEvT_S2_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIP18PositionCacheEntryS0_EvT_S2_RSaIT0_E@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIP5StyleEvT_S2_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIP5StyleS0_EvT_S2_RSaIT0_E@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIP9DrawPhaseEvT_S2_@Base 2.9 + (optional=templinst)_ZSt8_DestroyIP9DrawPhaseS0_EvT_S2_RSaIT0_E@Base 2.9 + (optional=templinst)_ZSt8_DestroyIPN11SparseStateISsE5StateEEvT_S4_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIPN11SparseStateISsE5StateES2_EvT_S4_RSaIT0_E@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIPN11SparseStateIjE5StateEEvT_S4_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIPN11SparseStateIjE5StateES2_EvT_S4_RSaIT0_E@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIPN8Document19WatcherWithUserDataEEvT_S3_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIPN8Document19WatcherWithUserDataES1_EvT_S3_RSaIT0_E@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIPP10LineLayoutEvT_S3_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIPP10LineLayoutS1_EvT_S3_RSaIT0_E@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIPP11LexerModuleEvT_S3_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIPP11LexerModuleS1_EvT_S3_RSaIT0_E@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIPP14SelectionRangeEvT_S3_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIPP14SelectionRangeS1_EvT_S3_RSaIT0_E@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIPPcEvT_S2_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIPPcS0_EvT_S2_RSaIT0_E@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIPSsEvT_S1_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIPSsSsEvT_S1_RSaIT0_E@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIPcEvT_S1_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIPccEvT_S1_RSaIT0_E@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIPiEvT_S1_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIPiiEvT_S1_RSaIT0_E@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyISsEvPT_@Base 2.8.4 + (optional=templinst)_ZSt8__fill_aIP13latexFoldSaveS0_EN9__gnu_cxx11__enable_ifIXntsrSt11__is_scalarIT0_E7__valueEvE6__typeET_S9_RKS5_@Base 2.8.4 + (optional=templinst)_ZSt8__fill_aIP18PositionCacheEntryS0_EN9__gnu_cxx11__enable_ifIXntsrSt11__is_scalarIT0_E7__valueEvE6__typeET_S9_RKS5_@Base 2.8.4 + (optional=templinst)_ZSt8__fill_aIP5StyleS0_EN9__gnu_cxx11__enable_ifIXntsrSt11__is_scalarIT0_E7__valueEvE6__typeET_S9_RKS5_@Base 2.8.4 + (optional=templinst)_ZSt8__fill_aIPP10LineLayoutS1_EN9__gnu_cxx11__enable_ifIXsrSt11__is_scalarIT0_E7__valueEvE6__typeET_SA_RKS6_@Base 2.8.4 + (optional=templinst)_ZSt8__fill_aIPP15MarkerHandleSetS1_EN9__gnu_cxx11__enable_ifIXsrSt11__is_scalarIT0_E7__valueEvE6__typeET_SA_RKS6_@Base 2.8.4 + (optional=templinst)_ZSt8__fill_aIPPSt6vectorIiSaIiEES3_EN9__gnu_cxx11__enable_ifIXsrSt11__is_scalarIT0_E7__valueEvE6__typeET_SC_RKS8_@Base 2.9 + (optional=templinst)_ZSt8__fill_aIPPcS0_EN9__gnu_cxx11__enable_ifIXsrSt11__is_scalarIT0_E7__valueEvE6__typeET_S9_RKS5_@Base 2.8.4 + (optional=templinst)_ZSt8__fill_aIPciEN9__gnu_cxx11__enable_ifIXsrSt11__is_scalarIT0_E7__valueEvE6__typeET_S8_RKS4_@Base 2.9 + (optional=templinst)_ZSt8__fill_aIPffEN9__gnu_cxx11__enable_ifIXsrSt11__is_scalarIT0_E7__valueEvE6__typeET_S8_RKS4_@Base 2.8.4 + (optional=templinst)_ZSt8__fill_aIPhiEN9__gnu_cxx11__enable_ifIXsrSt11__is_scalarIT0_E7__valueEvE6__typeET_S8_RKS4_@Base 2.9 + (optional=templinst)_ZSt8__fill_aIPiiEN9__gnu_cxx11__enable_ifIXsrSt11__is_scalarIT0_E7__valueEvE6__typeET_S8_RKS4_@Base 2.8.4 + (optional=templinst)_ZSt8__fill_aIPsiEN9__gnu_cxx11__enable_ifIXsrSt11__is_scalarIT0_E7__valueEvE6__typeET_S8_RKS4_@Base 2.8.4 + (optional=templinst)_ZSt8__fill_aIcEN9__gnu_cxx11__enable_ifIXsrSt9__is_byteIT_E7__valueEvE6__typeEPS3_S7_RKS3_@Base 2.8.4 + (optional=templinst)_ZSt8distanceIN9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEEENSt15iterator_traitsIT_E15difference_typeESC_SC_@Base 2.8.4 + (optional=templinst)_ZSt8distanceIN9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEEENSt15iterator_traitsIT_E15difference_typeESB_SB_@Base 2.8.4 + (optional=templinst)_ZSt8distanceIN9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS4_SaIS4_EEEEENSt15iterator_traitsIT_E15difference_typeESB_SB_@Base 2.8.4 + (optional=templinst)_ZSt8distanceIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEEENSt15iterator_traitsIT_E15difference_typeES8_S8_@Base 2.8.4 + (optional=templinst)_ZSt8distanceIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEEENSt15iterator_traitsIT_E15difference_typeES8_S8_@Base 2.8.4 + (optional=templinst)_ZSt8distanceIPKiENSt15iterator_traitsIT_E15difference_typeES3_S3_@Base 2.8.4 + (optional=templinst|subst)_ZSt9__advanceIN9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEE{ssize_t}EvRT_T0_St26random_access_iterator_tag@Base 2.8.4 + (optional=templinst|subst)_ZSt9__advanceIN9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEE{ssize_t}EvRT_T0_St26random_access_iterator_tag@Base 2.8.4 + (optional=templinst|subst)_ZSt9__advanceIN9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS4_SaIS4_EEEE{ssize_t}EvRT_T0_St26random_access_iterator_tag@Base 2.8.4 + (optional=templinst|subst)_ZSt9__advanceIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEE{ssize_t}EvRT_T0_St26random_access_iterator_tag@Base 2.8.4 + (optional=templinst|subst)_ZSt9__advanceIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEE{ssize_t}EvRT_T0_St26random_access_iterator_tag@Base 2.8.4 + (optional=templinst|subst)_ZSt9__advanceIPKi{ssize_t}EvRT_T0_St26random_access_iterator_tag@Base 2.8.4 + (optional=templinst)_ZSt9__find_ifIN9__gnu_cxx17__normal_iteratorIPN8Document19WatcherWithUserDataESt6vectorIS3_SaIS3_EEEENS0_5__ops16_Iter_equals_valIKS3_EEET_SD_SD_T0_@Base 2.8.4 + (optional=templinst)_ZSt9__find_ifIN9__gnu_cxx17__normal_iteratorIPN8Document19WatcherWithUserDataESt6vectorIS3_SaIS3_EEEENS0_5__ops16_Iter_equals_valIKS3_EEET_SD_SD_T0_St26random_access_iterator_tag@Base 2.8.4 + (optional=templinst)_ZSt9__find_ifIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEENS0_5__ops10_Iter_predIPFbRKSsEEEET_SE_SE_T0_@Base 2.9 + (optional=templinst)_ZSt9__find_ifIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEENS0_5__ops10_Iter_predIPFbRKSsEEEET_SE_SE_T0_St26random_access_iterator_tag@Base 2.9 + (optional=templinst)_ZSt9__find_ifIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEENS0_5__ops16_Iter_equals_valIA2_KcEEET_SC_SC_T0_@Base 2.8.4 + (optional=templinst)_ZSt9__find_ifIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEENS0_5__ops16_Iter_equals_valIA2_KcEEET_SC_SC_T0_St26random_access_iterator_tag@Base 2.8.4 + (optional=templinst)_ZSt9iter_swapIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEES7_EvT_T0_@Base 2.8.4 + (optional=templinst)_ZSt9iter_swapIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEES8_EvT_T0_@Base 2.8.4 + (optional=templinst)_ZSt9iter_swapIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEES6_EvT_T0_@Base 2.8.4 + (optional=templinst)_ZSt9remove_ifIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEEPFbRKSsEET_SB_SB_T0_@Base 2.9 + (optional=templinst)_ZSteqIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEEEbRKSt16reverse_iteratorIT_ESD_@Base 2.8.4 + (optional=templinst)_ZSteqIcEN9__gnu_cxx11__enable_ifIXsrSt9__is_charIT_E7__valueEbE6__typeERKSbIS3_St11char_traitsIS3_ESaIS3_EESC_@Base 2.8.4 + (optional=templinst)_ZSteqIcSt11char_traitsIcESaIcEEbRKSbIT_T0_T1_EPKS3_@Base 2.8.4 + (optional=templinst)_ZStltIcSt11char_traitsIcESaIcEEbRKSbIT_T0_T1_ES8_@Base 2.8.4 + (optional=templinst)_ZStneIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEEEbRKSt16reverse_iteratorIT_ESD_@Base 2.8.4 + (optional=templinst)_ZStneIcSt11char_traitsIcESaIcEEbRKSbIT_T0_T1_EPKS3_@Base 2.8.4 + (optional=templinst)_ZStneIcSt11char_traitsIcESaIcEEbRKSbIT_T0_T1_ES8_@Base 2.8.4 + _ZTI10CaseFolder@Base 2.8.4 + _ZTI10DocWatcher@Base 2.8.4 + _ZTI10LexerBasic@Base 2.8.4 + _ZTI10LexerLaTeX@Base 2.8.4 + _ZTI10LineLayout@Base 2.8.4 + _ZTI10LineLevels@Base 2.8.4 + _ZTI10OptionSetD@Base 2.8.4 + _ZTI10QsciLexerD@Base 2.8.4 + _ZTI10RegexError@Base 2.9 + _ZTI11LexerModule@Base 2.8.4 + _ZTI11LexerSimple@Base 2.8.4 + _ZTI11LineMarkers@Base 2.8.4 + _ZTI11QsciLexerPO@Base 2.8.4 + _ZTI11QsciPrinter@Base 2.8.4 + _ZTI11SurfaceImpl@Base 2.8.4 + _ZTI12BuiltinRegex@Base 2.8.4 + _ZTI12FontRealised@Base 2.8.4 + _ZTI12LexInterface@Base 2.8.4 + _ZTI12LexerHaskell@Base 2.8.4 + _ZTI12LexerVerilog@Base 2.9 + _ZTI12LineTabstops@Base 2.9 + _ZTI12OptionSetAsm@Base 2.8.4 + _ZTI12OptionSetSQL@Base 2.8.4 + _ZTI12QsciDocument@Base 2.8.4 + _ZTI12QsciLexerAVS@Base 2.8.4 + _ZTI12QsciLexerCPP@Base 2.8.4 + _ZTI12QsciLexerCSS@Base 2.8.4 + _ZTI12QsciLexerIDL@Base 2.8.4 + _ZTI12QsciLexerLua@Base 2.8.4 + _ZTI12QsciLexerPOV@Base 2.8.4 + _ZTI12QsciLexerSQL@Base 2.8.4 + _ZTI12QsciLexerTCL@Base 2.8.4 + _ZTI12QsciLexerTeX@Base 2.8.4 + _ZTI12QsciLexerXML@Base 2.8.4 + _ZTI12QsciSciPopup@Base 2.8.4 + _ZTI13LexerRegistry@Base 2.9 + _ZTI13OptionSetPerl@Base 2.8.4 + _ZTI13OptionSetRust@Base 2.8.4 + _ZTI13PropSetSimple@Base 2.8.4 + _ZTI13QsciLexerBash@Base 2.8.4 + _ZTI13QsciLexerDiff@Base 2.8.4 + _ZTI13QsciLexerHTML@Base 2.8.4 + _ZTI13QsciLexerJava@Base 2.8.4 + _ZTI13QsciLexerPerl@Base 2.8.4 + _ZTI13QsciLexerRuby@Base 2.8.4 + _ZTI13QsciLexerVHDL@Base 2.8.4 + _ZTI13QsciLexerYAML@Base 2.8.4 + _ZTI13QsciListBoxQt@Base 2.8.4 + _ZTI13QsciScintilla@Base 2.8.4 + _ZTI13ScintillaBase@Base 2.8.4 + _ZTI14DynamicLibrary@Base 2.8.4 + _ZTI14ICaseConverter@Base 2.8.4 + _ZTI14LineAnnotation@Base 2.8.4 + _ZTI14OptionSetBasic@Base 2.8.4 + _ZTI14QsciAPIsWorker@Base 2.8.4 + _ZTI14QsciLexerBatch@Base 2.8.4 + _ZTI14QsciLexerCMake@Base 2.8.4 + _ZTI14QsciLexerSpice@Base 2.8.4 + _ZTI14QsciSciCallTip@Base 2.8.4 + _ZTI14QsciSciListBox@Base 2.8.4 + _ZTI15CaseFolderASCII@Base 2.8.4 + _ZTI15CaseFolderTable@Base 2.8.4 + _ZTI15LineLayoutCache@Base 2.8.4 + _ZTI15QsciLexerCSharp@Base 2.8.4 + _ZTI15QsciLexerCustom@Base 2.8.4 + _ZTI15QsciLexerMatlab@Base 2.8.4 + _ZTI15QsciLexerOctave@Base 2.8.4 + _ZTI15QsciLexerPascal@Base 2.8.4 + _ZTI15QsciLexerPython@Base 2.8.4 + _ZTI15QsciScintillaQt@Base 2.8.4 + _ZTI15RegexSearchBase@Base 2.8.4 + _ZTI16CharacterIndexer@Base 2.8.4 + _ZTI16ContractionState@Base 2.8.4 + _ZTI16FontMeasurements@Base 2.8.4 + _ZTI16OptionSetHaskell@Base 2.8.4 + _ZTI16QsciAbstractAPIs@Base 2.8.4 + _ZTI16QsciLexerFortran@Base 2.8.4 + _ZTI16QsciLexerVerilog@Base 2.8.4 + _ZTI17CaseFolderUnicode@Base 2.8.4 + _ZTI17LexerNoExceptions@Base 2.8.4 + _ZTI17LexerVisualProlog@Base 2.8.4 + _ZTI17OptionSetRegistry@Base 2.9 + _ZTI17QsciLexerMakefile@Base 2.8.4 + _ZTI17QsciScintillaBase@Base 2.8.4 + _ZTI18DynamicLibraryImpl@Base 2.8.4 + _ZTI18QsciLexerFortran77@Base 2.8.4 + _ZTI19ExternalLexerModule@Base 2.8.4 + _ZTI19ILexerWithSubStyles@Base 2.8.4 + _ZTI19QsciLexerJavaScript@Base 2.8.4 + _ZTI19QsciLexerPostScript@Base 2.8.4 + _ZTI19QsciLexerProperties@Base 2.8.4 + _ZTI20IDocumentWithLineEnd@Base 2.8.4 + _ZTI21OptionSetVisualProlog@Base 2.8.4 + _ZTI21QsciLexerCoffeeScript@Base 2.8.4 + _ZTI4Font@Base 2.8.4 + _ZTI6Editor@Base 2.8.4 + _ZTI6ILexer@Base 2.8.4 + _ZTI6LexerD@Base 2.8.4 + _ZTI6Window@Base 2.8.4 + _ZTI7ILoader@Base 2.8.4 + _ZTI7ListBox@Base 2.8.4 + _ZTI7PerLine@Base 2.8.4 + _ZTI7Surface@Base 2.8.4 + _ZTI8Document@Base 2.8.4 + _ZTI8EditView@Base 2.9 + _ZTI8LexState@Base 2.8.4 + _ZTI8LexerAsm@Base 2.8.4 + _ZTI8LexerCPP@Base 2.8.4 + _ZTI8LexerSQL@Base 2.8.4 + _ZTI8QsciAPIs@Base 2.8.4 + _ZTI9EditModel@Base 2.9 + _ZTI9FontAlias@Base 2.8.4 + _ZTI9IDocument@Base 2.8.4 + _ZTI9LexerBase@Base 2.8.4 + _ZTI9LexerDMIS@Base 2.9 + _ZTI9LexerPerl@Base 2.8.4 + _ZTI9LexerRust@Base 2.8.4 + _ZTI9LineState@Base 2.8.4 + _ZTI9OptionSetI10OptionsAsmE@Base 2.8.4 + _ZTI9OptionSetI10OptionsSQLE@Base 2.8.4 + _ZTI9OptionSetI11OptionsPerlE@Base 2.8.4 + _ZTI9OptionSetI11OptionsRustE@Base 2.8.4 + _ZTI9OptionSetI12OptionsBasicE@Base 2.8.4 + _ZTI9OptionSetI14OptionsHaskellE@Base 2.8.4 + _ZTI9OptionSetI15OptionsRegistryE@Base 2.9 + _ZTI9OptionSetI19OptionsVisualPrologE@Base 2.8.4 + _ZTI9OptionSetI8OptionsDE@Base 2.8.4 + _ZTI9QsciLexer@Base 2.8.4 + _ZTI9QsciMacro@Base 2.8.4 + _ZTI9RGBAImage@Base 2.8.4 + _ZTS10CaseFolder@Base 2.8.4 + _ZTS10DocWatcher@Base 2.8.4 + _ZTS10LexerBasic@Base 2.8.4 + _ZTS10LexerLaTeX@Base 2.8.4 + _ZTS10LineLayout@Base 2.8.4 + _ZTS10LineLevels@Base 2.8.4 + _ZTS10OptionSetD@Base 2.8.4 + _ZTS10QsciLexerD@Base 2.8.4 + _ZTS10RegexError@Base 2.9 + _ZTS11LexerModule@Base 2.8.4 + _ZTS11LexerSimple@Base 2.8.4 + _ZTS11LineMarkers@Base 2.8.4 + _ZTS11QsciLexerPO@Base 2.8.4 + _ZTS11QsciPrinter@Base 2.8.4 + _ZTS11SurfaceImpl@Base 2.8.4 + _ZTS12BuiltinRegex@Base 2.8.4 + _ZTS12FontRealised@Base 2.8.4 + _ZTS12LexInterface@Base 2.8.4 + _ZTS12LexerHaskell@Base 2.8.4 + _ZTS12LexerVerilog@Base 2.9 + _ZTS12LineTabstops@Base 2.9 + _ZTS12OptionSetAsm@Base 2.8.4 + _ZTS12OptionSetSQL@Base 2.8.4 + _ZTS12QsciDocument@Base 2.8.4 + _ZTS12QsciLexerAVS@Base 2.8.4 + _ZTS12QsciLexerCPP@Base 2.8.4 + _ZTS12QsciLexerCSS@Base 2.8.4 + _ZTS12QsciLexerIDL@Base 2.8.4 + _ZTS12QsciLexerLua@Base 2.8.4 + _ZTS12QsciLexerPOV@Base 2.8.4 + _ZTS12QsciLexerSQL@Base 2.8.4 + _ZTS12QsciLexerTCL@Base 2.8.4 + _ZTS12QsciLexerTeX@Base 2.8.4 + _ZTS12QsciLexerXML@Base 2.8.4 + _ZTS12QsciSciPopup@Base 2.8.4 + _ZTS13LexerRegistry@Base 2.9 + _ZTS13OptionSetPerl@Base 2.8.4 + _ZTS13OptionSetRust@Base 2.8.4 + _ZTS13PropSetSimple@Base 2.8.4 + _ZTS13QsciLexerBash@Base 2.8.4 + _ZTS13QsciLexerDiff@Base 2.8.4 + _ZTS13QsciLexerHTML@Base 2.8.4 + _ZTS13QsciLexerJava@Base 2.8.4 + _ZTS13QsciLexerPerl@Base 2.8.4 + _ZTS13QsciLexerRuby@Base 2.8.4 + _ZTS13QsciLexerVHDL@Base 2.8.4 + _ZTS13QsciLexerYAML@Base 2.8.4 + _ZTS13QsciListBoxQt@Base 2.8.4 + _ZTS13QsciScintilla@Base 2.8.4 + _ZTS13ScintillaBase@Base 2.8.4 + _ZTS14DynamicLibrary@Base 2.8.4 + _ZTS14ICaseConverter@Base 2.8.4 + _ZTS14LineAnnotation@Base 2.8.4 + _ZTS14OptionSetBasic@Base 2.8.4 + _ZTS14QsciAPIsWorker@Base 2.8.4 + _ZTS14QsciLexerBatch@Base 2.8.4 + _ZTS14QsciLexerCMake@Base 2.8.4 + _ZTS14QsciLexerSpice@Base 2.8.4 + _ZTS14QsciSciCallTip@Base 2.8.4 + _ZTS14QsciSciListBox@Base 2.8.4 + _ZTS15CaseFolderASCII@Base 2.8.4 + _ZTS15CaseFolderTable@Base 2.8.4 + _ZTS15LineLayoutCache@Base 2.8.4 + _ZTS15QsciLexerCSharp@Base 2.8.4 + _ZTS15QsciLexerCustom@Base 2.8.4 + _ZTS15QsciLexerMatlab@Base 2.8.4 + _ZTS15QsciLexerOctave@Base 2.8.4 + _ZTS15QsciLexerPascal@Base 2.8.4 + _ZTS15QsciLexerPython@Base 2.8.4 + _ZTS15QsciScintillaQt@Base 2.8.4 + _ZTS15RegexSearchBase@Base 2.8.4 + _ZTS16CharacterIndexer@Base 2.8.4 + _ZTS16ContractionState@Base 2.8.4 + _ZTS16FontMeasurements@Base 2.8.4 + _ZTS16OptionSetHaskell@Base 2.8.4 + _ZTS16QsciAbstractAPIs@Base 2.8.4 + _ZTS16QsciLexerFortran@Base 2.8.4 + _ZTS16QsciLexerVerilog@Base 2.8.4 + _ZTS17CaseFolderUnicode@Base 2.8.4 + _ZTS17LexerNoExceptions@Base 2.8.4 + _ZTS17LexerVisualProlog@Base 2.8.4 + _ZTS17OptionSetRegistry@Base 2.9 + _ZTS17QsciLexerMakefile@Base 2.8.4 + _ZTS17QsciScintillaBase@Base 2.8.4 + _ZTS18DynamicLibraryImpl@Base 2.8.4 + _ZTS18QsciLexerFortran77@Base 2.8.4 + _ZTS19ExternalLexerModule@Base 2.8.4 + _ZTS19ILexerWithSubStyles@Base 2.8.4 + _ZTS19QsciLexerJavaScript@Base 2.8.4 + _ZTS19QsciLexerPostScript@Base 2.8.4 + _ZTS19QsciLexerProperties@Base 2.8.4 + _ZTS20IDocumentWithLineEnd@Base 2.8.4 + _ZTS21OptionSetVisualProlog@Base 2.8.4 + _ZTS21QsciLexerCoffeeScript@Base 2.8.4 + _ZTS4Font@Base 2.8.4 + _ZTS6Editor@Base 2.8.4 + _ZTS6ILexer@Base 2.8.4 + _ZTS6LexerD@Base 2.8.4 + _ZTS6Window@Base 2.8.4 + _ZTS7ILoader@Base 2.8.4 + _ZTS7ListBox@Base 2.8.4 + _ZTS7PerLine@Base 2.8.4 + _ZTS7Surface@Base 2.8.4 + _ZTS8Document@Base 2.8.4 + _ZTS8EditView@Base 2.9 + _ZTS8LexState@Base 2.8.4 + _ZTS8LexerAsm@Base 2.8.4 + _ZTS8LexerCPP@Base 2.8.4 + _ZTS8LexerSQL@Base 2.8.4 + _ZTS8QsciAPIs@Base 2.8.4 + _ZTS9EditModel@Base 2.9 + _ZTS9FontAlias@Base 2.8.4 + _ZTS9IDocument@Base 2.8.4 + _ZTS9LexerBase@Base 2.8.4 + _ZTS9LexerDMIS@Base 2.9 + _ZTS9LexerPerl@Base 2.8.4 + _ZTS9LexerRust@Base 2.8.4 + _ZTS9LineState@Base 2.8.4 + _ZTS9OptionSetI10OptionsAsmE@Base 2.8.4 + _ZTS9OptionSetI10OptionsSQLE@Base 2.8.4 + _ZTS9OptionSetI11OptionsPerlE@Base 2.8.4 + _ZTS9OptionSetI11OptionsRustE@Base 2.8.4 + _ZTS9OptionSetI12OptionsBasicE@Base 2.8.4 + _ZTS9OptionSetI14OptionsHaskellE@Base 2.8.4 + _ZTS9OptionSetI15OptionsRegistryE@Base 2.9 + _ZTS9OptionSetI19OptionsVisualPrologE@Base 2.8.4 + _ZTS9OptionSetI8OptionsDE@Base 2.8.4 + _ZTS9QsciLexer@Base 2.8.4 + _ZTS9QsciMacro@Base 2.8.4 + _ZTS9RGBAImage@Base 2.8.4 + _ZTV10CaseFolder@Base 2.8.4 + _ZTV10DocWatcher@Base 2.8.4 + _ZTV10LexerBasic@Base 2.8.4 + _ZTV10LexerLaTeX@Base 2.8.4 + _ZTV10LineLayout@Base 2.8.4 + _ZTV10LineLevels@Base 2.8.4 + _ZTV10OptionSetD@Base 2.8.4 + _ZTV10QsciLexerD@Base 2.8.4 + _ZTV11LexerModule@Base 2.8.4 + _ZTV11LexerSimple@Base 2.8.4 + _ZTV11LineMarkers@Base 2.8.4 + _ZTV11QsciLexerPO@Base 2.8.4 + _ZTV11QsciPrinter@Base 2.8.4 + _ZTV11SurfaceImpl@Base 2.8.4 + _ZTV12BuiltinRegex@Base 2.8.4 + _ZTV12FontRealised@Base 2.8.4 + _ZTV12LexInterface@Base 2.8.4 + _ZTV12LexerHaskell@Base 2.8.4 + _ZTV12LexerVerilog@Base 2.9 + _ZTV12LineTabstops@Base 2.9 + _ZTV12OptionSetAsm@Base 2.8.4 + _ZTV12OptionSetSQL@Base 2.8.4 + _ZTV12QsciDocument@Base 2.8.4 + _ZTV12QsciLexerAVS@Base 2.8.4 + _ZTV12QsciLexerCPP@Base 2.8.4 + _ZTV12QsciLexerCSS@Base 2.8.4 + _ZTV12QsciLexerIDL@Base 2.8.4 + _ZTV12QsciLexerLua@Base 2.8.4 + _ZTV12QsciLexerPOV@Base 2.8.4 + _ZTV12QsciLexerSQL@Base 2.8.4 + _ZTV12QsciLexerTCL@Base 2.8.4 + _ZTV12QsciLexerTeX@Base 2.8.4 + _ZTV12QsciLexerXML@Base 2.8.4 + _ZTV12QsciSciPopup@Base 2.8.4 + _ZTV13LexerRegistry@Base 2.9 + _ZTV13OptionSetPerl@Base 2.8.4 + _ZTV13OptionSetRust@Base 2.8.4 + _ZTV13PropSetSimple@Base 2.8.4 + _ZTV13QsciLexerBash@Base 2.8.4 + _ZTV13QsciLexerDiff@Base 2.8.4 + _ZTV13QsciLexerHTML@Base 2.8.4 + _ZTV13QsciLexerJava@Base 2.8.4 + _ZTV13QsciLexerPerl@Base 2.8.4 + _ZTV13QsciLexerRuby@Base 2.8.4 + _ZTV13QsciLexerVHDL@Base 2.8.4 + _ZTV13QsciLexerYAML@Base 2.8.4 + _ZTV13QsciListBoxQt@Base 2.8.4 + _ZTV13QsciScintilla@Base 2.8.4 + _ZTV13ScintillaBase@Base 2.8.4 + _ZTV14DynamicLibrary@Base 2.8.4 + _ZTV14ICaseConverter@Base 2.8.4 + _ZTV14LineAnnotation@Base 2.8.4 + _ZTV14OptionSetBasic@Base 2.8.4 + _ZTV14QsciAPIsWorker@Base 2.8.4 + _ZTV14QsciLexerBatch@Base 2.8.4 + _ZTV14QsciLexerCMake@Base 2.8.4 + _ZTV14QsciLexerSpice@Base 2.8.4 + _ZTV14QsciSciCallTip@Base 2.8.4 + _ZTV14QsciSciListBox@Base 2.8.4 + _ZTV15CaseFolderASCII@Base 2.8.4 + _ZTV15CaseFolderTable@Base 2.8.4 + _ZTV15LineLayoutCache@Base 2.8.4 + _ZTV15QsciLexerCSharp@Base 2.8.4 + _ZTV15QsciLexerCustom@Base 2.8.4 + _ZTV15QsciLexerMatlab@Base 2.8.4 + _ZTV15QsciLexerOctave@Base 2.8.4 + _ZTV15QsciLexerPascal@Base 2.8.4 + _ZTV15QsciLexerPython@Base 2.8.4 + _ZTV15QsciScintillaQt@Base 2.8.4 + _ZTV15RegexSearchBase@Base 2.8.4 + _ZTV16CharacterIndexer@Base 2.8.4 + _ZTV16ContractionState@Base 2.8.4 + _ZTV16OptionSetHaskell@Base 2.8.4 + _ZTV16QsciAbstractAPIs@Base 2.8.4 + _ZTV16QsciLexerFortran@Base 2.8.4 + _ZTV16QsciLexerVerilog@Base 2.8.4 + _ZTV17CaseFolderUnicode@Base 2.8.4 + _ZTV17LexerNoExceptions@Base 2.8.4 + _ZTV17LexerVisualProlog@Base 2.8.4 + _ZTV17OptionSetRegistry@Base 2.9 + _ZTV17QsciLexerMakefile@Base 2.8.4 + _ZTV17QsciScintillaBase@Base 2.8.4 + _ZTV18DynamicLibraryImpl@Base 2.8.4 + _ZTV18QsciLexerFortran77@Base 2.8.4 + _ZTV19ExternalLexerModule@Base 2.8.4 + _ZTV19ILexerWithSubStyles@Base 2.8.4 + _ZTV19QsciLexerJavaScript@Base 2.8.4 + _ZTV19QsciLexerPostScript@Base 2.8.4 + _ZTV19QsciLexerProperties@Base 2.8.4 + _ZTV20IDocumentWithLineEnd@Base 2.8.4 + _ZTV21OptionSetVisualProlog@Base 2.8.4 + _ZTV21QsciLexerCoffeeScript@Base 2.8.4 + _ZTV4Font@Base 2.8.4 + _ZTV6Editor@Base 2.8.4 + _ZTV6ILexer@Base 2.8.4 + _ZTV6LexerD@Base 2.8.4 + _ZTV6Window@Base 2.8.4 + _ZTV7ILoader@Base 2.8.4 + _ZTV7ListBox@Base 2.8.4 + _ZTV7PerLine@Base 2.8.4 + _ZTV7Surface@Base 2.8.4 + _ZTV8Document@Base 2.8.4 + _ZTV8EditView@Base 2.9 + _ZTV8LexState@Base 2.8.4 + _ZTV8LexerAsm@Base 2.8.4 + _ZTV8LexerCPP@Base 2.8.4 + _ZTV8LexerSQL@Base 2.8.4 + _ZTV8QsciAPIs@Base 2.8.4 + _ZTV9EditModel@Base 2.9 + _ZTV9FontAlias@Base 2.8.4 + _ZTV9IDocument@Base 2.8.4 + _ZTV9LexerBase@Base 2.8.4 + _ZTV9LexerDMIS@Base 2.9 + _ZTV9LexerPerl@Base 2.8.4 + _ZTV9LexerRust@Base 2.8.4 + _ZTV9LineState@Base 2.8.4 + _ZTV9OptionSetI10OptionsAsmE@Base 2.8.4 + _ZTV9OptionSetI10OptionsSQLE@Base 2.8.4 + _ZTV9OptionSetI11OptionsPerlE@Base 2.8.4 + _ZTV9OptionSetI11OptionsRustE@Base 2.8.4 + _ZTV9OptionSetI12OptionsBasicE@Base 2.8.4 + _ZTV9OptionSetI14OptionsHaskellE@Base 2.8.4 + _ZTV9OptionSetI15OptionsRegistryE@Base 2.9 + _ZTV9OptionSetI19OptionsVisualPrologE@Base 2.8.4 + _ZTV9OptionSetI8OptionsDE@Base 2.8.4 + _ZTV9QsciLexer@Base 2.8.4 + _ZTV9QsciMacro@Base 2.8.4 + _ZTV9RGBAImage@Base 2.8.4 + _ZdlPvS_@Base 2.8.4 + _Zeq5QCharS_@Base 2.8.4 + (optional=templinst)_ZlsI5QPairIjjEER11QDataStreamS3_RK5QListIT_E@Base 2.8.4 + (optional=templinst)_ZlsI7QString5QListI5QPairIjjEEER11QDataStreamS6_RK4QMapIT_T0_E@Base 2.8.4 + (optional=templinst)_ZlsI7QStringER11QDataStreamS2_RK5QListIT_E@Base 2.8.4 + (optional=templinst)_ZlsIjjER11QDataStreamS1_RK5QPairIT_T0_E@Base 2.8.4 + _ZlsR11QDataStreamRK11QStringList@Base 2.8.4 + _ZmiRK6QPointS1_@Base 2.8.4 + _Zne5QCharS_@Base 2.8.4 + (subst)_Znw{size_t}Pv@Base 2.8.4 + _ZorN2Qt10DropActionES0_@Base 2.8.4 + _ZorN2Qt10WindowTypeES0_@Base 2.8.4 + _ZorN2Qt15InputMethodHintES0_@Base 2.8.4 + _ZorN2Qt9MatchFlagES0_@Base 2.8.4 + _ZorN9QIODevice12OpenModeFlagES0_@Base 2.8.4 + _ZplRK7QStringPKc@Base 2.8.4 + _ZplRK7QStringS1_@Base 2.8.4 + _ZplRK7QStringc@Base 2.8.4 + (optional=templinst)_ZrsI5QPairIjjEER11QDataStreamS3_R5QListIT_E@Base 2.8.4 + (optional=templinst)_ZrsI7QString5QListI5QPairIjjEEER11QDataStreamS6_R4QMapIT_T0_E@Base 2.8.4 + (optional=templinst)_ZrsI7QStringER11QDataStreamS2_R5QListIT_E@Base 2.8.4 + (optional=templinst)_ZrsIjjER11QDataStreamS1_R5QPairIT_T0_E@Base 2.8.4 + _ZrsR11QDataStreamR11QStringList@Base 2.8.4 + lmA68k@Base 2.8.4 + lmAPDL@Base 2.8.4 + lmASY@Base 2.8.4 + lmAU3@Base 2.8.4 + lmAVE@Base 2.8.4 + lmAVS@Base 2.8.4 + lmAbaqus@Base 2.8.4 + lmAda@Base 2.8.4 + lmAs@Base 2.9 + lmAsm@Base 2.8.4 + lmAsn1@Base 2.8.4 + lmBaan@Base 2.8.4 + lmBash@Base 2.8.4 + lmBatch@Base 2.8.4 + lmBibTeX@Base 2.9 + lmBlitzBasic@Base 2.8.4 + lmBullant@Base 2.8.4 + lmCOBOL@Base 2.8.4 + lmCPP@Base 2.8.4 + lmCPPNoCase@Base 2.8.4 + lmCaml@Base 2.8.4 + lmClw@Base 2.8.4 + lmClwNoCase@Base 2.8.4 + lmCmake@Base 2.8.4 + lmCoffeeScript@Base 2.8.4 + lmConf@Base 2.8.4 + lmCsound@Base 2.8.4 + lmCss@Base 2.8.4 + lmD@Base 2.8.4 + lmDMAP@Base 2.9 + lmDMIS@Base 2.9 + lmDiff@Base 2.8.4 + lmECL@Base 2.8.4 + lmESCRIPT@Base 2.8.4 + lmEiffel@Base 2.8.4 + lmEiffelkw@Base 2.8.4 + lmErlang@Base 2.8.4 + lmErrorList@Base 2.8.4 + lmF77@Base 2.8.4 + lmFlagShip@Base 2.8.4 + lmForth@Base 2.8.4 + lmFortran@Base 2.8.4 + lmFreeBasic@Base 2.8.4 + lmGAP@Base 2.8.4 + lmGui4Cli@Base 2.8.4 + lmHTML@Base 2.8.4 + lmHaskell@Base 2.8.4 + lmIHex@Base 2.9 + lmInno@Base 2.8.4 + lmKVIrc@Base 2.8.4 + lmKix@Base 2.8.4 + lmLISP@Base 2.8.4 + lmLatex@Base 2.8.4 + lmLiterateHaskell@Base 2.8.4 + lmLot@Base 2.8.4 + lmLout@Base 2.8.4 + lmLua@Base 2.8.4 + lmMETAPOST@Base 2.8.4 + lmMMIXAL@Base 2.8.4 + lmMSSQL@Base 2.8.4 + lmMagikSF@Base 2.8.4 + lmMake@Base 2.8.4 + lmMarkdown@Base 2.8.4 + lmMatlab@Base 2.8.4 + lmModula@Base 2.8.4 + lmMySQL@Base 2.8.4 + lmNimrod@Base 2.8.4 + lmNncrontab@Base 2.8.4 + lmNsis@Base 2.8.4 + lmNull@Base 2.8.4 + lmOScript@Base 2.8.4 + lmOctave@Base 2.8.4 + lmOpal@Base 2.8.4 + lmPB@Base 2.8.4 + lmPHPSCRIPT@Base 2.8.4 + lmPLM@Base 2.8.4 + lmPO@Base 2.8.4 + lmPOV@Base 2.8.4 + lmPS@Base 2.8.4 + lmPascal@Base 2.8.4 + lmPerl@Base 2.8.4 + lmPowerPro@Base 2.8.4 + lmPowerShell@Base 2.8.4 + lmProgress@Base 2.8.4 + lmProps@Base 2.8.4 + lmPureBasic@Base 2.8.4 + lmPython@Base 2.8.4 + lmR@Base 2.8.4 + lmREBOL@Base 2.8.4 + lmRegistry@Base 2.9 + lmRuby@Base 2.8.4 + lmRust@Base 2.8.4 + lmSML@Base 2.8.4 + lmSQL@Base 2.8.4 + lmSTTXT@Base 2.8.4 + lmScriptol@Base 2.8.4 + lmSmalltalk@Base 2.8.4 + lmSorc@Base 2.8.4 + lmSpecman@Base 2.8.4 + lmSpice@Base 2.8.4 + lmSrec@Base 2.9 + lmTACL@Base 2.8.4 + lmTADS3@Base 2.8.4 + lmTAL@Base 2.8.4 + lmTCL@Base 2.8.4 + lmTCMD@Base 2.8.4 + lmTEHex@Base 2.9 + lmTeX@Base 2.8.4 + lmTxt2tags@Base 2.8.4 + lmVB@Base 2.8.4 + lmVBScript@Base 2.8.4 + lmVHDL@Base 2.8.4 + lmVerilog@Base 2.8.4 + lmVisualProlog@Base 2.8.4 + lmXML@Base 2.8.4 + lmYAML@Base 2.8.4 + minder@Base 2.8.4 + (c++)"non-virtual thunk to Document::AddData(char*, int)@Base" 2.8.4 + (c++)"non-virtual thunk to Document::BufferPointer()@Base" 2.8.4 + (c++)"non-virtual thunk to Document::ChangeLexerState(int, int)@Base" 2.8.4 + (c++)"non-virtual thunk to Document::CodePage() const@Base" 2.8.4 + (c++)"non-virtual thunk to Document::ConvertToDocument()@Base" 2.8.4 + (c++)"non-virtual thunk to Document::DecorationFillRange(int, int, int)@Base" 2.8.4 + (c++)"non-virtual thunk to Document::DecorationSetCurrentIndicator(int)@Base" 2.8.4 + (c++)"non-virtual thunk to Document::GetCharRange(char*, int, int) const@Base" 2.8.4 + (c++)"non-virtual thunk to Document::GetCharacterAndWidth(int, int*) const@Base" 2.8.4 + (c++)"non-virtual thunk to Document::GetLevel(int) const@Base" 2.8.4 + (c++)"non-virtual thunk to Document::GetLineIndentation(int)@Base" 2.8.4 + (c++)"non-virtual thunk to Document::GetLineState(int) const@Base" 2.8.4 + (c++)"non-virtual thunk to Document::GetRelativePosition(int, int) const@Base" 2.8.4 + (c++)"non-virtual thunk to Document::IsDBCSLeadByte(char) const@Base" 2.8.4 + (c++)"non-virtual thunk to Document::Length() const@Base" 2.8.4 + (c++)"non-virtual thunk to Document::LineEnd(int) const@Base" 2.8.4 + (c++)"non-virtual thunk to Document::LineFromPosition(int) const@Base" 2.8.4 + (c++)"non-virtual thunk to Document::LineStart(int) const@Base" 2.8.4 + (c++)"non-virtual thunk to Document::Release()@Base" 2.8.4 + (c++)"non-virtual thunk to Document::SetErrorStatus(int)@Base" 2.8.4 + (c++)"non-virtual thunk to Document::SetLevel(int, int)@Base" 2.8.4 + (c++)"non-virtual thunk to Document::SetLineState(int, int)@Base" 2.8.4 + (c++)"non-virtual thunk to Document::SetStyleFor(int, char)@Base" 2.8.4 + (c++)"non-virtual thunk to Document::SetStyles(int, char const*)@Base" 2.8.4 + (c++)"non-virtual thunk to Document::StartStyling(int, char)@Base" 2.8.4 + (c++)"non-virtual thunk to Document::StyleAt(int) const@Base" 2.8.4 + (c++)"non-virtual thunk to Document::Version() const@Base" 2.8.4 + (c++)"non-virtual thunk to Editor::NotifyDeleted(Document*, void*)@Base" 2.9 + (c++)"non-virtual thunk to Editor::NotifyErrorOccurred(Document*, void*, int)@Base" 2.9 + (c++)"non-virtual thunk to Editor::NotifyLexerChanged(Document*, void*)@Base" 2.9 + (c++)"non-virtual thunk to Editor::NotifyModified(Document*, DocModification, void*)@Base" 2.9 + (c++)"non-virtual thunk to Editor::NotifyModifyAttempt(Document*, void*)@Base" 2.9 + (c++)"non-virtual thunk to Editor::NotifySavePoint(Document*, void*, bool)@Base" 2.9 + (c++)"non-virtual thunk to Editor::NotifyStyleNeeded(Document*, void*, int)@Base" 2.9 + (c++)"non-virtual thunk to Editor::~Editor()@Base" 2.9 + (c++)"non-virtual thunk to QsciSciCallTip::~QsciSciCallTip()@Base" 2.8.4 + (c++)"non-virtual thunk to QsciSciListBox::~QsciSciListBox()@Base" 2.8.4 + (c++)"non-virtual thunk to QsciSciPopup::~QsciSciPopup()@Base" 2.8.4 + (c++)"non-virtual thunk to QsciScintilla::~QsciScintilla()@Base" 2.8.4 + (c++)"non-virtual thunk to QsciScintillaBase::~QsciScintillaBase()@Base" 2.8.4 + (c++)"non-virtual thunk to QsciScintillaQt::AddToPopUp(char const*, int, bool)@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::ClaimSelection()@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::Copy()@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::CopyToClipboard(SelectionText const&)@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::CreateCallTipWindow(PRectangle)@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::DefWndProc(unsigned int, unsigned long, long)@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::Finalise()@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::FineTickerAvailable()@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::FineTickerCancel(Editor::TickReason)@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::FineTickerRunning(Editor::TickReason)@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::FineTickerStart(Editor::TickReason, int, int)@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::HaveMouseCapture()@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::Initialise()@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::ModifyScrollBars(int, int)@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::NotifyChange()@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::NotifyParent(SCNotification)@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::Paste()@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::ReconfigureScrollBars()@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::SetHorizontalScrollPos()@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::SetIdle(bool)@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::SetMouseCapture(bool)@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::SetVerticalScrollPos()@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::StartDrag()@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::WndProc(unsigned int, unsigned long, long)@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::~QsciScintillaQt()@Base" 2.9 + (c++)"non-virtual thunk to ScintillaBase::NotifyLexerChanged(Document*, void*)@Base" 2.9 + (c++)"non-virtual thunk to ScintillaBase::~ScintillaBase()@Base" 2.9 diff -Nru qscintilla2-2.8.4+dfsg/debian/libqt5scintilla2-12.symbols qscintilla2-2.9+dfsg/debian/libqt5scintilla2-12.symbols --- qscintilla2-2.8.4+dfsg/debian/libqt5scintilla2-12.symbols 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/debian/libqt5scintilla2-12.symbols 2015-06-29 14:26:18.000000000 +0000 @@ -0,0 +1,9156 @@ +# SymbolsHelper-Confirmed: 2.9 amd64 arm64 armel armhf hppa i386 kfreebsd-amd64 kfreebsd-i386 mips mipsel powerpc ppc64 ppc64el s390x +libqt5scintilla2.so.12 libqt5scintilla2-12 #MINVER# + Scintilla_LinkLexers@Base 2.8.4 + UTF8BytesOfLead@Base 2.8.4 + _Z10FoldSMLDocjiiPP8WordListR8Accessor@Base 2.8.4 + _Z10HandleSkipRjjR8Accessor@Base 2.8.4 + _Z10HandleWordRjjR8AccessorPP8WordList@Base 2.8.4 + _Z10IsOperatori@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_Z10StringCopyIcLj200EEvRAT0__T_PKS0_@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_Z10StringCopyIcLj2EEvRAT0__T_PKS0_@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_Z10StringCopyIcLj7EEvRAT0__T_PKS0_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_Z10StringCopyIcLm200EEvRAT0__T_PKS0_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_Z10StringCopyIcLm2EEvRAT0__T_PKS0_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_Z10StringCopyIcLm7EEvRAT0__T_PKS0_@Base 2.9 + _Z10UTF8LengthPKwj@Base 2.8.4 + _Z10isoperatori@Base 2.8.4 + _Z10iswordchari@Base 2.8.4 + _Z11CaseConverti14CaseConversion@Base 2.8.4 + _Z11FoldCamlDocjiiPP8WordListR8Accessor@Base 2.8.4 + _Z11HandleSpaceRjjR8Accessor@Base 2.8.4 + _Z11IsLowerCasei@Base 2.8.4 + _Z11IsUpperCasei@Base 2.8.4 + _Z11MatchNoCaseR8AccessorRjPKc@Base 2.8.4 + (arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_Z11UTF16LengthPKcj@Base 2.9 + (arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_Z11UTF16LengthPKcm@Base 2.9 + _Z11UTF8IsAsciii@Base 2.8.4 + _Z11escapeValueh@Base 2.8.4 + _Z11isspacechari@Base 2.8.4 + _Z11iswordstarti@Base 2.8.4 + (optional=templinst)_Z11qLowerBoundI11QStringList7QStringENT_14const_iteratorERKS2_RKT0_@Base 2.8.4 + (optional=templinst)_Z11qMetaTypeIdI11QTextFormatEiv@Base 2.8.4 + _Z11qUncompressRK10QByteArray@Base 2.8.4 + _Z12ConverterFor14CaseConversion@Base 2.8.4 + _Z12DrawTabArrowP7Surface10PRectanglei@Base 2.8.4 + _Z12HandleStringRjjR8Accessor@Base 2.8.4 + _Z12IsAWordStarti@Base 2.8.4 + _Z12IsSpaceOrTabi@Base 2.8.4 + _Z12UTF8ClassifyPKhi@Base 2.8.4 + _Z12isGCOperatori@Base 2.8.4 + _Z13HandleIntegerRjjR8Accessor@Base 2.8.4 + _Z13InvertedLight13ColourDesired@Base 2.8.4 + _Z13IsALabelStarti@Base 2.8.4 + _Z13IsASpaceOrTabi@Base 2.8.4 + _Z13IsCssOperatori@Base 2.8.4 + _Z13IsLineEndCharc@Base 2.8.4 + _Z13MakeUpperCasec@Base 2.8.4 + (arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_Z13UTF16FromUTF8PKcjPwj@Base 2.9 + (arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_Z13UTF16FromUTF8PKcmPwm@Base 2.9 + _Z13UTF32FromUTF8PKcjPjj@Base 2.9 + _Z13UTF8DrawBytesPKhi@Base 2.8.4 + _Z13UTF8FromUTF16PKwjPcj@Base 2.8.4 + _Z13isAveOperatorc@Base 2.8.4 + _Z13isTALoperatorc@Base 2.8.4 + _Z13isTALwordcharc@Base 2.8.4 + (optional=templinst)_Z13qvariant_castI11QTextFormatET_RK8QVariant@Base 2.8.4 + (arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_Z14DrawStyledTextP7SurfaceRK9ViewStylei10PRectangleRK10StyledTextjj9DrawPhase@Base 2.9 + (arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_Z14DrawStyledTextP7SurfaceRK9ViewStylei10PRectangleRK10StyledTextmm9DrawPhase@Base 2.9 + _Z14DrawWrapMarkerP7Surface10PRectangleb13ColourDesired@Base 2.9 + _Z14IsAlphaNumerici@Base 2.8.4 + _Z14MatchUpperCaseR8AccessoriPKc@Base 2.8.4 + _Z14UTF8CharLengthh@Base 2.8.4 + _Z14isTACLoperatorc@Base 2.8.4 + _Z14isTACLwordcharc@Base 2.8.4 + _Z14isTALwordstartc@Base 2.8.4 + _Z15ColouriseSMLDocjiiPP8WordListR8Accessor@Base 2.8.4 + _Z15IsACommentStarti@Base 2.8.4 + _Z15IsAHexCharacterib@Base 2.8.4 + _Z15MatchIgnoreCaseR8AccessoriPKc@Base 2.8.4 + _Z15RoundXYPositionf@Base 2.9 + _Z15UTF8IsSeparatorPKh@Base 2.8.4 + _Z15UTF8IsTrailBytei@Base 2.8.4 + _Z15UnicodeFromUTF8PKh@Base 2.8.4 + (arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_Z15ValidStyledTextRK9ViewStylejRK10StyledText@Base 2.9 + (arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_Z15ValidStyledTextRK9ViewStylemRK10StyledText@Base 2.9 + _Z15WidestLineWidthP7SurfaceRK9ViewStyleiRK10StyledText@Base 2.9 + _Z15isCOBOLoperatorc@Base 2.8.4 + _Z15isCOBOLwordcharc@Base 2.8.4 + _Z15isTACLwordstartc@Base 2.8.4 + (optional=templinst)_Z15qMapLessThanKeyI7QStringEbRKT_S3_@Base 2.8.4 + (optional=templinst)_Z15qMapLessThanKeyIiEbRKT_S2_@Base 2.8.4 + _Z16ColouriseCamlDocjiiPP8WordListR8Accessor@Base 2.8.4 + _Z16GetNextWordUpperR8AccessorjiPc@Base 2.8.4 + _Z16isCOBOLwordstartc@Base 2.8.4 + _Z16isMMIXALOperatorc@Base 2.8.4 + (arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_Z17CaseConvertStringPcjPKcj14CaseConversion@Base 2.9 + (arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_Z17CaseConvertStringPcmPKcm14CaseConversion@Base 2.9 + _Z17CreateRegexSearchP12CharClassify@Base 2.8.4 + _Z17HandleCommentLineRjjR8Accessorb@Base 2.8.4 + _Z17IsALabelCharacteri@Base 2.8.4 + _Z18HandleCommentBlockRjjR8Accessorb@Base 2.8.4 + _Z19CategoriseCharacteri@Base 2.8.4 + _Z19DrawTextNoClipPhaseP7Surface10PRectangleRK5StylefPKci9DrawPhase@Base 2.9 + _Z22CompareCaseInsensitivePKcS0_@Base 2.8.4 + _Z22ControlCharacterStringh@Base 2.8.4 + _Z22NestingLevelLookBehindjR8Accessor@Base 2.8.4 + (arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_Z23CompareNCaseInsensitivePKcS0_j@Base 2.9 + (arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_Z23CompareNCaseInsensitivePKcS0_m@Base 2.9 + _Z23IsANumericBaseCharacterib@Base 2.8.4 + _Z23SetNumericConstantStateR12StyleContext@Base 2.8.4 + _Z23UTF16FromUTF32CharacterjPw@Base 2.9 + _Z25UTF8BytesOfLeadInitialisev@Base 2.8.4 + (optional=templinst)_Z4qAbsIiET_RKS0_@Base 2.8.4 + (optional=templinst)_Z4qMaxIiERKT_S2_S2_@Base 2.8.4 + _Z5IsEOLi@Base 2.8.4 + _Z5issmli@Base 2.8.4 + _Z5qRgbaiiii@Base 2.8.4 + (optional=templinst)_Z5qSwapIP8QMapDataI7QString5QListI5QPairIjjEEEEvRT_S9_@Base 2.8.4 + (optional=templinst)_Z5qSwapIP8QMapDataI7QStringS1_EEvRT_S5_@Base 2.8.4 + (optional=templinst)_Z5qSwapIP8QMapDataIi7QPixmapEEvRT_S5_@Base 2.8.4 + (optional=templinst)_Z5qSwapIPN9QListData4DataEEvRT_S4_@Base 2.8.4 + _Z6iscamli@Base 2.8.4 + _Z6issmldi@Base 2.8.4 + _Z6issmlfi@Base 2.8.4 + _Z6qRoundd@Base 2.8.4 + _Z7IsASCIIi@Base 2.8.4 + _Z7IsAlphai@Base 2.8.4 + _Z7Maximumii@Base 2.8.4 + _Z7iscamlfi@Base 2.8.4 + _Z7qstrlenPKc@Base 2.8.4 + _Z7qt_noopv@Base 2.8.4 + _Z8IsADigiti@Base 2.8.4 + _Z8IsADigitii@Base 2.8.4 + _Z8IsASpacei@Base 2.8.4 + _Z9HandleParRjR8Accessor@Base 2.8.4 + _Z9UTF8IsNELPKh@Base 2.8.4 + _Z9qCompressRK10QByteArrayi@Base 2.8.4 + _ZN10CaseFolderC1Ev@Base 2.8.4 + _ZN10CaseFolderC2Ev@Base 2.8.4 + _ZN10CaseFolderD0Ev@Base 2.8.4 + _ZN10CaseFolderD1Ev@Base 2.8.4 + _ZN10CaseFolderD2Ev@Base 2.8.4 + _ZN10CellBuffer10InsertLineEiib@Base 2.8.4 + _ZN10CellBuffer10RemoveLineEi@Base 2.8.4 + _ZN10CellBuffer10SetPerLineEP7PerLine@Base 2.8.4 + _ZN10CellBuffer10SetStyleAtEic@Base 2.9 + _ZN10CellBuffer11DeleteCharsEiiRb@Base 2.8.4 + _ZN10CellBuffer11SetReadOnlyEb@Base 2.8.4 + _ZN10CellBuffer11SetStyleForEiic@Base 2.9 + _ZN10CellBuffer12InsertStringEiPKciRb@Base 2.8.4 + _ZN10CellBuffer12RangePointerEii@Base 2.8.4 + _ZN10CellBuffer12SetSavePointEv@Base 2.8.4 + _ZN10CellBuffer13AddUndoActionEib@Base 2.8.4 + _ZN10CellBuffer13BufferPointerEv@Base 2.8.4 + _ZN10CellBuffer13EndUndoActionEv@Base 2.8.4 + _ZN10CellBuffer13ResetLineEndsEv@Base 2.8.4 + _ZN10CellBuffer14TentativeStartEv@Base 2.9 + _ZN10CellBuffer14TentativeStepsEv@Base 2.9 + _ZN10CellBuffer15BeginUndoActionEv@Base 2.8.4 + _ZN10CellBuffer15PerformRedoStepEv@Base 2.8.4 + _ZN10CellBuffer15PerformUndoStepEv@Base 2.8.4 + _ZN10CellBuffer15SetLineEndTypesEi@Base 2.8.4 + _ZN10CellBuffer15TentativeCommitEv@Base 2.9 + _ZN10CellBuffer16BasicDeleteCharsEii@Base 2.8.4 + _ZN10CellBuffer17BasicInsertStringEiPKci@Base 2.8.4 + _ZN10CellBuffer17DeleteUndoHistoryEv@Base 2.8.4 + _ZN10CellBuffer17SetUndoCollectionEb@Base 2.8.4 + _ZN10CellBuffer8AllocateEi@Base 2.8.4 + _ZN10CellBuffer9StartRedoEv@Base 2.8.4 + _ZN10CellBuffer9StartUndoEv@Base 2.8.4 + _ZN10CellBufferC1Ev@Base 2.8.4 + _ZN10CellBufferC2Ev@Base 2.8.4 + _ZN10CellBufferD1Ev@Base 2.8.4 + _ZN10CellBufferD2Ev@Base 2.8.4 + _ZN10DecorationC1Ei@Base 2.8.4 + _ZN10DecorationC2Ei@Base 2.8.4 + _ZN10DecorationD1Ev@Base 2.8.4 + _ZN10DecorationD2Ev@Base 2.8.4 + _ZN10DocWatcherC1Ev@Base 2.8.4 + _ZN10DocWatcherC2Ev@Base 2.8.4 + _ZN10DocWatcherD0Ev@Base 2.8.4 + _ZN10DocWatcherD1Ev@Base 2.8.4 + _ZN10DocWatcherD2Ev@Base 2.8.4 + _ZN10LexerBasic11PrivateCallEiPv@Base 2.8.4 + _ZN10LexerBasic11PropertySetEPKcS1_@Base 2.8.4 + _ZN10LexerBasic11WordListSetEiPKc@Base 2.8.4 + _ZN10LexerBasic12PropertyTypeEPKc@Base 2.8.4 + _ZN10LexerBasic13PropertyNamesEv@Base 2.8.4 + _ZN10LexerBasic16DescribePropertyEPKc@Base 2.8.4 + _ZN10LexerBasic20DescribeWordListSetsEv@Base 2.8.4 + _ZN10LexerBasic21LexerFactoryFreeBasicEv@Base 2.8.4 + _ZN10LexerBasic21LexerFactoryPureBasicEv@Base 2.8.4 + _ZN10LexerBasic22LexerFactoryBlitzBasicEv@Base 2.8.4 + _ZN10LexerBasic3LexEjiiP9IDocument@Base 2.8.4 + _ZN10LexerBasic4FoldEjiiP9IDocument@Base 2.8.4 + _ZN10LexerBasic7ReleaseEv@Base 2.8.4 + _ZN10LexerBasicC1EcPFiPKcRiEPKS1_@Base 2.8.4 + _ZN10LexerBasicC2EcPFiPKcRiEPKS1_@Base 2.8.4 + _ZN10LexerBasicD0Ev@Base 2.8.4 + _ZN10LexerBasicD1Ev@Base 2.8.4 + _ZN10LexerBasicD2Ev@Base 2.8.4 + _ZN10LexerLaTeX10truncModesEi@Base 2.8.4 + _ZN10LexerLaTeX10truncSavesEi@Base 2.8.4 + _ZN10LexerLaTeX17LexerFactoryLaTeXEv@Base 2.8.4 + _ZN10LexerLaTeX3LexEjiiP9IDocument@Base 2.8.4 + _ZN10LexerLaTeX4FoldEjiiP9IDocument@Base 2.8.4 + _ZN10LexerLaTeX7getModeEi@Base 2.8.4 + _ZN10LexerLaTeX7getSaveEiR13latexFoldSave@Base 2.8.4 + _ZN10LexerLaTeX7setModeEii@Base 2.8.4 + _ZN10LexerLaTeX7setSaveEiRK13latexFoldSave@Base 2.8.4 + _ZN10LexerLaTeXC1Ev@Base 2.8.4 + _ZN10LexerLaTeXC2Ev@Base 2.8.4 + _ZN10LexerLaTeXD0Ev@Base 2.8.4 + _ZN10LexerLaTeXD1Ev@Base 2.8.4 + _ZN10LexerLaTeXD2Ev@Base 2.8.4 + _ZN10LineLayout10InvalidateENS_10validLevelE@Base 2.8.4 + _ZN10LineLayout12SetLineStartEii@Base 2.8.4 + _ZN10LineLayout18SetBracesHighlightE5RangePKicib@Base 2.9 + _ZN10LineLayout22RestoreBracesHighlightE5RangePKib@Base 2.9 + _ZN10LineLayout4FreeEv@Base 2.8.4 + _ZN10LineLayout6ResizeEi@Base 2.8.4 + _ZN10LineLayoutC1Ei@Base 2.8.4 + _ZN10LineLayoutC2Ei@Base 2.8.4 + _ZN10LineLayoutD0Ev@Base 2.8.4 + _ZN10LineLayoutD1Ev@Base 2.8.4 + _ZN10LineLayoutD2Ev@Base 2.8.4 + _ZN10LineLevels10InsertLineEi@Base 2.8.4 + _ZN10LineLevels10RemoveLineEi@Base 2.8.4 + _ZN10LineLevels11ClearLevelsEv@Base 2.8.4 + _ZN10LineLevels12ExpandLevelsEi@Base 2.8.4 + _ZN10LineLevels4InitEv@Base 2.8.4 + _ZN10LineLevels8SetLevelEiii@Base 2.8.4 + _ZN10LineLevelsC1Ev@Base 2.8.4 + _ZN10LineLevelsC2Ev@Base 2.8.4 + _ZN10LineLevelsD0Ev@Base 2.8.4 + _ZN10LineLevelsD1Ev@Base 2.8.4 + _ZN10LineLevelsD2Ev@Base 2.8.4 + _ZN10LineMarker12SetRGBAImageE5PointfPKh@Base 2.8.4 + _ZN10LineMarker6SetXPMEPKPKc@Base 2.8.4 + _ZN10LineMarker6SetXPMEPKc@Base 2.8.4 + _ZN10LineMarkerC1Ev@Base 2.8.4 + _ZN10LineMarkerC2Ev@Base 2.8.4 + _ZN10LineMarkerD1Ev@Base 2.8.4 + _ZN10LineMarkerD2Ev@Base 2.8.4 + _ZN10LineMarkeraSERKS_@Base 2.8.4 + _ZN10LineVector10InsertLineEiib@Base 2.8.4 + _ZN10LineVector10InsertTextEii@Base 2.8.4 + _ZN10LineVector10RemoveLineEi@Base 2.8.4 + _ZN10LineVector10SetPerLineEP7PerLine@Base 2.8.4 + _ZN10LineVector12SetLineStartEii@Base 2.8.4 + _ZN10LineVector4InitEv@Base 2.8.4 + _ZN10LineVectorC1Ev@Base 2.8.4 + _ZN10LineVectorC2Ev@Base 2.8.4 + _ZN10LineVectorD1Ev@Base 2.8.4 + _ZN10LineVectorD2Ev@Base 2.8.4 + _ZN10MarginView11PaintMarginEP7Surfacei10PRectangleS2_RK9EditModelRK9ViewStyle@Base 2.9 + _ZN10MarginView12DropGraphicsEb@Base 2.9 + _ZN10MarginView14RefreshPixMapsEP7SurfacePvRK9ViewStyle@Base 2.9 + _ZN10MarginView16AllocateGraphicsERK9ViewStyle@Base 2.9 + _ZN10MarginViewC1Ev@Base 2.9 + _ZN10MarginViewC2Ev@Base 2.9 + _ZN10OptionSetDC1Ev@Base 2.8.4 + _ZN10OptionSetDC2Ev@Base 2.8.4 + _ZN10OptionSetDD0Ev@Base 2.8.4 + _ZN10OptionSetDD1Ev@Base 2.8.4 + _ZN10OptionSetDD2Ev@Base 2.8.4 + _ZN10OptionsAsmC1Ev@Base 2.8.4 + _ZN10OptionsAsmC2Ev@Base 2.8.4 + _ZN10OptionsAsmD1Ev@Base 2.8.4 + _ZN10OptionsAsmD2Ev@Base 2.8.4 + _ZN10OptionsSQLC1Ev@Base 2.8.4 + _ZN10OptionsSQLC2Ev@Base 2.8.4 + _ZN10PRectangle4MoveEff@Base 2.8.4 + _ZN10PRectangle8FromIntsEiiii@Base 2.9 + _ZN10PRectangleC1Effff@Base 2.8.4 + _ZN10PRectangleC2Effff@Base 2.8.4 + _ZN10QArrayData10sharedNullEv@Base 2.8.4 + _ZN10QArrayData4dataEv@Base 2.8.4 + (optional=templinst|arch=!amd64 !armhf !i386 !kfreebsd-amd64 !kfreebsd-i386 !mips !mipsel)_ZN10QAtomicOpsIiE18fetchAndAddRelaxedIiEET_RS2_N19QAtomicAdditiveTypeIS2_E9AdditiveTE@Base 2.9 + _ZN10QByteArray4dataEv@Base 2.8.4 + _ZN10QByteArray6detachEv@Base 2.8.4 + _ZN10QByteArrayC1ERKS_@Base 2.8.4 + _ZN10QByteArrayC1Ev@Base 2.8.4 + _ZN10QByteArrayC2ERKS_@Base 2.8.4 + _ZN10QByteArrayC2Ev@Base 2.8.4 + _ZN10QByteArrayD1Ev@Base 2.8.4 + _ZN10QByteArrayD2Ev@Base 2.8.4 + _ZN10QByteArraypLEc@Base 2.8.4 + _ZN10QDropEvent20acceptProposedActionEv@Base 2.8.4 + _ZN10QsciLexerD11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN10QsciLexerD11qt_metacastEPKc@Base 2.8.4 + _ZN10QsciLexerD13setAtElsePropEv@Base 2.8.4 + _ZN10QsciLexerD13setFoldAtElseEb@Base 2.8.4 + _ZN10QsciLexerD14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN10QsciLexerD14setCommentPropEv@Base 2.8.4 + _ZN10QsciLexerD14setCompactPropEv@Base 2.8.4 + _ZN10QsciLexerD14setFoldCompactEb@Base 2.8.4 + _ZN10QsciLexerD15setFoldCommentsEb@Base 2.8.4 + _ZN10QsciLexerD16staticMetaObjectE@Base 2.8.4 + _ZN10QsciLexerD17refreshPropertiesEv@Base 2.8.4 + _ZN10QsciLexerD2trEPKcS1_i@Base 2.8.4 + _ZN10QsciLexerDC1EP7QObject@Base 2.8.4 + _ZN10QsciLexerDC2EP7QObject@Base 2.8.4 + _ZN10QsciLexerDD0Ev@Base 2.8.4 + _ZN10QsciLexerDD1Ev@Base 2.8.4 + _ZN10QsciLexerDD2Ev@Base 2.8.4 + (arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN10StyledTextC1EjPKcbiPKh@Base 2.9 + (arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN10StyledTextC1EmPKcbiPKh@Base 2.9 + (arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN10StyledTextC2EjPKcbiPKh@Base 2.9 + (arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN10StyledTextC2EmPKcbiPKh@Base 2.9 + _ZN10WorkNeeded4NeedENS_9workItemsEi@Base 2.8.4 + _ZN10WorkNeeded5ResetEv@Base 2.8.4 + _ZN10WorkNeededC1Ev@Base 2.8.4 + _ZN10WorkNeededC2Ev@Base 2.8.4 + _ZN11AutoSurfaceC1EP6Editori@Base 2.8.4 + _ZN11AutoSurfaceC1EPvP6Editori@Base 2.8.4 + _ZN11AutoSurfaceC2EP6Editori@Base 2.8.4 + _ZN11AutoSurfaceC2EPvP6Editori@Base 2.8.4 + _ZN11AutoSurfaceD1Ev@Base 2.8.4 + _ZN11AutoSurfaceD2Ev@Base 2.8.4 + _ZN11BreakFinder4NextEv@Base 2.8.4 + _ZN11BreakFinder6InsertEi@Base 2.8.4 + _ZN11BreakFinderC1EPK10LineLayoutPK9Selection5RangeiibPK8DocumentPK22SpecialRepresentationsPK9ViewStyle@Base 2.9 + _ZN11BreakFinderC2EPK10LineLayoutPK9Selection5RangeiibPK8DocumentPK22SpecialRepresentationsPK9ViewStyle@Base 2.9 + _ZN11BreakFinderD1Ev@Base 2.8.4 + _ZN11BreakFinderD2Ev@Base 2.8.4 + _ZN11ElapsedTime8DurationEb@Base 2.8.4 + _ZN11ElapsedTimeC1Ev@Base 2.8.4 + _ZN11ElapsedTimeC2Ev@Base 2.8.4 + _ZN11LexAccessor12SetLineStateEii@Base 2.8.4 + _ZN11LexAccessor12StartSegmentEj@Base 2.8.4 + _ZN11LexAccessor13IndicatorFillEiiii@Base 2.8.4 + _ZN11LexAccessor13SafeGetCharAtEic@Base 2.8.4 + _ZN11LexAccessor16ChangeLexerStateEii@Base 2.8.4 + _ZN11LexAccessor4FillEi@Base 2.8.4 + _ZN11LexAccessor5FlushEv@Base 2.8.4 + _ZN11LexAccessor5MatchEiPKc@Base 2.8.4 + _ZN11LexAccessor7LineEndEi@Base 2.8.4 + _ZN11LexAccessor7StartAtEj@Base 2.9 + _ZN11LexAccessor8ColourToEji@Base 2.8.4 + _ZN11LexAccessor8SetLevelEii@Base 2.8.4 + _ZN11LexAccessorC1EP9IDocument@Base 2.8.4 + _ZN11LexAccessorC2EP9IDocument@Base 2.8.4 + _ZN11LexAccessorixEi@Base 2.8.4 + _ZN11LexerModuleC1EiPFP6ILexervEPKcPKS5_@Base 2.9 + _ZN11LexerModuleC1EiPFvjiiPP8WordListR8AccessorEPKcS6_PKS8_@Base 2.9 + _ZN11LexerModuleC2EiPFP6ILexervEPKcPKS5_@Base 2.9 + _ZN11LexerModuleC2EiPFvjiiPP8WordListR8AccessorEPKcS6_PKS8_@Base 2.9 + _ZN11LexerModuleD0Ev@Base 2.8.4 + _ZN11LexerModuleD1Ev@Base 2.8.4 + _ZN11LexerModuleD2Ev@Base 2.8.4 + _ZN11LexerSimple20DescribeWordListSetsEv@Base 2.8.4 + _ZN11LexerSimple3LexEjiiP9IDocument@Base 2.8.4 + _ZN11LexerSimple4FoldEjiiP9IDocument@Base 2.8.4 + _ZN11LexerSimpleC1EPK11LexerModule@Base 2.8.4 + _ZN11LexerSimpleC2EPK11LexerModule@Base 2.8.4 + _ZN11LexerSimpleD0Ev@Base 2.8.4 + _ZN11LexerSimpleD1Ev@Base 2.8.4 + _ZN11LexerSimpleD2Ev@Base 2.8.4 + _ZN11LineMarkers10DeleteMarkEiib@Base 2.8.4 + _ZN11LineMarkers10InsertLineEi@Base 2.8.4 + _ZN11LineMarkers10RemoveLineEi@Base 2.8.4 + _ZN11LineMarkers12MergeMarkersEi@Base 2.8.4 + _ZN11LineMarkers14LineFromHandleEi@Base 2.8.4 + _ZN11LineMarkers20DeleteMarkFromHandleEi@Base 2.8.4 + _ZN11LineMarkers4InitEv@Base 2.8.4 + _ZN11LineMarkers7AddMarkEiii@Base 2.8.4 + _ZN11LineMarkers9MarkValueEi@Base 2.8.4 + _ZN11LineMarkersC1Ev@Base 2.8.4 + _ZN11LineMarkersC2Ev@Base 2.8.4 + _ZN11LineMarkersD0Ev@Base 2.8.4 + _ZN11LineMarkersD1Ev@Base 2.8.4 + _ZN11LineMarkersD2Ev@Base 2.8.4 + _ZN11MarginStyleC1Ev@Base 2.8.4 + _ZN11MarginStyleC2Ev@Base 2.8.4 + _ZN11OptionsPerlC1Ev@Base 2.8.4 + _ZN11OptionsPerlC2Ev@Base 2.8.4 + _ZN11OptionsRustC1Ev@Base 2.8.4 + _ZN11OptionsRustC2Ev@Base 2.8.4 + _ZN11OptionsRustD1Ev@Base 2.8.4 + _ZN11OptionsRustD2Ev@Base 2.8.4 + _ZN11QDataStream10setVersionEi@Base 2.8.4 + _ZN11QDataStreamlsEh@Base 2.8.4 + _ZN11QDataStreamlsEj@Base 2.8.4 + _ZN11QDataStreamrsERh@Base 2.8.4 + _ZN11QDataStreamrsERj@Base 2.8.4 + _ZN11QLatin1CharC1Ec@Base 2.8.4 + _ZN11QLatin1CharC2Ec@Base 2.8.4 + _ZN11QListWidget7addItemERK7QString@Base 2.8.4 + _ZN11QStringList4sortEN2Qt15CaseSensitivityE@Base 2.8.4 + _ZN11QStringListC1ERK5QListI7QStringE@Base 2.8.4 + _ZN11QStringListC1ERK7QString@Base 2.8.4 + _ZN11QStringListC1Ev@Base 2.8.4 + _ZN11QStringListC2ERK5QListI7QStringE@Base 2.8.4 + _ZN11QStringListC2ERK7QString@Base 2.8.4 + _ZN11QStringListC2Ev@Base 2.8.4 + _ZN11QStringListD1Ev@Base 2.8.4 + _ZN11QStringListD2Ev@Base 2.8.4 + (arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN11QStringListaSERK5QListI7QStringE@Base 2.9 + _ZN11QStringListaSERKS_@Base 2.8.4 + _ZN11QStringListlsERK7QString@Base 2.8.4 + _ZN11QsciCommand15setAlternateKeyEi@Base 2.8.4 + _ZN11QsciCommand6setKeyEi@Base 2.8.4 + _ZN11QsciCommand7bindKeyEiRiS0_@Base 2.8.4 + _ZN11QsciCommand7executeEv@Base 2.8.4 + _ZN11QsciCommand8validKeyEi@Base 2.8.4 + _ZN11QsciCommandC1EP13QsciScintillaNS_7CommandEiiPKc@Base 2.8.4 + _ZN11QsciCommandC2EP13QsciScintillaNS_7CommandEiiPKc@Base 2.8.4 + _ZN11QsciLexerPO11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN11QsciLexerPO11qt_metacastEPKc@Base 2.8.4 + _ZN11QsciLexerPO14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN11QsciLexerPO14setCommentPropEv@Base 2.8.4 + _ZN11QsciLexerPO14setCompactPropEv@Base 2.8.4 + _ZN11QsciLexerPO14setFoldCompactEb@Base 2.8.4 + _ZN11QsciLexerPO15setFoldCommentsEb@Base 2.8.4 + _ZN11QsciLexerPO16staticMetaObjectE@Base 2.8.4 + _ZN11QsciLexerPO17refreshPropertiesEv@Base 2.8.4 + _ZN11QsciLexerPO2trEPKcS1_i@Base 2.8.4 + _ZN11QsciLexerPOC1EP7QObject@Base 2.8.4 + _ZN11QsciLexerPOC2EP7QObject@Base 2.8.4 + _ZN11QsciLexerPOD0Ev@Base 2.8.4 + _ZN11QsciLexerPOD1Ev@Base 2.8.4 + _ZN11QsciLexerPOD2Ev@Base 2.8.4 + _ZN11QsciPrinter10formatPageER8QPainterbR5QRecti@Base 2.8.4 + _ZN11QsciPrinter10printRangeEP17QsciScintillaBaseii@Base 2.8.4 + _ZN11QsciPrinter11setWrapModeEN13QsciScintilla8WrapModeE@Base 2.8.4 + _ZN11QsciPrinter16setMagnificationEi@Base 2.8.4 + _ZN11QsciPrinterC1EN8QPrinter11PrinterModeE@Base 2.8.4 + _ZN11QsciPrinterC2EN8QPrinter11PrinterModeE@Base 2.8.4 + _ZN11QsciPrinterD0Ev@Base 2.8.4 + _ZN11QsciPrinterD1Ev@Base 2.8.4 + _ZN11QsciPrinterD2Ev@Base 2.8.4 + (optional=templinst)_ZN11SparseStateISsE3SetEiSs@Base 2.8.4 + (optional=templinst)_ZN11SparseStateISsE4FindEi@Base 2.8.4 + (optional=templinst)_ZN11SparseStateISsE5MergeERKS0_i@Base 2.8.4 + (optional=templinst)_ZN11SparseStateISsE5StateC1ERKS1_@Base 2.8.4 + (optional=templinst)_ZN11SparseStateISsE5StateC1EiSs@Base 2.8.4 + (optional=templinst)_ZN11SparseStateISsE5StateC2ERKS1_@Base 2.8.4 + (optional=templinst)_ZN11SparseStateISsE5StateC2EiSs@Base 2.8.4 + (optional=templinst)_ZN11SparseStateISsE5StateD1Ev@Base 2.8.4 + (optional=templinst)_ZN11SparseStateISsE5StateD2Ev@Base 2.8.4 + (optional=templinst)_ZN11SparseStateISsE5StateaSERKS1_@Base 2.8.4 + (optional=templinst)_ZN11SparseStateISsE6DeleteEi@Base 2.8.4 + (optional=templinst)_ZN11SparseStateISsE7ValueAtEi@Base 2.8.4 + (optional=templinst)_ZN11SparseStateISsEC1Ei@Base 2.8.4 + (optional=templinst)_ZN11SparseStateISsEC2Ei@Base 2.8.4 + (optional=templinst)_ZN11SparseStateISsED1Ev@Base 2.8.4 + (optional=templinst)_ZN11SparseStateISsED2Ev@Base 2.8.4 + (optional=templinst)_ZN11SparseStateIjE3SetEij@Base 2.8.4 + (optional=templinst)_ZN11SparseStateIjE4FindEi@Base 2.8.4 + (optional=templinst)_ZN11SparseStateIjE5StateC1Eij@Base 2.8.4 + (optional=templinst)_ZN11SparseStateIjE5StateC2Eij@Base 2.8.4 + (optional=templinst)_ZN11SparseStateIjE6DeleteEi@Base 2.8.4 + (optional=templinst)_ZN11SparseStateIjE7ValueAtEi@Base 2.8.4 + (optional=templinst)_ZN11SparseStateIjEC1Ei@Base 2.8.4 + (optional=templinst)_ZN11SparseStateIjEC2Ei@Base 2.8.4 + (optional=templinst)_ZN11SparseStateIjED1Ev@Base 2.8.4 + (optional=templinst)_ZN11SparseStateIjED2Ev@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIP15MarkerHandleSetE10ReAllocateEi@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIP15MarkerHandleSetE11DeleteRangeEii@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIP15MarkerHandleSetE11InsertValueEiiS1_@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIP15MarkerHandleSetE4InitEv@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIP15MarkerHandleSetE5GapToEi@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIP15MarkerHandleSetE6DeleteEi@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIP15MarkerHandleSetE6InsertEiS1_@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIP15MarkerHandleSetE7RoomForEi@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIP15MarkerHandleSetE9DeleteAllEv@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIP15MarkerHandleSetEC1Ev@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIP15MarkerHandleSetEC2Ev@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIP15MarkerHandleSetED1Ev@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIP15MarkerHandleSetED2Ev@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIPSt6vectorIiSaIiEEE10ReAllocateEi@Base 2.9 + (optional=templinst)_ZN11SplitVectorIPSt6vectorIiSaIiEEE11DeleteRangeEii@Base 2.9 + (optional=templinst)_ZN11SplitVectorIPSt6vectorIiSaIiEEE11InsertValueEiiS3_@Base 2.9 + (optional=templinst)_ZN11SplitVectorIPSt6vectorIiSaIiEEE12EnsureLengthEi@Base 2.9 + (optional=templinst)_ZN11SplitVectorIPSt6vectorIiSaIiEEE4InitEv@Base 2.9 + (optional=templinst)_ZN11SplitVectorIPSt6vectorIiSaIiEEE5GapToEi@Base 2.9 + (optional=templinst)_ZN11SplitVectorIPSt6vectorIiSaIiEEE6DeleteEi@Base 2.9 + (optional=templinst)_ZN11SplitVectorIPSt6vectorIiSaIiEEE6InsertEiS3_@Base 2.9 + (optional=templinst)_ZN11SplitVectorIPSt6vectorIiSaIiEEE7RoomForEi@Base 2.9 + (optional=templinst)_ZN11SplitVectorIPSt6vectorIiSaIiEEE9DeleteAllEv@Base 2.9 + (optional=templinst)_ZN11SplitVectorIPSt6vectorIiSaIiEEEC1Ev@Base 2.9 + (optional=templinst)_ZN11SplitVectorIPSt6vectorIiSaIiEEEC2Ev@Base 2.9 + (optional=templinst)_ZN11SplitVectorIPSt6vectorIiSaIiEEED1Ev@Base 2.9 + (optional=templinst)_ZN11SplitVectorIPSt6vectorIiSaIiEEED2Ev@Base 2.9 + (optional=templinst)_ZN11SplitVectorIPcE10ReAllocateEi@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIPcE11DeleteRangeEii@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIPcE11InsertValueEiiS0_@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIPcE12EnsureLengthEi@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIPcE4InitEv@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIPcE5GapToEi@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIPcE6DeleteEi@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIPcE6InsertEiS0_@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIPcE7RoomForEi@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIPcE9DeleteAllEv@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIPcEC1Ev@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIPcEC2Ev@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIPcED1Ev@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIPcED2Ev@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIcE10ReAllocateEi@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIcE10SetValueAtEic@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIcE11DeleteRangeEii@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIcE11InsertValueEiic@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIcE12RangePointerEii@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIcE13BufferPointerEv@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIcE15InsertFromArrayEiPKcii@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIcE4InitEv@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIcE5GapToEi@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIcE7RoomForEi@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIcEC1Ev@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIcEC2Ev@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIcED1Ev@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIcED2Ev@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIiE10ReAllocateEi@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIiE10SetValueAtEii@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIiE11DeleteRangeEii@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIiE11InsertValueEiii@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIiE11SetGrowSizeEi@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIiE12EnsureLengthEi@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIiE4InitEv@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIiE5GapToEi@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIiE6DeleteEi@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIiE6InsertEii@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIiE7RoomForEi@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIiE9DeleteAllEv@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIiEC1Ev@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIiEC2Ev@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIiED1Ev@Base 2.8.4 + (optional=templinst)_ZN11SplitVectorIiED2Ev@Base 2.8.4 + _ZN11SurfaceImpl10InitPixMapEiiP7SurfacePv@Base 2.8.4 + _ZN11SurfaceImpl10LogPixelsYEv@Base 2.8.4 + _ZN11SurfaceImpl11InitialisedEv@Base 2.8.4 + _ZN11SurfaceImpl11SetDBCSModeEi@Base 2.8.4 + _ZN11SurfaceImpl11convertTextEPKci@Base 2.8.4 + _ZN11SurfaceImpl12convertQFontER4Font@Base 2.8.4 + _ZN11SurfaceImpl13DrawRGBAImageE10PRectangleiiPKh@Base 2.8.4 + _ZN11SurfaceImpl13FillRectangleE10PRectangle13ColourDesired@Base 2.8.4 + _ZN11SurfaceImpl13FillRectangleE10PRectangleR7Surface@Base 2.8.4 + _ZN11SurfaceImpl13MeasureWidthsER4FontPKciPf@Base 2.8.4 + _ZN11SurfaceImpl13RectangleDrawE10PRectangle13ColourDesiredS1_@Base 2.8.4 + _ZN11SurfaceImpl13convertQColorERK13ColourDesiredj@Base 2.8.4 + _ZN11SurfaceImpl14AlphaRectangleE10PRectanglei13ColourDesirediS1_ii@Base 2.8.4 + _ZN11SurfaceImpl14DrawTextNoClipE10PRectangleR4FontfPKci13ColourDesiredS5_@Base 2.8.4 + _ZN11SurfaceImpl14SetUnicodeModeEb@Base 2.8.4 + _ZN11SurfaceImpl15DrawTextClippedE10PRectangleR4FontfPKci13ColourDesiredS5_@Base 2.8.4 + _ZN11SurfaceImpl15ExternalLeadingER4Font@Base 2.8.4 + _ZN11SurfaceImpl15InternalLeadingER4Font@Base 2.8.4 + _ZN11SurfaceImpl16AverageCharWidthER4Font@Base 2.8.4 + _ZN11SurfaceImpl16DeviceHeightFontEi@Base 2.8.4 + _ZN11SurfaceImpl16FlushCachedStateEv@Base 2.8.4 + _ZN11SurfaceImpl16RoundedRectangleE10PRectangle13ColourDesiredS1_@Base 2.8.4 + _ZN11SurfaceImpl19DrawTextTransparentE10PRectangleR4FontfPKci13ColourDesired@Base 2.8.4 + _ZN11SurfaceImpl4CopyE10PRectangle5PointR7Surface@Base 2.8.4 + _ZN11SurfaceImpl4InitEP8QPainter@Base 2.8.4 + _ZN11SurfaceImpl4InitEPv@Base 2.8.4 + _ZN11SurfaceImpl4InitEPvS0_@Base 2.8.4 + _ZN11SurfaceImpl6AscentER4Font@Base 2.8.4 + _ZN11SurfaceImpl6HeightER4Font@Base 2.8.4 + _ZN11SurfaceImpl6LineToEii@Base 2.8.4 + _ZN11SurfaceImpl6MoveToEii@Base 2.8.4 + _ZN11SurfaceImpl7DescentER4Font@Base 2.8.4 + _ZN11SurfaceImpl7DrawXPME10PRectanglePK3XPM@Base 2.8.4 + _ZN11SurfaceImpl7EllipseE10PRectangle13ColourDesiredS1_@Base 2.8.4 + _ZN11SurfaceImpl7PolygonEP5Pointi13ColourDesiredS2_@Base 2.8.4 + _ZN11SurfaceImpl7ReleaseEv@Base 2.8.4 + _ZN11SurfaceImpl7SetClipE10PRectangle@Base 2.8.4 + _ZN11SurfaceImpl7metricsER4Font@Base 2.8.4 + _ZN11SurfaceImpl8drawRectERK10PRectangle@Base 2.8.4 + _ZN11SurfaceImpl8drawTextERK10PRectangleR4FontfPKci13ColourDesired@Base 2.8.4 + _ZN11SurfaceImpl9PenColourE13ColourDesired@Base 2.8.4 + _ZN11SurfaceImpl9WidthCharER4Fontc@Base 2.8.4 + _ZN11SurfaceImpl9WidthTextER4FontPKci@Base 2.8.4 + _ZN11SurfaceImplC1Ev@Base 2.8.4 + _ZN11SurfaceImplC2Ev@Base 2.8.4 + _ZN11SurfaceImplD0Ev@Base 2.8.4 + _ZN11SurfaceImplD1Ev@Base 2.8.4 + _ZN11SurfaceImplD2Ev@Base 2.8.4 + _ZN11TextSegmentC1EiiPK14Representation@Base 2.9 + _ZN11TextSegmentC2EiiPK14Representation@Base 2.9 + _ZN11UndoHistory12AppendActionE10actionTypeiPKciRbb@Base 2.8.4 + _ZN11UndoHistory12SetSavePointEv@Base 2.8.4 + _ZN11UndoHistory13EndUndoActionEv@Base 2.8.4 + _ZN11UndoHistory14EnsureUndoRoomEv@Base 2.8.4 + _ZN11UndoHistory14TentativeStartEv@Base 2.9 + _ZN11UndoHistory14TentativeStepsEv@Base 2.9 + _ZN11UndoHistory15BeginUndoActionEv@Base 2.8.4 + _ZN11UndoHistory15TentativeCommitEv@Base 2.9 + _ZN11UndoHistory16DropUndoSequenceEv@Base 2.8.4 + _ZN11UndoHistory17CompletedRedoStepEv@Base 2.8.4 + _ZN11UndoHistory17CompletedUndoStepEv@Base 2.8.4 + _ZN11UndoHistory17DeleteUndoHistoryEv@Base 2.8.4 + _ZN11UndoHistory9StartRedoEv@Base 2.8.4 + _ZN11UndoHistory9StartUndoEv@Base 2.8.4 + _ZN11UndoHistoryC1Ev@Base 2.8.4 + _ZN11UndoHistoryC2Ev@Base 2.8.4 + _ZN11UndoHistoryD1Ev@Base 2.8.4 + _ZN11UndoHistoryD2Ev@Base 2.8.4 + _ZN11WrapPending5ResetEv@Base 2.8.4 + _ZN11WrapPending7WrappedEi@Base 2.8.4 + _ZN11WrapPending8AddRangeEii@Base 2.8.4 + _ZN11WrapPendingC1Ev@Base 2.8.4 + _ZN11WrapPendingC2Ev@Base 2.8.4 + _ZN12AutoComplete10IsStopCharEc@Base 2.8.4 + _ZN12AutoComplete10SetTypesepEc@Base 2.8.4 + _ZN12AutoComplete12IsFillUpCharEc@Base 2.8.4 + _ZN12AutoComplete12SetSeparatorEc@Base 2.8.4 + _ZN12AutoComplete12SetStopCharsEPKc@Base 2.8.4 + _ZN12AutoComplete14SetFillUpCharsEPKc@Base 2.8.4 + _ZN12AutoComplete4MoveEi@Base 2.8.4 + _ZN12AutoComplete4ShowEb@Base 2.8.4 + _ZN12AutoComplete5StartER6Windowii5Pointiibi@Base 2.8.4 + _ZN12AutoComplete6CancelEv@Base 2.8.4 + _ZN12AutoComplete6SelectEPKc@Base 2.8.4 + _ZN12AutoComplete7SetListEPKc@Base 2.8.4 + _ZN12AutoCompleteC1Ev@Base 2.8.4 + _ZN12AutoCompleteC2Ev@Base 2.8.4 + _ZN12AutoCompleteD1Ev@Base 2.8.4 + _ZN12AutoCompleteD2Ev@Base 2.8.4 + _ZN12BuiltinRegex20SubstituteByPositionEP8DocumentPKcPi@Base 2.8.4 + _ZN12BuiltinRegex8FindTextEP8DocumentiiPKcbbbiPi@Base 2.8.4 + _ZN12BuiltinRegexC1EP12CharClassify@Base 2.8.4 + _ZN12BuiltinRegexC2EP12CharClassify@Base 2.8.4 + _ZN12BuiltinRegexD0Ev@Base 2.8.4 + _ZN12BuiltinRegexD1Ev@Base 2.8.4 + _ZN12BuiltinRegexD2Ev@Base 2.8.4 + _ZN12CharClassify14SetCharClassesEPKhNS_2ccE@Base 2.8.4 + _ZN12CharClassify15GetCharsOfClassENS_2ccEPh@Base 2.8.4 + _ZN12CharClassify21SetDefaultCharClassesEb@Base 2.8.4 + _ZN12CharClassifyC1Ev@Base 2.8.4 + _ZN12CharClassifyC2Ev@Base 2.8.4 + _ZN12CharacterSet3AddEi@Base 2.8.4 + _ZN12CharacterSet9AddStringEPKc@Base 2.8.4 + _ZN12CharacterSetC1ENS_7setBaseEPKcib@Base 2.8.4 + _ZN12CharacterSetC2ENS_7setBaseEPKcib@Base 2.8.4 + _ZN12CharacterSetD1Ev@Base 2.8.4 + _ZN12CharacterSetD2Ev@Base 2.8.4 + _ZN12CharacterSetaSERKS_@Base 2.8.4 + _ZN12FontRealised7RealiseER7SurfaceiiRK17FontSpecification@Base 2.8.4 + _ZN12FontRealisedC1Ev@Base 2.8.4 + _ZN12FontRealisedC2Ev@Base 2.8.4 + _ZN12FontRealisedD0Ev@Base 2.8.4 + _ZN12FontRealisedD1Ev@Base 2.8.4 + _ZN12FontRealisedD2Ev@Base 2.8.4 + _ZN12KeyModifiersC1Eii@Base 2.9 + _ZN12KeyModifiersC2Eii@Base 2.9 + _ZN12LexInterface21LineEndTypesSupportedEv@Base 2.8.4 + _ZN12LexInterface9ColouriseEii@Base 2.8.4 + _ZN12LexInterfaceC1EP8Document@Base 2.8.4 + _ZN12LexInterfaceC2EP8Document@Base 2.8.4 + _ZN12LexInterfaceD0Ev@Base 2.8.4 + _ZN12LexInterfaceD1Ev@Base 2.8.4 + _ZN12LexInterfaceD2Ev@Base 2.8.4 + _ZN12LexerHaskell11PrivateCallEiPv@Base 2.8.4 + _ZN12LexerHaskell11PropertySetEPKcS1_@Base 2.8.4 + _ZN12LexerHaskell11WordListSetEiPKc@Base 2.8.4 + _ZN12LexerHaskell12PropertyTypeEPKc@Base 2.8.4 + _ZN12LexerHaskell13PropertyNamesEv@Base 2.8.4 + _ZN12LexerHaskell15HaskellLineInfo11ToLineStateEv@Base 2.8.4 + _ZN12LexerHaskell15HaskellLineInfoC1Ei@Base 2.8.4 + _ZN12LexerHaskell15HaskellLineInfoC2Ei@Base 2.8.4 + _ZN12LexerHaskell16DescribePropertyEPKc@Base 2.8.4 + _ZN12LexerHaskell19LexerFactoryHaskellEv@Base 2.8.4 + _ZN12LexerHaskell20DescribeWordListSetsEv@Base 2.8.4 + _ZN12LexerHaskell27LexerFactoryLiterateHaskellEv@Base 2.8.4 + _ZN12LexerHaskell3LexEjiiP9IDocument@Base 2.8.4 + _ZN12LexerHaskell4FoldEjiiP9IDocument@Base 2.8.4 + _ZN12LexerHaskell7ReleaseEv@Base 2.8.4 + _ZN12LexerHaskellC1Eb@Base 2.8.4 + _ZN12LexerHaskellC2Eb@Base 2.8.4 + _ZN12LexerHaskellD0Ev@Base 2.8.4 + _ZN12LexerHaskellD1Ev@Base 2.8.4 + _ZN12LexerHaskellD2Ev@Base 2.8.4 + _ZN12LexerLibrary7ReleaseEv@Base 2.8.4 + _ZN12LexerLibraryC1EPKc@Base 2.8.4 + _ZN12LexerLibraryC2EPKc@Base 2.8.4 + _ZN12LexerLibraryD1Ev@Base 2.8.4 + _ZN12LexerLibraryD2Ev@Base 2.8.4 + _ZN12LexerManager11GetInstanceEv@Base 2.8.4 + _ZN12LexerManager11theInstanceE@Base 2.8.4 + _ZN12LexerManager14DeleteInstanceEv@Base 2.8.4 + _ZN12LexerManager16LoadLexerLibraryEPKc@Base 2.8.4 + _ZN12LexerManager4LoadEPKc@Base 2.8.4 + _ZN12LexerManager5ClearEv@Base 2.8.4 + _ZN12LexerManagerC1Ev@Base 2.8.4 + _ZN12LexerManagerC2Ev@Base 2.8.4 + _ZN12LexerManagerD1Ev@Base 2.8.4 + _ZN12LexerManagerD2Ev@Base 2.8.4 + _ZN12LexerVerilog10MaskActiveEi@Base 2.9 + _ZN12LexerVerilog11PrivateCallEiPv@Base 2.9 + _ZN12LexerVerilog11PropertySetEPKcS1_@Base 2.9 + _ZN12LexerVerilog11SymbolValueC1ERKS0_@Base 2.9 + _ZN12LexerVerilog11SymbolValueC1ERKSsS2_@Base 2.9 + _ZN12LexerVerilog11SymbolValueC2ERKS0_@Base 2.9 + _ZN12LexerVerilog11SymbolValueC2ERKSsS2_@Base 2.9 + _ZN12LexerVerilog11SymbolValueD1Ev@Base 2.9 + _ZN12LexerVerilog11SymbolValueD2Ev@Base 2.9 + _ZN12LexerVerilog11SymbolValueaSERKS0_@Base 2.9 + _ZN12LexerVerilog11SymbolValueaSERKSs@Base 2.9 + _ZN12LexerVerilog11WordListSetEiPKc@Base 2.9 + _ZN12LexerVerilog12PropertyTypeEPKc@Base 2.9 + _ZN12LexerVerilog13FreeSubStylesEv@Base 2.9 + _ZN12LexerVerilog13PropertyNamesEv@Base 2.9 + _ZN12LexerVerilog14SetIdentifiersEiPKc@Base 2.9 + _ZN12LexerVerilog14SubStylesStartEi@Base 2.9 + _ZN12LexerVerilog15SubStylesLengthEi@Base 2.9 + _ZN12LexerVerilog16DescribePropertyEPKc@Base 2.9 + _ZN12LexerVerilog16GetSubStyleBasesEv@Base 2.9 + _ZN12LexerVerilog17AllocateSubStylesEii@Base 2.9 + _ZN12LexerVerilog17StyleFromSubStyleEi@Base 2.9 + _ZN12LexerVerilog19LexerFactoryVerilogEv@Base 2.9 + _ZN12LexerVerilog20DescribeWordListSetsEv@Base 2.9 + _ZN12LexerVerilog21LineEndTypesSupportedEv@Base 2.9 + _ZN12LexerVerilog21PrimaryStyleFromStyleEi@Base 2.9 + _ZN12LexerVerilog25DistanceToSecondaryStylesEv@Base 2.9 + _ZN12LexerVerilog3LexEjiiP9IDocument@Base 2.9 + _ZN12LexerVerilog4FoldEjiiP9IDocument@Base 2.9 + _ZN12LexerVerilog7ReleaseEv@Base 2.9 + _ZN12LexerVerilogC1Ev@Base 2.9 + _ZN12LexerVerilogC2Ev@Base 2.9 + _ZN12LexerVerilogD0Ev@Base 2.9 + _ZN12LexerVerilogD1Ev@Base 2.9 + _ZN12LexerVerilogD2Ev@Base 2.9 + _ZN12LineTabstops10AddTabstopEii@Base 2.9 + _ZN12LineTabstops10InsertLineEi@Base 2.9 + _ZN12LineTabstops10RemoveLineEi@Base 2.9 + _ZN12LineTabstops13ClearTabstopsEi@Base 2.9 + _ZN12LineTabstops4InitEv@Base 2.9 + _ZN12LineTabstopsC1Ev@Base 2.9 + _ZN12LineTabstopsC2Ev@Base 2.9 + _ZN12LineTabstopsD0Ev@Base 2.9 + _ZN12LineTabstopsD1Ev@Base 2.9 + _ZN12LineTabstopsD2Ev@Base 2.9 + _ZN12OptionSetAsmC1Ev@Base 2.8.4 + _ZN12OptionSetAsmC2Ev@Base 2.8.4 + _ZN12OptionSetAsmD0Ev@Base 2.8.4 + _ZN12OptionSetAsmD1Ev@Base 2.8.4 + _ZN12OptionSetAsmD2Ev@Base 2.8.4 + _ZN12OptionSetSQLC1Ev@Base 2.8.4 + _ZN12OptionSetSQLC2Ev@Base 2.8.4 + _ZN12OptionSetSQLD0Ev@Base 2.8.4 + _ZN12OptionSetSQLD1Ev@Base 2.8.4 + _ZN12OptionSetSQLD2Ev@Base 2.8.4 + _ZN12OptionsBasicC1Ev@Base 2.8.4 + _ZN12OptionsBasicC2Ev@Base 2.8.4 + _ZN12OptionsBasicD1Ev@Base 2.8.4 + _ZN12OptionsBasicD2Ev@Base 2.8.4 + _ZN12Partitioning10InsertTextEii@Base 2.8.4 + _ZN12Partitioning15InsertPartitionEii@Base 2.8.4 + _ZN12Partitioning15RemovePartitionEi@Base 2.8.4 + _ZN12Partitioning25SetPartitionStartPositionEii@Base 2.8.4 + _ZN12Partitioning8AllocateEi@Base 2.8.4 + _ZN12Partitioning8BackStepEi@Base 2.8.4 + _ZN12Partitioning9ApplyStepEi@Base 2.8.4 + _ZN12Partitioning9DeleteAllEv@Base 2.8.4 + _ZN12PartitioningC1Ei@Base 2.8.4 + _ZN12PartitioningC2Ei@Base 2.8.4 + _ZN12PartitioningD1Ev@Base 2.8.4 + _ZN12PartitioningD2Ev@Base 2.8.4 + _ZN12QMapNodeBase8setColorENS_5ColorE@Base 2.8.4 + _ZN12QMapNodeBase9setParentEPS_@Base 2.8.4 + (optional=templinst)_ZN12QMetaTypeId2I11QTextFormatE14qt_metatype_idEv@Base 2.8.4 + (optional=templinst)_ZN12QWeakPointerI7QObjectE11internalSetEPN15QtSharedPointer20ExternalRefCountDataEPS0_@Base 2.8.4 + (optional=templinst)_ZN12QWeakPointerI7QObjectE6assignIS0_EERS1_PT_@Base 2.8.4 + (optional=templinst)_ZN12QWeakPointerI7QObjectEC1Ev@Base 2.8.4 + (optional=templinst)_ZN12QWeakPointerI7QObjectEC1IS0_EEPT_b@Base 2.8.4 + (optional=templinst)_ZN12QWeakPointerI7QObjectEC2Ev@Base 2.8.4 + (optional=templinst)_ZN12QWeakPointerI7QObjectEC2IS0_EEPT_b@Base 2.8.4 + (optional=templinst)_ZN12QWeakPointerI7QObjectED1Ev@Base 2.8.4 + (optional=templinst)_ZN12QWeakPointerI7QObjectED2Ev@Base 2.8.4 + (optional=templinst)_ZN12QWeakPointerI7QObjectEaSERKS1_@Base 2.8.4 + _ZN12QsciDocument11setModifiedEb@Base 2.8.4 + _ZN12QsciDocument6attachERKS_@Base 2.8.4 + _ZN12QsciDocument6detachEv@Base 2.8.4 + _ZN12QsciDocument7displayEP17QsciScintillaBasePKS_@Base 2.8.4 + _ZN12QsciDocument9undisplayEP17QsciScintillaBase@Base 2.8.4 + _ZN12QsciDocumentC1ERKS_@Base 2.8.4 + _ZN12QsciDocumentC1Ev@Base 2.8.4 + _ZN12QsciDocumentC2ERKS_@Base 2.8.4 + _ZN12QsciDocumentC2Ev@Base 2.8.4 + _ZN12QsciDocumentD0Ev@Base 2.8.4 + _ZN12QsciDocumentD1Ev@Base 2.8.4 + _ZN12QsciDocumentD2Ev@Base 2.8.4 + _ZN12QsciDocumentaSERKS_@Base 2.8.4 + _ZN12QsciLexerAVS11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN12QsciLexerAVS11qt_metacastEPKc@Base 2.8.4 + _ZN12QsciLexerAVS14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN12QsciLexerAVS14setCommentPropEv@Base 2.8.4 + _ZN12QsciLexerAVS14setCompactPropEv@Base 2.8.4 + _ZN12QsciLexerAVS14setFoldCompactEb@Base 2.8.4 + _ZN12QsciLexerAVS15setFoldCommentsEb@Base 2.8.4 + _ZN12QsciLexerAVS16staticMetaObjectE@Base 2.8.4 + _ZN12QsciLexerAVS17refreshPropertiesEv@Base 2.8.4 + _ZN12QsciLexerAVS2trEPKcS1_i@Base 2.8.4 + _ZN12QsciLexerAVSC1EP7QObject@Base 2.8.4 + _ZN12QsciLexerAVSC2EP7QObject@Base 2.8.4 + _ZN12QsciLexerAVSD0Ev@Base 2.8.4 + _ZN12QsciLexerAVSD1Ev@Base 2.8.4 + _ZN12QsciLexerAVSD2Ev@Base 2.8.4 + _ZN12QsciLexerCPP11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN12QsciLexerCPP11qt_metacastEPKc@Base 2.8.4 + _ZN12QsciLexerCPP13setAtElsePropEv@Base 2.8.4 + _ZN12QsciLexerCPP13setFoldAtElseEb@Base 2.8.4 + _ZN12QsciLexerCPP14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN12QsciLexerCPP14setCommentPropEv@Base 2.8.4 + _ZN12QsciLexerCPP14setCompactPropEv@Base 2.8.4 + _ZN12QsciLexerCPP14setDollarsPropEv@Base 2.8.4 + _ZN12QsciLexerCPP14setFoldCompactEb@Base 2.8.4 + _ZN12QsciLexerCPP14setPreprocPropEv@Base 2.8.4 + _ZN12QsciLexerCPP15setFoldCommentsEb@Base 2.8.4 + _ZN12QsciLexerCPP16staticMetaObjectE@Base 2.8.4 + _ZN12QsciLexerCPP17refreshPropertiesEv@Base 2.8.4 + _ZN12QsciLexerCPP17setDollarsAllowedEb@Base 2.8.4 + _ZN12QsciLexerCPP19setFoldPreprocessorEb@Base 2.8.4 + _ZN12QsciLexerCPP19setStylePreprocPropEv@Base 2.8.4 + _ZN12QsciLexerCPP20setHighlightBackPropEv@Base 2.9 + _ZN12QsciLexerCPP20setHighlightHashPropEv@Base 2.8.4 + _ZN12QsciLexerCPP20setStylePreprocessorEb@Base 2.8.4 + _ZN12QsciLexerCPP22setHighlightEscapePropEv@Base 2.9 + _ZN12QsciLexerCPP22setHighlightTriplePropEv@Base 2.8.4 + _ZN12QsciLexerCPP27setHighlightEscapeSequencesEb@Base 2.9 + _ZN12QsciLexerCPP27setVerbatimStringEscapePropEv@Base 2.9 + _ZN12QsciLexerCPP29setHighlightBackQuotedStringsEb@Base 2.9 + _ZN12QsciLexerCPP29setHighlightHashQuotedStringsEb@Base 2.8.4 + _ZN12QsciLexerCPP2trEPKcS1_i@Base 2.8.4 + _ZN12QsciLexerCPP31setHighlightTripleQuotedStringsEb@Base 2.8.4 + _ZN12QsciLexerCPP39setVerbatimStringEscapeSequencesAllowedEb@Base 2.9 + _ZN12QsciLexerCPPC1EP7QObjectb@Base 2.8.4 + _ZN12QsciLexerCPPC2EP7QObjectb@Base 2.8.4 + _ZN12QsciLexerCPPD0Ev@Base 2.8.4 + _ZN12QsciLexerCPPD1Ev@Base 2.8.4 + _ZN12QsciLexerCPPD2Ev@Base 2.8.4 + _ZN12QsciLexerCSS10setHSSPropEv@Base 2.8.4 + _ZN12QsciLexerCSS11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN12QsciLexerCSS11qt_metacastEPKc@Base 2.8.4 + _ZN12QsciLexerCSS11setLessPropEv@Base 2.8.4 + _ZN12QsciLexerCSS11setSCSSPropEv@Base 2.8.4 + _ZN12QsciLexerCSS14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN12QsciLexerCSS14setCommentPropEv@Base 2.8.4 + _ZN12QsciLexerCSS14setCompactPropEv@Base 2.8.4 + _ZN12QsciLexerCSS14setFoldCompactEb@Base 2.8.4 + _ZN12QsciLexerCSS14setHSSLanguageEb@Base 2.8.4 + _ZN12QsciLexerCSS15setFoldCommentsEb@Base 2.8.4 + _ZN12QsciLexerCSS15setLessLanguageEb@Base 2.8.4 + _ZN12QsciLexerCSS15setSCSSLanguageEb@Base 2.8.4 + _ZN12QsciLexerCSS16staticMetaObjectE@Base 2.8.4 + _ZN12QsciLexerCSS17refreshPropertiesEv@Base 2.8.4 + _ZN12QsciLexerCSS2trEPKcS1_i@Base 2.8.4 + _ZN12QsciLexerCSSC1EP7QObject@Base 2.8.4 + _ZN12QsciLexerCSSC2EP7QObject@Base 2.8.4 + _ZN12QsciLexerCSSD0Ev@Base 2.8.4 + _ZN12QsciLexerCSSD1Ev@Base 2.8.4 + _ZN12QsciLexerCSSD2Ev@Base 2.8.4 + _ZN12QsciLexerIDL11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN12QsciLexerIDL11qt_metacastEPKc@Base 2.8.4 + _ZN12QsciLexerIDL16staticMetaObjectE@Base 2.8.4 + _ZN12QsciLexerIDL2trEPKcS1_i@Base 2.8.4 + _ZN12QsciLexerIDLC1EP7QObject@Base 2.8.4 + _ZN12QsciLexerIDLC2EP7QObject@Base 2.8.4 + _ZN12QsciLexerIDLD0Ev@Base 2.8.4 + _ZN12QsciLexerIDLD1Ev@Base 2.8.4 + _ZN12QsciLexerIDLD2Ev@Base 2.8.4 + _ZN12QsciLexerLua11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN12QsciLexerLua11qt_metacastEPKc@Base 2.8.4 + _ZN12QsciLexerLua14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN12QsciLexerLua14setCompactPropEv@Base 2.8.4 + _ZN12QsciLexerLua14setFoldCompactEb@Base 2.8.4 + _ZN12QsciLexerLua16staticMetaObjectE@Base 2.8.4 + _ZN12QsciLexerLua17refreshPropertiesEv@Base 2.8.4 + _ZN12QsciLexerLua2trEPKcS1_i@Base 2.8.4 + _ZN12QsciLexerLuaC1EP7QObject@Base 2.8.4 + _ZN12QsciLexerLuaC2EP7QObject@Base 2.8.4 + _ZN12QsciLexerLuaD0Ev@Base 2.8.4 + _ZN12QsciLexerLuaD1Ev@Base 2.8.4 + _ZN12QsciLexerLuaD2Ev@Base 2.8.4 + _ZN12QsciLexerPOV11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN12QsciLexerPOV11qt_metacastEPKc@Base 2.8.4 + _ZN12QsciLexerPOV14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN12QsciLexerPOV14setCommentPropEv@Base 2.8.4 + _ZN12QsciLexerPOV14setCompactPropEv@Base 2.8.4 + _ZN12QsciLexerPOV14setFoldCompactEb@Base 2.8.4 + _ZN12QsciLexerPOV15setFoldCommentsEb@Base 2.8.4 + _ZN12QsciLexerPOV16setDirectivePropEv@Base 2.8.4 + _ZN12QsciLexerPOV16staticMetaObjectE@Base 2.8.4 + _ZN12QsciLexerPOV17refreshPropertiesEv@Base 2.8.4 + _ZN12QsciLexerPOV17setFoldDirectivesEb@Base 2.8.4 + _ZN12QsciLexerPOV2trEPKcS1_i@Base 2.8.4 + _ZN12QsciLexerPOVC1EP7QObject@Base 2.8.4 + _ZN12QsciLexerPOVC2EP7QObject@Base 2.8.4 + _ZN12QsciLexerPOVD0Ev@Base 2.8.4 + _ZN12QsciLexerPOVD1Ev@Base 2.8.4 + _ZN12QsciLexerPOVD2Ev@Base 2.8.4 + _ZN12QsciLexerSQL11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN12QsciLexerSQL11qt_metacastEPKc@Base 2.8.4 + _ZN12QsciLexerSQL13setAtElsePropEv@Base 2.8.4 + _ZN12QsciLexerSQL13setFoldAtElseEb@Base 2.8.4 + _ZN12QsciLexerSQL14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN12QsciLexerSQL14setCommentPropEv@Base 2.8.4 + _ZN12QsciLexerSQL14setCompactPropEv@Base 2.8.4 + _ZN12QsciLexerSQL14setDottedWordsEb@Base 2.8.4 + _ZN12QsciLexerSQL14setFoldCompactEb@Base 2.8.4 + _ZN12QsciLexerSQL15setFoldCommentsEb@Base 2.8.4 + _ZN12QsciLexerSQL15setHashCommentsEb@Base 2.8.4 + _ZN12QsciLexerSQL16setFoldOnlyBeginEb@Base 2.8.4 + _ZN12QsciLexerSQL16setOnlyBeginPropEv@Base 2.8.4 + _ZN12QsciLexerSQL16staticMetaObjectE@Base 2.8.4 + _ZN12QsciLexerSQL17refreshPropertiesEv@Base 2.8.4 + _ZN12QsciLexerSQL19setBackslashEscapesEb@Base 2.8.4 + _ZN12QsciLexerSQL20setQuotedIdentifiersEb@Base 2.8.4 + _ZN12QsciLexerSQL22setAllowDottedWordPropEv@Base 2.8.4 + _ZN12QsciLexerSQL23setBackslashEscapesPropEv@Base 2.8.4 + _ZN12QsciLexerSQL24setNumbersignCommentPropEv@Base 2.8.4 + _ZN12QsciLexerSQL26setBackticksIdentifierPropEv@Base 2.8.4 + _ZN12QsciLexerSQL2trEPKcS1_i@Base 2.8.4 + _ZN12QsciLexerSQLC1EP7QObject@Base 2.8.4 + _ZN12QsciLexerSQLC2EP7QObject@Base 2.8.4 + _ZN12QsciLexerSQLD0Ev@Base 2.8.4 + _ZN12QsciLexerSQLD1Ev@Base 2.8.4 + _ZN12QsciLexerSQLD2Ev@Base 2.8.4 + _ZN12QsciLexerTCL11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN12QsciLexerTCL11qt_metacastEPKc@Base 2.8.4 + _ZN12QsciLexerTCL14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN12QsciLexerTCL14setCommentPropEv@Base 2.8.4 + _ZN12QsciLexerTCL15setFoldCommentsEb@Base 2.8.4 + _ZN12QsciLexerTCL16staticMetaObjectE@Base 2.8.4 + _ZN12QsciLexerTCL17refreshPropertiesEv@Base 2.8.4 + _ZN12QsciLexerTCL2trEPKcS1_i@Base 2.8.4 + _ZN12QsciLexerTCLC1EP7QObject@Base 2.8.4 + _ZN12QsciLexerTCLC2EP7QObject@Base 2.8.4 + _ZN12QsciLexerTCLD0Ev@Base 2.8.4 + _ZN12QsciLexerTCLD1Ev@Base 2.8.4 + _ZN12QsciLexerTCLD2Ev@Base 2.8.4 + _ZN12QsciLexerTeX11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN12QsciLexerTeX11qt_metacastEPKc@Base 2.8.4 + _ZN12QsciLexerTeX12setProcessIfEb@Base 2.8.4 + _ZN12QsciLexerTeX13setAutoIfPropEv@Base 2.8.4 + _ZN12QsciLexerTeX14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN12QsciLexerTeX14setCommentPropEv@Base 2.8.4 + _ZN12QsciLexerTeX14setCompactPropEv@Base 2.8.4 + _ZN12QsciLexerTeX14setFoldCompactEb@Base 2.8.4 + _ZN12QsciLexerTeX15setFoldCommentsEb@Base 2.8.4 + _ZN12QsciLexerTeX16staticMetaObjectE@Base 2.8.4 + _ZN12QsciLexerTeX17refreshPropertiesEv@Base 2.8.4 + _ZN12QsciLexerTeX18setProcessCommentsEb@Base 2.8.4 + _ZN12QsciLexerTeX22setProcessCommentsPropEv@Base 2.8.4 + _ZN12QsciLexerTeX2trEPKcS1_i@Base 2.8.4 + _ZN12QsciLexerTeXC1EP7QObject@Base 2.8.4 + _ZN12QsciLexerTeXC2EP7QObject@Base 2.8.4 + _ZN12QsciLexerTeXD0Ev@Base 2.8.4 + _ZN12QsciLexerTeXD1Ev@Base 2.8.4 + _ZN12QsciLexerTeXD2Ev@Base 2.8.4 + _ZN12QsciLexerXML11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN12QsciLexerXML11qt_metacastEPKc@Base 2.8.4 + _ZN12QsciLexerXML14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN12QsciLexerXML14setScriptsPropEv@Base 2.8.4 + _ZN12QsciLexerXML16setScriptsStyledEb@Base 2.8.4 + _ZN12QsciLexerXML16staticMetaObjectE@Base 2.8.4 + _ZN12QsciLexerXML17refreshPropertiesEv@Base 2.8.4 + _ZN12QsciLexerXMLC1EP7QObject@Base 2.8.4 + _ZN12QsciLexerXMLC2EP7QObject@Base 2.8.4 + _ZN12QsciLexerXMLD0Ev@Base 2.8.4 + _ZN12QsciLexerXMLD1Ev@Base 2.8.4 + _ZN12QsciLexerXMLD2Ev@Base 2.8.4 + _ZN12QsciSciPopup11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN12QsciSciPopup11qt_metacastEPKc@Base 2.8.4 + _ZN12QsciSciPopup12on_triggeredEi@Base 2.8.4 + _ZN12QsciSciPopup16staticMetaObjectE@Base 2.8.4 + _ZN12QsciSciPopup7addItemERK7QStringibP15QsciScintillaQt@Base 2.8.4 + _ZN12QsciSciPopupC1Ev@Base 2.8.4 + _ZN12QsciSciPopupC2Ev@Base 2.8.4 + _ZN12QsciSciPopupD0Ev@Base 2.8.4 + _ZN12QsciSciPopupD1Ev@Base 2.8.4 + _ZN12QsciSciPopupD2Ev@Base 2.8.4 + _ZN12StyleContext10GetCurrentEPcj@Base 2.8.4 + _ZN12StyleContext11ChangeStateEi@Base 2.8.4 + _ZN12StyleContext11GetNextCharEv@Base 2.8.4 + _ZN12StyleContext11GetRelativeEi@Base 2.8.4 + _ZN12StyleContext12ForwardBytesEi@Base 2.8.4 + _ZN12StyleContext15ForwardSetStateEi@Base 2.8.4 + _ZN12StyleContext15MatchIgnoreCaseEPKc@Base 2.8.4 + _ZN12StyleContext17GetCurrentLoweredEPcj@Base 2.8.4 + _ZN12StyleContext20GetRelativeCharacterEi@Base 2.8.4 + _ZN12StyleContext5MatchEPKc@Base 2.8.4 + _ZN12StyleContext7ForwardEi@Base 2.8.4 + _ZN12StyleContext7ForwardEv@Base 2.8.4 + _ZN12StyleContext8CompleteEv@Base 2.8.4 + _ZN12StyleContext8SetStateEi@Base 2.8.4 + _ZN12StyleContextC1EjjiR11LexAccessorc@Base 2.8.4 + _ZN12StyleContextC2EjjiR11LexAccessorc@Base 2.8.4 + _ZN13ColourDesired3SetEjjj@Base 2.8.4 + _ZN13ColourDesiredC1Ejjj@Base 2.8.4 + _ZN13ColourDesiredC1El@Base 2.8.4 + _ZN13ColourDesiredC2Ejjj@Base 2.8.4 + _ZN13ColourDesiredC2El@Base 2.8.4 + _ZN13LexerRegistry11AtValueNameER11LexAccessori@Base 2.9 + _ZN13LexerRegistry11AtValueTypeER11LexAccessori@Base 2.9 + _ZN13LexerRegistry11PrivateCallEiPv@Base 2.9 + _ZN13LexerRegistry11PropertySetEPKcS1_@Base 2.9 + _ZN13LexerRegistry11WordListSetEiPKc@Base 2.9 + _ZN13LexerRegistry12AtKeyPathEndER11LexAccessori@Base 2.9 + _ZN13LexerRegistry12PropertyTypeEPKc@Base 2.9 + _ZN13LexerRegistry13IsStringStateEi@Base 2.9 + _ZN13LexerRegistry13PropertyNamesEv@Base 2.9 + _ZN13LexerRegistry14IsKeyPathStateEi@Base 2.9 + _ZN13LexerRegistry16DescribePropertyEPKc@Base 2.9 + _ZN13LexerRegistry19IsNextNonWhitespaceER11LexAccessoric@Base 2.9 + _ZN13LexerRegistry20DescribeWordListSetsEv@Base 2.9 + _ZN13LexerRegistry20LexerFactoryRegistryEv@Base 2.9 + _ZN13LexerRegistry3LexEjiiP9IDocument@Base 2.9 + _ZN13LexerRegistry4FoldEjiiP9IDocument@Base 2.9 + _ZN13LexerRegistry6AtGUIDER11LexAccessori@Base 2.9 + _ZN13LexerRegistry7ReleaseEv@Base 2.9 + _ZN13LexerRegistryC1Ev@Base 2.9 + _ZN13LexerRegistryC2Ev@Base 2.9 + _ZN13LexerRegistryD0Ev@Base 2.9 + _ZN13LexerRegistryD1Ev@Base 2.9 + _ZN13LexerRegistryD2Ev@Base 2.9 + _ZN13OptionSetPerlC1Ev@Base 2.8.4 + _ZN13OptionSetPerlC2Ev@Base 2.8.4 + _ZN13OptionSetPerlD0Ev@Base 2.8.4 + _ZN13OptionSetPerlD1Ev@Base 2.8.4 + _ZN13OptionSetPerlD2Ev@Base 2.8.4 + _ZN13OptionSetRustC1Ev@Base 2.8.4 + _ZN13OptionSetRustC2Ev@Base 2.8.4 + _ZN13OptionSetRustD0Ev@Base 2.8.4 + _ZN13OptionSetRustD1Ev@Base 2.8.4 + _ZN13OptionSetRustD2Ev@Base 2.8.4 + _ZN13PositionCache13MeasureWidthsEP7SurfaceRK9ViewStylejPKcjPfP8Document@Base 2.9 + _ZN13PositionCache5ClearEv@Base 2.8.4 + (arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN13PositionCache7SetSizeEj@Base 2.9 + (arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN13PositionCache7SetSizeEm@Base 2.9 + _ZN13PositionCacheC1Ev@Base 2.8.4 + _ZN13PositionCacheC2Ev@Base 2.8.4 + _ZN13PositionCacheD1Ev@Base 2.8.4 + _ZN13PositionCacheD2Ev@Base 2.8.4 + _ZN13PropSetSimple11SetMultipleEPKc@Base 2.8.4 + _ZN13PropSetSimple3SetEPKc@Base 2.8.4 + _ZN13PropSetSimple3SetEPKcS1_ii@Base 2.8.4 + _ZN13PropSetSimpleC1Ev@Base 2.8.4 + _ZN13PropSetSimpleC2Ev@Base 2.8.4 + _ZN13PropSetSimpleD0Ev@Base 2.8.4 + _ZN13PropSetSimpleD1Ev@Base 2.8.4 + _ZN13PropSetSimpleD2Ev@Base 2.8.4 + _ZN13QLatin1StringC1EPKc@Base 2.8.4 + _ZN13QLatin1StringC2EPKc@Base 2.8.4 + _ZN13QsciDocumentPC1Ev@Base 2.8.4 + _ZN13QsciDocumentPC2Ev@Base 2.8.4 + _ZN13QsciLexerBash11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN13QsciLexerBash11qt_metacastEPKc@Base 2.8.4 + _ZN13QsciLexerBash14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN13QsciLexerBash14setCommentPropEv@Base 2.8.4 + _ZN13QsciLexerBash14setCompactPropEv@Base 2.8.4 + _ZN13QsciLexerBash14setFoldCompactEb@Base 2.8.4 + _ZN13QsciLexerBash15setFoldCommentsEb@Base 2.8.4 + _ZN13QsciLexerBash16staticMetaObjectE@Base 2.8.4 + _ZN13QsciLexerBash17refreshPropertiesEv@Base 2.8.4 + _ZN13QsciLexerBash2trEPKcS1_i@Base 2.8.4 + _ZN13QsciLexerBashC1EP7QObject@Base 2.8.4 + _ZN13QsciLexerBashC2EP7QObject@Base 2.8.4 + _ZN13QsciLexerBashD0Ev@Base 2.8.4 + _ZN13QsciLexerBashD1Ev@Base 2.8.4 + _ZN13QsciLexerBashD2Ev@Base 2.8.4 + _ZN13QsciLexerDiff11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN13QsciLexerDiff11qt_metacastEPKc@Base 2.8.4 + _ZN13QsciLexerDiff16staticMetaObjectE@Base 2.8.4 + _ZN13QsciLexerDiff2trEPKcS1_i@Base 2.8.4 + _ZN13QsciLexerDiffC1EP7QObject@Base 2.8.4 + _ZN13QsciLexerDiffC2EP7QObject@Base 2.8.4 + _ZN13QsciLexerDiffD0Ev@Base 2.8.4 + _ZN13QsciLexerDiffD1Ev@Base 2.8.4 + _ZN13QsciLexerDiffD2Ev@Base 2.8.4 + _ZN13QsciLexerHTML11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN13QsciLexerHTML11qt_metacastEPKc@Base 2.8.4 + _ZN13QsciLexerHTML11setMakoPropEv@Base 2.8.4 + _ZN13QsciLexerHTML13setDjangoPropEv@Base 2.8.4 + _ZN13QsciLexerHTML14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN13QsciLexerHTML14setCompactPropEv@Base 2.8.4 + _ZN13QsciLexerHTML14setFoldCompactEb@Base 2.8.4 + _ZN13QsciLexerHTML14setPreprocPropEv@Base 2.8.4 + _ZN13QsciLexerHTML16setMakoTemplatesEb@Base 2.8.4 + _ZN13QsciLexerHTML16staticMetaObjectE@Base 2.8.4 + _ZN13QsciLexerHTML17refreshPropertiesEv@Base 2.8.4 + _ZN13QsciLexerHTML18setDjangoTemplatesEb@Base 2.8.4 + _ZN13QsciLexerHTML19setCaseSensTagsPropEv@Base 2.8.4 + _ZN13QsciLexerHTML19setFoldPreprocessorEb@Base 2.8.4 + _ZN13QsciLexerHTML20setCaseSensitiveTagsEb@Base 2.8.4 + _ZN13QsciLexerHTML21setFoldScriptCommentsEb@Base 2.8.4 + _ZN13QsciLexerHTML21setFoldScriptHeredocsEb@Base 2.8.4 + _ZN13QsciLexerHTML21setScriptCommentsPropEv@Base 2.8.4 + _ZN13QsciLexerHTML21setScriptHeredocsPropEv@Base 2.8.4 + _ZN13QsciLexerHTML2trEPKcS1_i@Base 2.8.4 + _ZN13QsciLexerHTMLC1EP7QObject@Base 2.8.4 + _ZN13QsciLexerHTMLC2EP7QObject@Base 2.8.4 + _ZN13QsciLexerHTMLD0Ev@Base 2.8.4 + _ZN13QsciLexerHTMLD1Ev@Base 2.8.4 + _ZN13QsciLexerHTMLD2Ev@Base 2.8.4 + _ZN13QsciLexerJava11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN13QsciLexerJava11qt_metacastEPKc@Base 2.8.4 + _ZN13QsciLexerJava16staticMetaObjectE@Base 2.8.4 + _ZN13QsciLexerJavaC1EP7QObject@Base 2.8.4 + _ZN13QsciLexerJavaC2EP7QObject@Base 2.8.4 + _ZN13QsciLexerJavaD0Ev@Base 2.8.4 + _ZN13QsciLexerJavaD1Ev@Base 2.8.4 + _ZN13QsciLexerJavaD2Ev@Base 2.8.4 + _ZN13QsciLexerPerl11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN13QsciLexerPerl11qt_metacastEPKc@Base 2.8.4 + _ZN13QsciLexerPerl13setAtElsePropEv@Base 2.8.4 + _ZN13QsciLexerPerl13setFoldAtElseEb@Base 2.8.4 + _ZN13QsciLexerPerl14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN13QsciLexerPerl14setCommentPropEv@Base 2.8.4 + _ZN13QsciLexerPerl14setCompactPropEv@Base 2.8.4 + _ZN13QsciLexerPerl14setFoldCompactEb@Base 2.8.4 + _ZN13QsciLexerPerl15setFoldCommentsEb@Base 2.8.4 + _ZN13QsciLexerPerl15setFoldPackagesEb@Base 2.8.4 + _ZN13QsciLexerPerl15setPackagesPropEv@Base 2.8.4 + _ZN13QsciLexerPerl16setFoldPODBlocksEb@Base 2.8.4 + _ZN13QsciLexerPerl16setPODBlocksPropEv@Base 2.8.4 + _ZN13QsciLexerPerl16staticMetaObjectE@Base 2.8.4 + _ZN13QsciLexerPerl17refreshPropertiesEv@Base 2.8.4 + _ZN13QsciLexerPerl2trEPKcS1_i@Base 2.8.4 + _ZN13QsciLexerPerlC1EP7QObject@Base 2.8.4 + _ZN13QsciLexerPerlC2EP7QObject@Base 2.8.4 + _ZN13QsciLexerPerlD0Ev@Base 2.8.4 + _ZN13QsciLexerPerlD1Ev@Base 2.8.4 + _ZN13QsciLexerPerlD2Ev@Base 2.8.4 + _ZN13QsciLexerRuby11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN13QsciLexerRuby11qt_metacastEPKc@Base 2.8.4 + _ZN13QsciLexerRuby14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN13QsciLexerRuby14setCommentPropEv@Base 2.8.4 + _ZN13QsciLexerRuby14setCompactPropEv@Base 2.8.4 + _ZN13QsciLexerRuby14setFoldCompactEb@Base 2.8.4 + _ZN13QsciLexerRuby15setFoldCommentsEb@Base 2.8.4 + _ZN13QsciLexerRuby16staticMetaObjectE@Base 2.8.4 + _ZN13QsciLexerRuby17refreshPropertiesEv@Base 2.8.4 + _ZN13QsciLexerRuby2trEPKcS1_i@Base 2.8.4 + _ZN13QsciLexerRubyC1EP7QObject@Base 2.8.4 + _ZN13QsciLexerRubyC2EP7QObject@Base 2.8.4 + _ZN13QsciLexerRubyD0Ev@Base 2.8.4 + _ZN13QsciLexerRubyD1Ev@Base 2.8.4 + _ZN13QsciLexerRubyD2Ev@Base 2.8.4 + _ZN13QsciLexerVHDL11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN13QsciLexerVHDL11qt_metacastEPKc@Base 2.8.4 + _ZN13QsciLexerVHDL13setAtElsePropEv@Base 2.8.4 + _ZN13QsciLexerVHDL13setFoldAtElseEb@Base 2.8.4 + _ZN13QsciLexerVHDL14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN13QsciLexerVHDL14setAtBeginPropEv@Base 2.8.4 + _ZN13QsciLexerVHDL14setCommentPropEv@Base 2.8.4 + _ZN13QsciLexerVHDL14setCompactPropEv@Base 2.8.4 + _ZN13QsciLexerVHDL14setFoldAtBeginEb@Base 2.8.4 + _ZN13QsciLexerVHDL14setFoldCompactEb@Base 2.8.4 + _ZN13QsciLexerVHDL15setFoldCommentsEb@Base 2.8.4 + _ZN13QsciLexerVHDL16setAtParenthPropEv@Base 2.8.4 + _ZN13QsciLexerVHDL16staticMetaObjectE@Base 2.8.4 + _ZN13QsciLexerVHDL17refreshPropertiesEv@Base 2.8.4 + _ZN13QsciLexerVHDL20setFoldAtParenthesisEb@Base 2.8.4 + _ZN13QsciLexerVHDL2trEPKcS1_i@Base 2.8.4 + _ZN13QsciLexerVHDLC1EP7QObject@Base 2.8.4 + _ZN13QsciLexerVHDLC2EP7QObject@Base 2.8.4 + _ZN13QsciLexerVHDLD0Ev@Base 2.8.4 + _ZN13QsciLexerVHDLD1Ev@Base 2.8.4 + _ZN13QsciLexerVHDLD2Ev@Base 2.8.4 + _ZN13QsciLexerYAML11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN13QsciLexerYAML11qt_metacastEPKc@Base 2.8.4 + _ZN13QsciLexerYAML14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN13QsciLexerYAML14setCommentPropEv@Base 2.8.4 + _ZN13QsciLexerYAML15setFoldCommentsEb@Base 2.8.4 + _ZN13QsciLexerYAML16staticMetaObjectE@Base 2.8.4 + _ZN13QsciLexerYAML17refreshPropertiesEv@Base 2.8.4 + _ZN13QsciLexerYAML2trEPKcS1_i@Base 2.8.4 + _ZN13QsciLexerYAMLC1EP7QObject@Base 2.8.4 + _ZN13QsciLexerYAMLC2EP7QObject@Base 2.8.4 + _ZN13QsciLexerYAMLD0Ev@Base 2.8.4 + _ZN13QsciLexerYAMLD1Ev@Base 2.8.4 + _ZN13QsciLexerYAMLD2Ev@Base 2.8.4 + _ZN13QsciListBoxQt12GetSelectionEv@Base 2.8.4 + _ZN13QsciListBoxQt13CaretFromEdgeEv@Base 2.8.4 + _ZN13QsciListBoxQt13RegisterImageEiPKc@Base 2.8.4 + _ZN13QsciListBoxQt14GetDesiredRectEv@Base 2.8.4 + _ZN13QsciListBoxQt14SetVisibleRowsEi@Base 2.8.4 + _ZN13QsciListBoxQt17RegisterRGBAImageEiiiPKh@Base 2.8.4 + _ZN13QsciListBoxQt19SetAverageCharWidthEi@Base 2.8.4 + _ZN13QsciListBoxQt20SetDoubleClickActionEPFvPvES0_@Base 2.8.4 + _ZN13QsciListBoxQt21ClearRegisteredImagesEv@Base 2.8.4 + _ZN13QsciListBoxQt4FindEPKc@Base 2.8.4 + _ZN13QsciListBoxQt4SortEv@Base 2.8.4 + _ZN13QsciListBoxQt5ClearEv@Base 2.8.4 + _ZN13QsciListBoxQt6AppendEPci@Base 2.8.4 + _ZN13QsciListBoxQt6CreateER6Windowi5Pointibi@Base 2.8.4 + _ZN13QsciListBoxQt6LengthEv@Base 2.8.4 + _ZN13QsciListBoxQt6SelectEi@Base 2.8.4 + _ZN13QsciListBoxQt7SetFontER4Font@Base 2.8.4 + _ZN13QsciListBoxQt7SetListEPKccc@Base 2.8.4 + _ZN13QsciListBoxQt8GetValueEiPci@Base 2.8.4 + _ZN13QsciListBoxQtC1Ev@Base 2.8.4 + _ZN13QsciListBoxQtC2Ev@Base 2.8.4 + _ZN13QsciListBoxQtD0Ev@Base 2.8.4 + _ZN13QsciListBoxQtD1Ev@Base 2.8.4 + _ZN13QsciListBoxQtD2Ev@Base 2.8.4 + _ZN13QsciScintilla10allocateIdERiRjii@Base 2.8.4 + _ZN13QsciScintilla10apiContextEiRiS0_@Base 2.8.4 + _ZN13QsciScintilla10braceMatchEv@Base 2.8.4 + _ZN13QsciScintilla10cancelListEv@Base 2.8.4 + _ZN13QsciScintilla10checkBraceEliRb@Base 2.8.4 + _ZN13QsciScintilla10clearFoldsEv@Base 2.8.4 + _ZN13QsciScintilla10foldExpandERibbii@Base 2.8.4 + _ZN13QsciScintilla10setEolModeENS_7EolModeE@Base 2.8.4 + _ZN13QsciScintilla10setFoldingENS_9FoldStyleEi@Base 2.8.4 + _ZN13QsciScintilla10simpleFindEv@Base 2.8.4 + _ZN13QsciScintilla11blockIndentEi@Base 2.8.4 + _ZN13QsciScintilla11changeEventEP6QEvent@Base 2.8.4 + _ZN13QsciScintilla11checkMarkerERi@Base 2.8.4 + _ZN13QsciScintilla11convertEolsENS_7EolModeE@Base 2.8.4 + _ZN13QsciScintilla11detachLexerEv@Base 2.8.4 + _ZN13QsciScintilla11foldChangedEiii@Base 2.8.4 + _ZN13QsciScintilla11insertAtPosERK7QStringi@Base 2.8.4 + _ZN13QsciScintilla11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN13QsciScintilla11qt_metacastEPKc@Base 2.8.4 + _ZN13QsciScintilla11setDocumentERK12QsciDocument@Base 2.8.4 + _ZN13QsciScintilla11setEdgeModeENS_8EdgeModeE@Base 2.8.4 + _ZN13QsciScintilla11setModifiedEb@Base 2.8.4 + _ZN13QsciScintilla11setReadOnlyEb@Base 2.8.4 + _ZN13QsciScintilla11setTabWidthEi@Base 2.8.4 + _ZN13QsciScintilla11setWrapModeENS_8WrapModeE@Base 2.8.4 + _ZN13QsciScintilla11textChangedEv@Base 2.8.4 + _ZN13QsciScintilla12linesChangedEv@Base 2.8.4 + _ZN13QsciScintilla12mapModifiersEi@Base 2.8.4 + _ZN13QsciScintilla12markerDefineENS_12MarkerSymbolEi@Base 2.8.4 + _ZN13QsciScintilla12markerDefineERK6QImagei@Base 2.8.4 + _ZN13QsciScintilla12markerDefineERK7QPixmapi@Base 2.8.4 + _ZN13QsciScintilla12markerDefineEci@Base 2.8.4 + _ZN13QsciScintilla12markerDeleteEii@Base 2.8.4 + _ZN13QsciScintilla12setEdgeColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla12setSelectionEiiii@Base 2.8.4 + _ZN13QsciScintilla12showUserListEiRK11QStringList@Base 2.8.4 + _ZN13QsciScintilla13copyAvailableEb@Base 2.8.4 + _ZN13QsciScintilla13endUndoActionEv@Base 2.8.4 + _ZN13QsciScintilla13marginClickedEii6QFlagsIN2Qt16KeyboardModifierEE@Base 2.8.4 + _ZN13QsciScintilla13registerImageEiRK6QImage@Base 2.8.4 + _ZN13QsciScintilla13registerImageEiRK7QPixmap@Base 2.8.4 + _ZN13QsciScintilla13setAutoIndentEb@Base 2.8.4 + _ZN13QsciScintilla13setCaretWidthEi@Base 2.8.4 + _ZN13QsciScintilla13setEdgeColumnEi@Base 2.8.4 + _ZN13QsciScintilla13setFoldMarkerEii@Base 2.8.4 + _ZN13QsciScintilla13setLexerStyleEi@Base 2.8.4 + _ZN13QsciScintilla13setMarginTextEiRK14QsciStyledText@Base 2.8.4 + _ZN13QsciScintilla13setMarginTextEiRK5QListI14QsciStyledTextE@Base 2.8.4 + _ZN13QsciScintilla13setMarginTextEiRK7QStringRK9QsciStyle@Base 2.8.4 + _ZN13QsciScintilla13setMarginTextEiRK7QStringi@Base 2.8.4 + _ZN13QsciScintilla13setMarginTypeEiNS_10MarginTypeE@Base 2.8.4 + _ZN13QsciScintilla13setStylesFontERK5QFonti@Base 2.8.4 + _ZN13QsciScintilla13setTabIndentsEb@Base 2.8.4 + _ZN13QsciScintilla14autoIndentLineElii@Base 2.8.4 + _ZN13QsciScintilla14checkIndicatorERi@Base 2.8.4 + _ZN13QsciScintilla14findStyledWordEPKciS1_@Base 2.8.4 + _ZN13QsciScintilla14getIndentStateEi@Base 2.8.4 + _ZN13QsciScintilla14handleModifiedEiiPKciiiiiii@Base 2.8.4 + _ZN13QsciScintilla14handleUpdateUIEi@Base 2.8.4 + _ZN13QsciScintilla14setExtraAscentEi@Base 2.8.4 + _ZN13QsciScintilla14setHotspotWrapEb@Base 2.8.4 + _ZN13QsciScintilla14setIndentationEii@Base 2.8.4 + _ZN13QsciScintilla14setMarginWidthEiRK7QString@Base 2.8.4 + _ZN13QsciScintilla14setMarginWidthEii@Base 2.8.4 + _ZN13QsciScintilla14setMarginsFontERK5QFont@Base 2.8.4 + _ZN13QsciScintilla15autoIndentationEcl@Base 2.8.4 + _ZN13QsciScintilla15beginUndoActionEv@Base 2.8.4 + _ZN13QsciScintilla15clearMarginTextEi@Base 2.8.4 + _ZN13QsciScintilla15handleCharAddedEi@Base 2.8.4 + _ZN13QsciScintilla15indicatorDefineENS_14IndicatorStyleEi@Base 2.8.4 + _ZN13QsciScintilla15markerDeleteAllEi@Base 2.8.4 + _ZN13QsciScintilla15setExtraDescentEi@Base 2.8.4 + _ZN13QsciScintilla16clearAnnotationsEi@Base 2.8.4 + _ZN13QsciScintilla16contextMenuEventEP17QContextMenuEvent@Base 2.8.4 + _ZN13QsciScintilla16delete_selectionEv@Base 2.8.4 + _ZN13QsciScintilla16indicatorClickedEii6QFlagsIN2Qt16KeyboardModifierEE@Base 2.8.4 + _ZN13QsciScintilla16selectionChangedEv@Base 2.8.4 + _ZN13QsciScintilla16setBraceMatchingENS_10BraceMatchE@Base 2.8.4 + _ZN13QsciScintilla16setCallTipsStyleENS_13CallTipsStyleE@Base 2.8.4 + _ZN13QsciScintilla16setEnabledColorsEiR6QColorS1_@Base 2.8.4 + _ZN13QsciScintilla16setEolVisibilityEb@Base 2.8.4 + _ZN13QsciScintilla16setMarginOptionsEi@Base 2.8.4 + _ZN13QsciScintilla16setOverwriteModeEb@Base 2.8.4 + _ZN13QsciScintilla16staticMetaObjectE@Base 2.8.4 + _ZN13QsciScintilla17ensureLineVisibleEi@Base 2.8.4 + _ZN13QsciScintilla17findMatchingBraceERlS0_NS_10BraceMatchE@Base 2.8.4 + _ZN13QsciScintilla17gotoMatchingBraceEb@Base 2.8.4 + _ZN13QsciScintilla17handleMarginClickEiii@Base 2.8.4 + _ZN13QsciScintilla17indicatorReleasedEii6QFlagsIN2Qt16KeyboardModifierEE@Base 2.8.4 + _ZN13QsciScintilla17rangeIsWhitespaceEll@Base 2.8.4 + _ZN13QsciScintilla17setCursorPositionEii@Base 2.8.4 + _ZN13QsciScintilla17setSelectionToEolEb@Base 2.8.4 + _ZN13QsciScintilla17setWhitespaceSizeEi@Base 2.8.4 + _ZN13QsciScintilla17setWrapIndentModeENS_14WrapIndentModeE@Base 2.8.4 + _ZN13QsciScintilla17userListActivatedEiRK7QString@Base 2.8.4 + _ZN13QsciScintilla18fillIndicatorRangeEiiiii@Base 2.8.4 + _ZN13QsciScintilla18handleCallTipClickEi@Base 2.8.4 + _ZN13QsciScintilla18markerDeleteHandleEi@Base 2.8.4 + _ZN13QsciScintilla18removeSelectedTextEv@Base 2.8.4 + _ZN13QsciScintilla18setCallTipsVisibleEi@Base 2.8.4 + _ZN13QsciScintilla18setContractedFoldsERK5QListIiE@Base 2.8.4 + _ZN13QsciScintilla18setWrapVisualFlagsENS_14WrapVisualFlagES0_i@Base 2.8.4 + _ZN13QsciScintilla19autoCompleteFromAllEv@Base 2.8.4 + _ZN13QsciScintilla19clearIndicatorRangeEiiiii@Base 2.8.4 + _ZN13QsciScintilla19ensureCursorVisibleEv@Base 2.8.4 + _ZN13QsciScintilla19handleSavePointLeftEv@Base 2.8.4 + _ZN13QsciScintilla19maintainIndentationEcl@Base 2.8.4 + _ZN13QsciScintilla19modificationChangedEb@Base 2.8.4 + _ZN13QsciScintilla19moveToMatchingBraceEv@Base 2.8.4 + _ZN13QsciScintilla19replaceSelectedTextERK7QString@Base 2.8.4 + _ZN13QsciScintilla19setCallTipsPositionENS_16CallTipsPositionE@Base 2.8.4 + _ZN13QsciScintilla19setCaretLineVisibleEb@Base 2.8.4 + _ZN13QsciScintilla19setFirstVisibleLineEi@Base 2.8.4 + _ZN13QsciScintilla19setFoldMarginColorsERK6QColorS2_@Base 2.8.4 + _ZN13QsciScintilla19setHotspotUnderlineEb@Base 2.8.4 + _ZN13QsciScintilla19setIndentationWidthEi@Base 2.8.4 + _ZN13QsciScintilla19setMarginMarkerMaskEii@Base 2.8.4 + _ZN13QsciScintilla19startAutoCompletionENS_20AutoCompletionSourceEbb@Base 2.8.4 + _ZN13QsciScintilla20autoCompleteFromAPIsEv@Base 2.8.4 + _ZN13QsciScintilla20findFirstInSelectionERK7QStringbbbbbb@Base 2.8.4 + _ZN13QsciScintilla20handleIndicatorClickEii@Base 2.8.4 + _ZN13QsciScintilla20handlePropertyChangeEPKcS1_@Base 2.8.4 + _ZN13QsciScintilla20setAnnotationDisplayENS_17AnnotationDisplayE@Base 2.8.4 + _ZN13QsciScintilla20setIndentationGuidesEb@Base 2.8.4 + _ZN13QsciScintilla20setMarginLineNumbersEib@Base 2.8.4 + _ZN13QsciScintilla20setMarginSensitivityEib@Base 2.8.4 + _ZN13QsciScintilla21clearRegisteredImagesEv@Base 2.8.4 + _ZN13QsciScintilla21cursorPositionChangedEii@Base 2.8.4 + _ZN13QsciScintilla21handleStyleFontChangeERK5QFonti@Base 2.8.4 + _ZN13QsciScintilla21modificationAttemptedEv@Base 2.8.4 + _ZN13QsciScintilla21resetFoldMarginColorsEv@Base 2.8.4 + _ZN13QsciScintilla21selectToMatchingBraceEv@Base 2.8.4 + _ZN13QsciScintilla21setBackspaceUnindentsEb@Base 2.8.4 + _ZN13QsciScintilla21setIndicatorDrawUnderEbi@Base 2.8.4 + _ZN13QsciScintilla22handleIndicatorReleaseEii@Base 2.8.4 + _ZN13QsciScintilla22handleSavePointReachedEv@Base 2.8.4 + _ZN13QsciScintilla22handleSelectionChangedEb@Base 2.8.4 + _ZN13QsciScintilla22handleStyleColorChangeERK6QColori@Base 2.8.4 + _ZN13QsciScintilla22handleStylePaperChangeERK6QColori@Base 2.8.4 + _ZN13QsciScintilla22setIndentationsUseTabsEb@Base 2.8.4 + _ZN13QsciScintilla22setIndicatorHoverStyleENS_14IndicatorStyleEi@Base 2.9 + _ZN13QsciScintilla23handleUserListSelectionEPKci@Base 2.8.4 + _ZN13QsciScintilla23setAutoCompletionSourceENS_20AutoCompletionSourceE@Base 2.8.4 + _ZN13QsciScintilla23setCaretForegroundColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla23setWhitespaceVisibilityENS_20WhitespaceVisibilityE@Base 2.8.4 + _ZN13QsciScintilla24autoCompleteFromDocumentEv@Base 2.8.4 + _ZN13QsciScintilla24handleStyleEolFillChangeEbi@Base 2.8.4 + _ZN13QsciScintilla24setAutoCompletionFillupsEPKc@Base 2.8.4 + _ZN13QsciScintilla24setIndicatorOutlineColorERK6QColori@Base 2.8.4 + _ZN13QsciScintilla24setMarkerBackgroundColorERK6QColori@Base 2.8.4 + _ZN13QsciScintilla24setMarkerForegroundColorERK6QColori@Base 2.8.4 + _ZN13QsciScintilla24setMatchedBraceIndicatorEi@Base 2.8.4 + _ZN13QsciScintilla25createStandardContextMenuEv@Base 2.8.4 + _ZN13QsciScintilla25setCallTipsHighlightColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla25setHotspotBackgroundColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla25setHotspotForegroundColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla25setMarginsBackgroundColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla25setMarginsForegroundColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla26resetMatchedBraceIndicatorEv@Base 2.8.4 + _ZN13QsciScintilla26setAutoCompletionThresholdEi@Base 2.8.4 + _ZN13QsciScintilla26setAutoCompletionUseSingleENS_23AutoCompletionUseSingleE@Base 2.8.4 + _ZN13QsciScintilla26setCallTipsBackgroundColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla26setCallTipsForegroundColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla26setUnmatchedBraceIndicatorEi@Base 2.8.4 + _ZN13QsciScintilla27resetHotspotBackgroundColorEv@Base 2.8.4 + _ZN13QsciScintilla27resetHotspotForegroundColorEv@Base 2.8.4 + _ZN13QsciScintilla27setAutoCompletionShowSingleEb@Base 2.8.4 + _ZN13QsciScintilla27setCaretLineBackgroundColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla27setIndicatorForegroundColorERK6QColori@Base 2.8.4 + _ZN13QsciScintilla27setSelectionBackgroundColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla27setSelectionForegroundColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla28resetUnmatchedBraceIndicatorEv@Base 2.8.4 + _ZN13QsciScintilla28setAutoCompletionReplaceWordEb@Base 2.8.4 + _ZN13QsciScintilla28setWhitespaceBackgroundColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla28setWhitespaceForegroundColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla29handleAutoCompletionSelectionEv@Base 2.8.4 + _ZN13QsciScintilla29resetSelectionBackgroundColorEv@Base 2.8.4 + _ZN13QsciScintilla29resetSelectionForegroundColorEv@Base 2.8.4 + _ZN13QsciScintilla2trEPKcS1_i@Base 2.8.4 + _ZN13QsciScintilla30setMatchedBraceBackgroundColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla30setMatchedBraceForegroundColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla31setAutoCompletionFillupsEnabledEb@Base 2.8.4 + _ZN13QsciScintilla31setAutoCompletionWordSeparatorsERK11QStringList@Base 2.8.4 + _ZN13QsciScintilla32setAutoCompletionCaseSensitivityEb@Base 2.8.4 + _ZN13QsciScintilla32setIndicatorHoverForegroundColorERK6QColori@Base 2.9 + _ZN13QsciScintilla32setUnmatchedBraceBackgroundColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla32setUnmatchedBraceForegroundColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla35setIndentationGuidesBackgroundColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla35setIndentationGuidesForegroundColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla3cutEv@Base 2.8.4 + _ZN13QsciScintilla4copyEv@Base 2.8.4 + _ZN13QsciScintilla4readEP9QIODevice@Base 2.8.4 + _ZN13QsciScintilla4redoEv@Base 2.8.4 + _ZN13QsciScintilla4undoEv@Base 2.8.4 + _ZN13QsciScintilla5clearEv@Base 2.8.4 + _ZN13QsciScintilla5eventEP6QEvent@Base 2.8.4 + _ZN13QsciScintilla5pasteEv@Base 2.8.4 + _ZN13QsciScintilla6appendERK7QString@Base 2.8.4 + _ZN13QsciScintilla6doFindEv@Base 2.8.4 + _ZN13QsciScintilla6indentEi@Base 2.8.4 + _ZN13QsciScintilla6insertERK7QString@Base 2.8.4 + _ZN13QsciScintilla6zoomInEi@Base 2.8.4 + _ZN13QsciScintilla6zoomInEv@Base 2.8.4 + _ZN13QsciScintilla6zoomToEi@Base 2.8.4 + _ZN13QsciScintilla7callTipEv@Base 2.8.4 + _ZN13QsciScintilla7foldAllEb@Base 2.8.4 + _ZN13QsciScintilla7recolorEii@Base 2.8.4 + _ZN13QsciScintilla7replaceERK7QString@Base 2.8.4 + _ZN13QsciScintilla7setFontERK5QFont@Base 2.8.4 + _ZN13QsciScintilla7setTextERK7QString@Base 2.8.4 + _ZN13QsciScintilla7setUtf8Eb@Base 2.8.4 + _ZN13QsciScintilla7zoomOutEi@Base 2.8.4 + _ZN13QsciScintilla7zoomOutEv@Base 2.8.4 + _ZN13QsciScintilla8annotateEiRK14QsciStyledText@Base 2.8.4 + _ZN13QsciScintilla8annotateEiRK5QListI14QsciStyledTextE@Base 2.8.4 + _ZN13QsciScintilla8annotateEiRK7QStringRK9QsciStyle@Base 2.8.4 + _ZN13QsciScintilla8annotateEiRK7QStringi@Base 2.8.4 + _ZN13QsciScintilla8ensureRWEv@Base 2.8.4 + _ZN13QsciScintilla8findNextEv@Base 2.8.4 + _ZN13QsciScintilla8foldLineEi@Base 2.8.4 + _ZN13QsciScintilla8insertAtERK7QStringii@Base 2.8.4 + _ZN13QsciScintilla8setColorERK6QColor@Base 2.8.4 + _ZN13QsciScintilla8setLexerEP9QsciLexer@Base 2.8.4 + _ZN13QsciScintilla8setPaperERK6QColor@Base 2.8.4 + _ZN13QsciScintilla8unindentEi@Base 2.8.4 + _ZN13QsciScintilla9FindStateC1Ev@Base 2.8.4 + _ZN13QsciScintilla9FindStateC2Ev@Base 2.8.4 + _ZN13QsciScintilla9FindStateD1Ev@Base 2.8.4 + _ZN13QsciScintilla9FindStateD2Ev@Base 2.8.4 + _ZN13QsciScintilla9findFirstERK7QStringbbbbbiibb@Base 2.8.4 + _ZN13QsciScintilla9foldClickEii@Base 2.8.4 + _ZN13QsciScintilla9markerAddEii@Base 2.8.4 + _ZN13QsciScintilla9selectAllEb@Base 2.8.4 + _ZN13QsciScintilla9styleTextERK5QListI14QsciStyledTextEPPci@Base 2.8.4 + _ZN13QsciScintillaC1EP7QWidget@Base 2.8.4 + _ZN13QsciScintillaC2EP7QWidget@Base 2.8.4 + _ZN13QsciScintillaD0Ev@Base 2.8.4 + _ZN13QsciScintillaD1Ev@Base 2.8.4 + _ZN13QsciScintillaD2Ev@Base 2.8.4 + _ZN13ScintillaBase10AddCharUTFEPKcjb@Base 2.9 + _ZN13ScintillaBase10ButtonDownE5Pointjbbb@Base 2.8.4 + _ZN13ScintillaBase10KeyCommandEj@Base 2.8.4 + _ZN13ScintillaBase11CallTipShowE5PointPKc@Base 2.8.4 + _ZN13ScintillaBase11CancelModesEv@Base 2.8.4 + _ZN13ScintillaBase11ContextMenuE5Point@Base 2.8.4 + _ZN13ScintillaBase12CallTipClickEv@Base 2.8.4 + _ZN13ScintillaBase16AutoCompleteMoveEi@Base 2.8.4 + _ZN13ScintillaBase16DocumentLexStateEv@Base 2.8.4 + _ZN13ScintillaBase17AutoCompleteStartEiPKc@Base 2.8.4 + _ZN13ScintillaBase18AutoCompleteCancelEv@Base 2.8.4 + _ZN13ScintillaBase18AutoCompleteInsertEiiPKci@Base 2.8.4 + _ZN13ScintillaBase18NotifyLexerChangedEP8DocumentPv@Base 2.8.4 + _ZN13ScintillaBase19NotifyStyleToNeededEi@Base 2.8.4 + _ZN13ScintillaBase21AutoCompleteCompletedEv@Base 2.8.4 + _ZN13ScintillaBase23AutoCompleteDoubleClickEPv@Base 2.8.4 + _ZN13ScintillaBase23ButtonDownWithModifiersE5Pointji@Base 2.9 + _ZN13ScintillaBase26AutoCompleteCharacterAddedEc@Base 2.8.4 + _ZN13ScintillaBase28AutoCompleteCharacterDeletedEv@Base 2.8.4 + _ZN13ScintillaBase29AutoCompleteMoveToCurrentWordEv@Base 2.8.4 + _ZN13ScintillaBase7CommandEi@Base 2.8.4 + _ZN13ScintillaBase7WndProcEjml@Base 2.8.4 + _ZN13ScintillaBase8FinaliseEv@Base 2.8.4 + _ZN13ScintillaBaseC1Ev@Base 2.8.4 + _ZN13ScintillaBaseC2Ev@Base 2.8.4 + _ZN13ScintillaBaseD0Ev@Base 2.8.4 + _ZN13ScintillaBaseD1Ev@Base 2.8.4 + _ZN13ScintillaBaseD2Ev@Base 2.8.4 + _ZN13SelectionText24FixSelectionForClipboardEv@Base 2.8.4 + _ZN13SelectionText4CopyERKSsiibb@Base 2.8.4 + _ZN13SelectionText5ClearEv@Base 2.8.4 + _ZN13SelectionTextC1Ev@Base 2.8.4 + _ZN13SelectionTextC2Ev@Base 2.8.4 + _ZN13SelectionTextD1Ev@Base 2.8.4 + _ZN13SelectionTextD2Ev@Base 2.8.4 + _ZN13latexFoldSaveC1ERKS_@Base 2.8.4 + _ZN13latexFoldSaveC1Ev@Base 2.8.4 + _ZN13latexFoldSaveC2ERKS_@Base 2.8.4 + _ZN13latexFoldSaveC2Ev@Base 2.8.4 + _ZN14AutoLineLayout3SetEP10LineLayout@Base 2.8.4 + _ZN14AutoLineLayoutC1ER15LineLayoutCacheP10LineLayout@Base 2.8.4 + _ZN14AutoLineLayoutC2ER15LineLayoutCacheP10LineLayout@Base 2.8.4 + _ZN14AutoLineLayoutD1Ev@Base 2.8.4 + _ZN14AutoLineLayoutD2Ev@Base 2.8.4 + _ZN14ColourOptionalC1E13ColourDesiredb@Base 2.8.4 + _ZN14ColourOptionalC1Eml@Base 2.8.4 + _ZN14ColourOptionalC2E13ColourDesiredb@Base 2.8.4 + _ZN14ColourOptionalC2Eml@Base 2.8.4 + _ZN14DecorationList11DeleteRangeEii@Base 2.8.4 + _ZN14DecorationList11InsertSpaceEii@Base 2.8.4 + _ZN14DecorationList14DeleteAnyEmptyEv@Base 2.8.4 + _ZN14DecorationList15SetCurrentValueEi@Base 2.8.4 + _ZN14DecorationList19SetCurrentIndicatorEi@Base 2.8.4 + _ZN14DecorationList23DecorationFromIndicatorEi@Base 2.8.4 + _ZN14DecorationList3EndEii@Base 2.8.4 + _ZN14DecorationList5StartEii@Base 2.8.4 + _ZN14DecorationList6CreateEii@Base 2.8.4 + _ZN14DecorationList6DeleteEi@Base 2.8.4 + _ZN14DecorationList7ValueAtEii@Base 2.8.4 + _ZN14DecorationList9FillRangeERiiS0_@Base 2.8.4 + _ZN14DecorationListC1Ev@Base 2.8.4 + _ZN14DecorationListC2Ev@Base 2.8.4 + _ZN14DecorationListD1Ev@Base 2.8.4 + _ZN14DecorationListD2Ev@Base 2.8.4 + _ZN14DynamicLibrary4LoadEPKc@Base 2.8.4 + _ZN14DynamicLibraryC1Ev@Base 2.8.4 + _ZN14DynamicLibraryC2Ev@Base 2.8.4 + _ZN14DynamicLibraryD0Ev@Base 2.8.4 + _ZN14DynamicLibraryD1Ev@Base 2.8.4 + _ZN14DynamicLibraryD2Ev@Base 2.8.4 + _ZN14FontParametersC1EPKcfibiii@Base 2.8.4 + _ZN14FontParametersC2EPKcfibiii@Base 2.8.4 + _ZN14ICaseConverterC1Ev@Base 2.8.4 + _ZN14ICaseConverterC2Ev@Base 2.8.4 + _ZN14LineAnnotation10InsertLineEi@Base 2.8.4 + _ZN14LineAnnotation10RemoveLineEi@Base 2.8.4 + _ZN14LineAnnotation4InitEv@Base 2.8.4 + _ZN14LineAnnotation7SetTextEiPKc@Base 2.8.4 + _ZN14LineAnnotation8ClearAllEv@Base 2.8.4 + _ZN14LineAnnotation8SetStyleEii@Base 2.8.4 + _ZN14LineAnnotation9SetStylesEiPKh@Base 2.8.4 + _ZN14LineAnnotationC1Ev@Base 2.8.4 + _ZN14LineAnnotationC2Ev@Base 2.8.4 + _ZN14LineAnnotationD0Ev@Base 2.8.4 + _ZN14LineAnnotationD1Ev@Base 2.8.4 + _ZN14LineAnnotationD2Ev@Base 2.8.4 + _ZN14OptionSetBasicC1EPKPKc@Base 2.8.4 + _ZN14OptionSetBasicC2EPKPKc@Base 2.8.4 + _ZN14OptionSetBasicD0Ev@Base 2.8.4 + _ZN14OptionSetBasicD1Ev@Base 2.8.4 + _ZN14OptionSetBasicD2Ev@Base 2.8.4 + _ZN14OptionsHaskellC1Ev@Base 2.8.4 + _ZN14OptionsHaskellC2Ev@Base 2.8.4 + _ZN14QMessageLoggerC1EPKciS1_@Base 2.8.4 + _ZN14QMessageLoggerC2EPKciS1_@Base 2.8.4 + _ZN14QsciAPIsWorker3runEv@Base 2.8.4 + _ZN14QsciAPIsWorkerC1EP8QsciAPIs@Base 2.8.4 + _ZN14QsciAPIsWorkerC2EP8QsciAPIs@Base 2.8.4 + _ZN14QsciAPIsWorkerD0Ev@Base 2.8.4 + _ZN14QsciAPIsWorkerD1Ev@Base 2.8.4 + _ZN14QsciAPIsWorkerD2Ev@Base 2.8.4 + _ZN14QsciCommandSet12readSettingsER9QSettingsPKc@Base 2.8.4 + _ZN14QsciCommandSet13writeSettingsER9QSettingsPKc@Base 2.8.4 + _ZN14QsciCommandSet18clearAlternateKeysEv@Base 2.8.4 + _ZN14QsciCommandSet9clearKeysEv@Base 2.8.4 + _ZN14QsciCommandSetC1EP13QsciScintilla@Base 2.8.4 + _ZN14QsciCommandSetC2EP13QsciScintilla@Base 2.8.4 + _ZN14QsciCommandSetD1Ev@Base 2.8.4 + _ZN14QsciCommandSetD2Ev@Base 2.8.4 + _ZN14QsciLexerBatch11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN14QsciLexerBatch11qt_metacastEPKc@Base 2.8.4 + _ZN14QsciLexerBatch16staticMetaObjectE@Base 2.8.4 + _ZN14QsciLexerBatch2trEPKcS1_i@Base 2.8.4 + _ZN14QsciLexerBatchC1EP7QObject@Base 2.8.4 + _ZN14QsciLexerBatchC2EP7QObject@Base 2.8.4 + _ZN14QsciLexerBatchD0Ev@Base 2.8.4 + _ZN14QsciLexerBatchD1Ev@Base 2.8.4 + _ZN14QsciLexerBatchD2Ev@Base 2.8.4 + _ZN14QsciLexerCMake11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN14QsciLexerCMake11qt_metacastEPKc@Base 2.8.4 + _ZN14QsciLexerCMake13setAtElsePropEv@Base 2.8.4 + _ZN14QsciLexerCMake13setFoldAtElseEb@Base 2.8.4 + _ZN14QsciLexerCMake14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN14QsciLexerCMake16staticMetaObjectE@Base 2.8.4 + _ZN14QsciLexerCMake17refreshPropertiesEv@Base 2.8.4 + _ZN14QsciLexerCMake2trEPKcS1_i@Base 2.8.4 + _ZN14QsciLexerCMakeC1EP7QObject@Base 2.8.4 + _ZN14QsciLexerCMakeC2EP7QObject@Base 2.8.4 + _ZN14QsciLexerCMakeD0Ev@Base 2.8.4 + _ZN14QsciLexerCMakeD1Ev@Base 2.8.4 + _ZN14QsciLexerCMakeD2Ev@Base 2.8.4 + _ZN14QsciLexerSpice11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN14QsciLexerSpice11qt_metacastEPKc@Base 2.8.4 + _ZN14QsciLexerSpice16staticMetaObjectE@Base 2.8.4 + _ZN14QsciLexerSpice2trEPKcS1_i@Base 2.8.4 + _ZN14QsciLexerSpiceC1EP7QObject@Base 2.8.4 + _ZN14QsciLexerSpiceC2EP7QObject@Base 2.8.4 + _ZN14QsciLexerSpiceD0Ev@Base 2.8.4 + _ZN14QsciLexerSpiceD1Ev@Base 2.8.4 + _ZN14QsciLexerSpiceD2Ev@Base 2.8.4 + _ZN14QsciSciCallTip10paintEventEP11QPaintEvent@Base 2.8.4 + _ZN14QsciSciCallTip11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN14QsciSciCallTip11qt_metacastEPKc@Base 2.8.4 + _ZN14QsciSciCallTip15mousePressEventEP11QMouseEvent@Base 2.8.4 + _ZN14QsciSciCallTip16staticMetaObjectE@Base 2.8.4 + _ZN14QsciSciCallTipC1EP7QWidgetP15QsciScintillaQt@Base 2.8.4 + _ZN14QsciSciCallTipC2EP7QWidgetP15QsciScintillaQt@Base 2.8.4 + _ZN14QsciSciCallTipD0Ev@Base 2.8.4 + _ZN14QsciSciCallTipD1Ev@Base 2.8.4 + _ZN14QsciSciCallTipD2Ev@Base 2.8.4 + _ZN14QsciSciListBox11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN14QsciSciListBox11qt_metacastEPKc@Base 2.8.4 + _ZN14QsciSciListBox13addItemPixmapERK7QPixmapRK7QString@Base 2.8.4 + _ZN14QsciSciListBox13keyPressEventEP9QKeyEvent@Base 2.8.4 + _ZN14QsciSciListBox15handleSelectionEv@Base 2.8.4 + _ZN14QsciSciListBox16staticMetaObjectE@Base 2.8.4 + _ZN14QsciSciListBox4findERK7QString@Base 2.8.4 + _ZN14QsciSciListBox4textEi@Base 2.8.4 + _ZN14QsciSciListBoxC1EP7QWidgetP13QsciListBoxQt@Base 2.8.4 + _ZN14QsciSciListBoxC2EP7QWidgetP13QsciListBoxQt@Base 2.8.4 + _ZN14QsciSciListBoxD0Ev@Base 2.8.4 + _ZN14QsciSciListBoxD1Ev@Base 2.8.4 + _ZN14QsciSciListBoxD2Ev@Base 2.8.4 + _ZN14QsciStyledTextC1ERK7QStringRK9QsciStyle@Base 2.8.4 + _ZN14QsciStyledTextC1ERK7QStringi@Base 2.8.4 + _ZN14QsciStyledTextC2ERK7QStringRK9QsciStyle@Base 2.8.4 + _ZN14QsciStyledTextC2ERK7QStringi@Base 2.8.4 + _ZN14RepresentationC1EPKc@Base 2.8.4 + _ZN14RepresentationC1ERKS_@Base 2.8.4 + _ZN14RepresentationC2EPKc@Base 2.8.4 + _ZN14RepresentationC2ERKS_@Base 2.8.4 + _ZN14RepresentationD1Ev@Base 2.8.4 + _ZN14RepresentationD2Ev@Base 2.8.4 + _ZN14RepresentationaSERKS_@Base 2.8.4 + _ZN14SelectionRange17ClearVirtualSpaceEv@Base 2.8.4 + _ZN14SelectionRange19MoveForInsertDeleteEbii@Base 2.9 + _ZN14SelectionRange20MinimizeVirtualSpaceEv@Base 2.8.4 + _ZN14SelectionRange4TrimES_@Base 2.8.4 + _ZN14SelectionRange5ResetEv@Base 2.8.4 + _ZN14SelectionRangeC1E17SelectionPosition@Base 2.8.4 + _ZN14SelectionRangeC1E17SelectionPositionS0_@Base 2.8.4 + _ZN14SelectionRangeC1Ei@Base 2.8.4 + _ZN14SelectionRangeC1Eii@Base 2.8.4 + _ZN14SelectionRangeC1Ev@Base 2.8.4 + _ZN14SelectionRangeC2E17SelectionPosition@Base 2.8.4 + _ZN14SelectionRangeC2E17SelectionPositionS0_@Base 2.8.4 + _ZN14SelectionRangeC2Ei@Base 2.8.4 + _ZN14SelectionRangeC2Eii@Base 2.8.4 + _ZN14SelectionRangeC2Ev@Base 2.8.4 + _ZN14StyleAndColourC1Ei13ColourDesired@Base 2.9 + _ZN14StyleAndColourC1Ev@Base 2.9 + _ZN14StyleAndColourC2Ei13ColourDesired@Base 2.9 + _ZN14StyleAndColourC2Ev@Base 2.9 + _ZN14WordClassifier14SetIdentifiersEiPKc@Base 2.8.4 + _ZN14WordClassifier5ClearEv@Base 2.8.4 + _ZN14WordClassifier8AllocateEii@Base 2.8.4 + _ZN14WordClassifierC1ERKS_@Base 2.8.4 + _ZN14WordClassifierC1Ei@Base 2.8.4 + _ZN14WordClassifierC2ERKS_@Base 2.8.4 + _ZN14WordClassifierC2Ei@Base 2.8.4 + _ZN14WordClassifierD1Ev@Base 2.8.4 + _ZN14WordClassifierD2Ev@Base 2.8.4 + _ZN14WordClassifieraSERKS_@Base 2.8.4 + _ZN15CaseFolderASCIIC1Ev@Base 2.8.4 + _ZN15CaseFolderASCIIC2Ev@Base 2.8.4 + _ZN15CaseFolderASCIID0Ev@Base 2.8.4 + _ZN15CaseFolderASCIID1Ev@Base 2.8.4 + _ZN15CaseFolderASCIID2Ev@Base 2.8.4 + _ZN15CaseFolderTable13StandardASCIIEv@Base 2.8.4 + _ZN15CaseFolderTable14SetTranslationEcc@Base 2.8.4 + (arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN15CaseFolderTable4FoldEPcjPKcj@Base 2.9 + (arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN15CaseFolderTable4FoldEPcmPKcm@Base 2.9 + _ZN15CaseFolderTableC1Ev@Base 2.8.4 + _ZN15CaseFolderTableC2Ev@Base 2.8.4 + _ZN15CaseFolderTableD0Ev@Base 2.8.4 + _ZN15CaseFolderTableD1Ev@Base 2.8.4 + _ZN15CaseFolderTableD2Ev@Base 2.8.4 + _ZN15DocModificationC1EiRK6Actioni@Base 2.8.4 + _ZN15DocModificationC1EiiiiPKci@Base 2.8.4 + _ZN15DocModificationC2EiRK6Actioni@Base 2.8.4 + _ZN15DocModificationC2EiiiiPKci@Base 2.8.4 + _ZN15ForeBackColoursC1Ev@Base 2.8.4 + _ZN15ForeBackColoursC2Ev@Base 2.8.4 + _ZN15LineLayoutCache10DeallocateEv@Base 2.8.4 + _ZN15LineLayoutCache10InvalidateEN10LineLayout10validLevelE@Base 2.8.4 + _ZN15LineLayoutCache16AllocateForLevelEii@Base 2.8.4 + _ZN15LineLayoutCache7DisposeEP10LineLayout@Base 2.8.4 + (arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN15LineLayoutCache8AllocateEj@Base 2.9 + (arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN15LineLayoutCache8AllocateEm@Base 2.9 + _ZN15LineLayoutCache8RetrieveEiiiiii@Base 2.8.4 + _ZN15LineLayoutCache8SetLevelEi@Base 2.8.4 + _ZN15LineLayoutCacheC1Ev@Base 2.8.4 + _ZN15LineLayoutCacheC2Ev@Base 2.8.4 + _ZN15LineLayoutCacheD0Ev@Base 2.8.4 + _ZN15LineLayoutCacheD1Ev@Base 2.8.4 + _ZN15LineLayoutCacheD2Ev@Base 2.8.4 + _ZN15MarkerHandleSet11CombineWithEPS_@Base 2.8.4 + _ZN15MarkerHandleSet12InsertHandleEii@Base 2.8.4 + _ZN15MarkerHandleSet12RemoveHandleEi@Base 2.8.4 + _ZN15MarkerHandleSet12RemoveNumberEib@Base 2.8.4 + _ZN15MarkerHandleSetC1Ev@Base 2.8.4 + _ZN15MarkerHandleSetC2Ev@Base 2.8.4 + _ZN15MarkerHandleSetD1Ev@Base 2.8.4 + _ZN15MarkerHandleSetD2Ev@Base 2.8.4 + _ZN15OptionsRegistryC1Ev@Base 2.9 + _ZN15OptionsRegistryC2Ev@Base 2.9 + _ZN15PrintParametersC1Ev@Base 2.8.4 + _ZN15PrintParametersC2Ev@Base 2.8.4 + (optional=templinst|arch=amd64 armhf i386 kfreebsd-amd64 kfreebsd-i386 mips mipsel)_ZN15QBasicAtomicOpsILi4EE3refIiEEbRT_@Base 2.8.4 + (optional=templinst|arch=amd64 armhf i386 kfreebsd-amd64 kfreebsd-i386 mips mipsel)_ZN15QBasicAtomicOpsILi4EE5derefIiEEbRT_@Base 2.8.4 + _ZN15QTextCharFormatD1Ev@Base 2.8.4 + _ZN15QTextCharFormatD2Ev@Base 2.8.4 + (optional=templinst)_ZN15QTypedArrayDataI7QPointFE10deallocateEP10QArrayData@Base 2.8.4 + (optional=templinst)_ZN15QTypedArrayDataI7QPointFE10sharedNullEv@Base 2.8.4 + (optional=templinst)_ZN15QTypedArrayDataI7QPointFE15unsharableEmptyEv@Base 2.8.4 + (optional=templinst)_ZN15QTypedArrayDataI7QPointFE3endEPS0_@Base 2.8.4 + (optional=templinst)_ZN15QTypedArrayDataI7QPointFE4dataEv@Base 2.8.4 + (optional=templinst)_ZN15QTypedArrayDataI7QPointFE5beginEPS0_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN15QTypedArrayDataI7QPointFE8allocateEj6QFlagsIN10QArrayData16AllocationOptionEE@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN15QTypedArrayDataI7QPointFE8allocateEm6QFlagsIN10QArrayData16AllocationOptionEE@Base 2.8.4 + (optional=templinst)_ZN15QTypedArrayDataIcE10deallocateEP10QArrayData@Base 2.8.4 + (optional=templinst)_ZN15QTypedArrayDataIcE10sharedNullEv@Base 2.8.4 + (optional=templinst)_ZN15QTypedArrayDataIcE4dataEv@Base 2.8.4 + (optional=templinst)_ZN15QTypedArrayDataIlE10deallocateEP10QArrayData@Base 2.8.4 + (optional=templinst)_ZN15QTypedArrayDataIlE10sharedNullEv@Base 2.8.4 + (optional=templinst)_ZN15QTypedArrayDataIlE15unsharableEmptyEv@Base 2.8.4 + (optional=templinst)_ZN15QTypedArrayDataIlE3endEPl@Base 2.8.4 + (optional=templinst)_ZN15QTypedArrayDataIlE4dataEv@Base 2.8.4 + (optional=templinst)_ZN15QTypedArrayDataIlE5beginEPl@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN15QTypedArrayDataIlE8allocateEj6QFlagsIN10QArrayData16AllocationOptionEE@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN15QTypedArrayDataIlE8allocateEm6QFlagsIN10QArrayData16AllocationOptionEE@Base 2.8.4 + (optional=templinst)_ZN15QTypedArrayDataItE10deallocateEP10QArrayData@Base 2.8.4 + (optional=templinst)_ZN15QTypedArrayDataItE10sharedNullEv@Base 2.8.4 + (optional=templinst)_ZN15QTypedArrayDataItE4dataEv@Base 2.8.4 + (optional=templinst)_ZN15QVarLengthArrayIcLi1024EE4dataEv@Base 2.8.4 + (optional=templinst)_ZN15QVarLengthArrayIcLi1024EEC1Ei@Base 2.8.4 + (optional=templinst)_ZN15QVarLengthArrayIcLi1024EEC2Ei@Base 2.8.4 + (optional=templinst)_ZN15QVarLengthArrayIcLi1024EED1Ev@Base 2.8.4 + (optional=templinst)_ZN15QVarLengthArrayIcLi1024EED2Ev@Base 2.8.4 + _ZN15QsciLexerCSharp11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN15QsciLexerCSharp11qt_metacastEPKc@Base 2.8.4 + _ZN15QsciLexerCSharp16staticMetaObjectE@Base 2.8.4 + _ZN15QsciLexerCSharp2trEPKcS1_i@Base 2.8.4 + _ZN15QsciLexerCSharpC1EP7QObject@Base 2.8.4 + _ZN15QsciLexerCSharpC2EP7QObject@Base 2.8.4 + _ZN15QsciLexerCSharpD0Ev@Base 2.8.4 + _ZN15QsciLexerCSharpD1Ev@Base 2.8.4 + _ZN15QsciLexerCSharpD2Ev@Base 2.8.4 + _ZN15QsciLexerCustom10setStylingEiRK9QsciStyle@Base 2.8.4 + _ZN15QsciLexerCustom10setStylingEii@Base 2.8.4 + _ZN15QsciLexerCustom11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN15QsciLexerCustom11qt_metacastEPKc@Base 2.8.4 + _ZN15QsciLexerCustom12startStylingEii@Base 2.8.4 + _ZN15QsciLexerCustom16staticMetaObjectE@Base 2.8.4 + _ZN15QsciLexerCustom17handleStyleNeededEi@Base 2.8.4 + _ZN15QsciLexerCustom9setEditorEP13QsciScintilla@Base 2.8.4 + _ZN15QsciLexerCustomC1EP7QObject@Base 2.8.4 + _ZN15QsciLexerCustomC2EP7QObject@Base 2.8.4 + _ZN15QsciLexerCustomD0Ev@Base 2.8.4 + _ZN15QsciLexerCustomD1Ev@Base 2.8.4 + _ZN15QsciLexerCustomD2Ev@Base 2.8.4 + _ZN15QsciLexerMatlab11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN15QsciLexerMatlab11qt_metacastEPKc@Base 2.8.4 + _ZN15QsciLexerMatlab16staticMetaObjectE@Base 2.8.4 + _ZN15QsciLexerMatlab2trEPKcS1_i@Base 2.8.4 + _ZN15QsciLexerMatlabC1EP7QObject@Base 2.8.4 + _ZN15QsciLexerMatlabC2EP7QObject@Base 2.8.4 + _ZN15QsciLexerMatlabD0Ev@Base 2.8.4 + _ZN15QsciLexerMatlabD1Ev@Base 2.8.4 + _ZN15QsciLexerMatlabD2Ev@Base 2.8.4 + _ZN15QsciLexerOctave11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN15QsciLexerOctave11qt_metacastEPKc@Base 2.8.4 + _ZN15QsciLexerOctave16staticMetaObjectE@Base 2.8.4 + _ZN15QsciLexerOctaveC1EP7QObject@Base 2.8.4 + _ZN15QsciLexerOctaveC2EP7QObject@Base 2.8.4 + _ZN15QsciLexerOctaveD0Ev@Base 2.8.4 + _ZN15QsciLexerOctaveD1Ev@Base 2.8.4 + _ZN15QsciLexerOctaveD2Ev@Base 2.8.4 + _ZN15QsciLexerPascal11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN15QsciLexerPascal11qt_metacastEPKc@Base 2.8.4 + _ZN15QsciLexerPascal14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN15QsciLexerPascal14setCommentPropEv@Base 2.8.4 + _ZN15QsciLexerPascal14setCompactPropEv@Base 2.8.4 + _ZN15QsciLexerPascal14setFoldCompactEb@Base 2.8.4 + _ZN15QsciLexerPascal14setPreprocPropEv@Base 2.8.4 + _ZN15QsciLexerPascal15setFoldCommentsEb@Base 2.8.4 + _ZN15QsciLexerPascal16staticMetaObjectE@Base 2.8.4 + _ZN15QsciLexerPascal17refreshPropertiesEv@Base 2.8.4 + _ZN15QsciLexerPascal19setFoldPreprocessorEb@Base 2.8.4 + _ZN15QsciLexerPascal20setSmartHighlightingEb@Base 2.8.4 + _ZN15QsciLexerPascal21setSmartHighlightPropEv@Base 2.8.4 + _ZN15QsciLexerPascal2trEPKcS1_i@Base 2.8.4 + _ZN15QsciLexerPascalC1EP7QObject@Base 2.8.4 + _ZN15QsciLexerPascalC2EP7QObject@Base 2.8.4 + _ZN15QsciLexerPascalD0Ev@Base 2.8.4 + _ZN15QsciLexerPascalD1Ev@Base 2.8.4 + _ZN15QsciLexerPascalD2Ev@Base 2.8.4 + _ZN15QsciLexerPython11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN15QsciLexerPython11qt_metacastEPKc@Base 2.8.4 + _ZN15QsciLexerPython12keywordClassE@Base 2.8.4 + _ZN15QsciLexerPython13setFoldQuotesEb@Base 2.8.4 + _ZN15QsciLexerPython13setQuotesPropEv@Base 2.8.4 + _ZN15QsciLexerPython14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN15QsciLexerPython14setCommentPropEv@Base 2.8.4 + _ZN15QsciLexerPython14setCompactPropEv@Base 2.8.4 + _ZN15QsciLexerPython14setFoldCompactEb@Base 2.8.4 + _ZN15QsciLexerPython14setV3BytesPropEv@Base 2.8.4 + _ZN15QsciLexerPython15setFoldCommentsEb@Base 2.8.4 + _ZN15QsciLexerPython16setTabWhingePropEv@Base 2.8.4 + _ZN15QsciLexerPython16setV2UnicodePropEv@Base 2.8.4 + _ZN15QsciLexerPython16staticMetaObjectE@Base 2.8.4 + _ZN15QsciLexerPython17refreshPropertiesEv@Base 2.8.4 + _ZN15QsciLexerPython17setV3BytesAllowedEb@Base 2.8.4 + _ZN15QsciLexerPython19setV2UnicodeAllowedEb@Base 2.8.4 + _ZN15QsciLexerPython20setV3BinaryOctalPropEv@Base 2.8.4 + _ZN15QsciLexerPython21setIndentationWarningENS_18IndentationWarningE@Base 2.8.4 + _ZN15QsciLexerPython22setHighlightSubidsPropEv@Base 2.8.4 + _ZN15QsciLexerPython23setV3BinaryOctalAllowedEb@Base 2.8.4 + _ZN15QsciLexerPython25setStringsOverNewlinePropEv@Base 2.8.4 + _ZN15QsciLexerPython26setHighlightSubidentifiersEb@Base 2.8.4 + _ZN15QsciLexerPython28setStringsOverNewlineAllowedEb@Base 2.8.4 + _ZN15QsciLexerPython2trEPKcS1_i@Base 2.8.4 + _ZN15QsciLexerPythonC1EP7QObject@Base 2.8.4 + _ZN15QsciLexerPythonC2EP7QObject@Base 2.8.4 + _ZN15QsciLexerPythonD0Ev@Base 2.8.4 + _ZN15QsciLexerPythonD1Ev@Base 2.8.4 + _ZN15QsciLexerPythonD2Ev@Base 2.8.4 + _ZN15QsciScintillaQt10AddToPopUpEPKcib@Base 2.8.4 + _ZN15QsciScintillaQt10DefWndProcEjml@Base 2.8.4 + _ZN15QsciScintillaQt10InitialiseEv@Base 2.8.4 + _ZN15QsciScintillaQt10paintEventEP11QPaintEvent@Base 2.8.4 + _ZN15QsciScintillaQt10timerEventEP11QTimerEvent@Base 2.9 + _ZN15QsciScintillaQt11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.9 + _ZN15QsciScintillaQt11qt_metacastEPKc@Base 2.9 + _ZN15QsciScintillaQt12NotifyChangeEv@Base 2.8.4 + _ZN15QsciScintillaQt12NotifyParentE14SCNotification@Base 2.8.4 + _ZN15QsciScintillaQt14ClaimSelectionEv@Base 2.8.4 + _ZN15QsciScintillaQt14DirectFunctionEPS_jml@Base 2.8.4 + _ZN15QsciScintillaQt15CopyToClipboardERK13SelectionText@Base 2.8.4 + _ZN15QsciScintillaQt15FineTickerStartEN6Editor10TickReasonEii@Base 2.9 + _ZN15QsciScintillaQt15SetMouseCaptureEb@Base 2.8.4 + _ZN15QsciScintillaQt16FineTickerCancelEN6Editor10TickReasonE@Base 2.9 + _ZN15QsciScintillaQt16HaveMouseCaptureEv@Base 2.8.4 + _ZN15QsciScintillaQt16ModifyScrollBarsEii@Base 2.8.4 + _ZN15QsciScintillaQt16UnclaimSelectionEv@Base 2.8.4 + _ZN15QsciScintillaQt16staticMetaObjectE@Base 2.9 + _ZN15QsciScintillaQt17FineTickerRunningEN6Editor10TickReasonE@Base 2.9 + _ZN15QsciScintillaQt18pasteFromClipboardEN10QClipboard4ModeE@Base 2.8.4 + _ZN15QsciScintillaQt19CreateCallTipWindowE10PRectangle@Base 2.8.4 + _ZN15QsciScintillaQt19FineTickerAvailableEv@Base 2.9 + _ZN15QsciScintillaQt20SetVerticalScrollPosEv@Base 2.8.4 + _ZN15QsciScintillaQt21ReconfigureScrollBarsEv@Base 2.8.4 + _ZN15QsciScintillaQt22SetHorizontalScrollPosEv@Base 2.8.4 + _ZN15QsciScintillaQt4CopyEv@Base 2.8.4 + _ZN15QsciScintillaQt5PasteEv@Base 2.8.4 + _ZN15QsciScintillaQt6onIdleEv@Base 2.9 + _ZN15QsciScintillaQt7SetIdleEb@Base 2.9 + _ZN15QsciScintillaQt7WndProcEjml@Base 2.8.4 + _ZN15QsciScintillaQt8FinaliseEv@Base 2.8.4 + _ZN15QsciScintillaQt9StartDragEv@Base 2.8.4 + _ZN15QsciScintillaQtC1EP17QsciScintillaBase@Base 2.8.4 + _ZN15QsciScintillaQtC2EP17QsciScintillaBase@Base 2.8.4 + _ZN15QsciScintillaQtD0Ev@Base 2.8.4 + _ZN15QsciScintillaQtD1Ev@Base 2.8.4 + _ZN15QsciScintillaQtD2Ev@Base 2.8.4 + _ZN15QtSharedPointer20ExternalRefCountDataD1Ev@Base 2.8.4 + _ZN15QtSharedPointer20ExternalRefCountDataD2Ev@Base 2.8.4 + _ZN15QtSharedPointer20ExternalRefCountDatadlEPv@Base 2.8.4 + _ZN15RegexSearchBaseC1Ev@Base 2.8.4 + _ZN15RegexSearchBaseC2Ev@Base 2.8.4 + _ZN15RegexSearchBaseD0Ev@Base 2.8.4 + _ZN15RegexSearchBaseD1Ev@Base 2.8.4 + _ZN15RegexSearchBaseD2Ev@Base 2.8.4 + _ZN16CharacterIndexerC1Ev@Base 2.8.4 + _ZN16CharacterIndexerC2Ev@Base 2.8.4 + _ZN16CharacterIndexerD0Ev@Base 2.8.4 + _ZN16CharacterIndexerD1Ev@Base 2.8.4 + _ZN16CharacterIndexerD2Ev@Base 2.8.4 + _ZN16ContractionState10DeleteLineEi@Base 2.8.4 + _ZN16ContractionState10EnsureDataEv@Base 2.8.4 + _ZN16ContractionState10InsertLineEi@Base 2.8.4 + _ZN16ContractionState10SetVisibleEiib@Base 2.8.4 + _ZN16ContractionState11DeleteLinesEii@Base 2.8.4 + _ZN16ContractionState11InsertLinesEii@Base 2.8.4 + _ZN16ContractionState11SetExpandedEib@Base 2.8.4 + _ZN16ContractionState5ClearEv@Base 2.8.4 + _ZN16ContractionState7ShowAllEv@Base 2.8.4 + _ZN16ContractionState9SetHeightEii@Base 2.8.4 + _ZN16ContractionStateC1Ev@Base 2.8.4 + _ZN16ContractionStateC2Ev@Base 2.8.4 + _ZN16ContractionStateD0Ev@Base 2.8.4 + _ZN16ContractionStateD1Ev@Base 2.8.4 + _ZN16ContractionStateD2Ev@Base 2.8.4 + _ZN16FontMeasurements5ClearEv@Base 2.8.4 + _ZN16FontMeasurementsC1Ev@Base 2.8.4 + _ZN16FontMeasurementsC2Ev@Base 2.8.4 + _ZN16OptionSetHaskellC1Ev@Base 2.8.4 + _ZN16OptionSetHaskellC2Ev@Base 2.8.4 + _ZN16OptionSetHaskellD0Ev@Base 2.8.4 + _ZN16OptionSetHaskellD1Ev@Base 2.8.4 + _ZN16OptionSetHaskellD2Ev@Base 2.8.4 + _ZN16QCoreApplication8instanceEv@Base 2.8.4 + _ZN16QCoreApplication9sendEventEP7QObjectP6QEvent@Base 2.8.4 + _ZN16QsciAPIsPrepared11apiBaseNameERK7QString@Base 2.8.4 + _ZN16QsciAPIsPreparedC1Ev@Base 2.8.4 + _ZN16QsciAPIsPreparedC2Ev@Base 2.8.4 + _ZN16QsciAPIsPreparedD1Ev@Base 2.8.4 + _ZN16QsciAPIsPreparedD2Ev@Base 2.8.4 + _ZN16QsciAbstractAPIs11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN16QsciAbstractAPIs11qt_metacastEPKc@Base 2.8.4 + _ZN16QsciAbstractAPIs16staticMetaObjectE@Base 2.8.4 + _ZN16QsciAbstractAPIs22autoCompletionSelectedERK7QString@Base 2.8.4 + _ZN16QsciAbstractAPIsC1EP9QsciLexer@Base 2.8.4 + _ZN16QsciAbstractAPIsC2EP9QsciLexer@Base 2.8.4 + _ZN16QsciAbstractAPIsD0Ev@Base 2.8.4 + _ZN16QsciAbstractAPIsD1Ev@Base 2.8.4 + _ZN16QsciAbstractAPIsD2Ev@Base 2.8.4 + _ZN16QsciLexerFortran11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN16QsciLexerFortran11qt_metacastEPKc@Base 2.8.4 + _ZN16QsciLexerFortran16staticMetaObjectE@Base 2.8.4 + _ZN16QsciLexerFortranC1EP7QObject@Base 2.8.4 + _ZN16QsciLexerFortranC2EP7QObject@Base 2.8.4 + _ZN16QsciLexerFortranD0Ev@Base 2.8.4 + _ZN16QsciLexerFortranD1Ev@Base 2.8.4 + _ZN16QsciLexerFortranD2Ev@Base 2.8.4 + _ZN16QsciLexerVerilog11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN16QsciLexerVerilog11qt_metacastEPKc@Base 2.8.4 + _ZN16QsciLexerVerilog13setAtElsePropEv@Base 2.8.4 + _ZN16QsciLexerVerilog13setFoldAtElseEb@Base 2.8.4 + _ZN16QsciLexerVerilog14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN16QsciLexerVerilog14setCommentPropEv@Base 2.8.4 + _ZN16QsciLexerVerilog14setCompactPropEv@Base 2.8.4 + _ZN16QsciLexerVerilog14setFoldCompactEb@Base 2.8.4 + _ZN16QsciLexerVerilog14setPreprocPropEv@Base 2.8.4 + _ZN16QsciLexerVerilog15setAtModulePropEv@Base 2.8.4 + _ZN16QsciLexerVerilog15setFoldAtModuleEb@Base 2.8.4 + _ZN16QsciLexerVerilog15setFoldCommentsEb@Base 2.8.4 + _ZN16QsciLexerVerilog16staticMetaObjectE@Base 2.8.4 + _ZN16QsciLexerVerilog17refreshPropertiesEv@Base 2.8.4 + _ZN16QsciLexerVerilog19setFoldPreprocessorEb@Base 2.8.4 + _ZN16QsciLexerVerilog2trEPKcS1_i@Base 2.8.4 + _ZN16QsciLexerVerilogC1EP7QObject@Base 2.8.4 + _ZN16QsciLexerVerilogC2EP7QObject@Base 2.8.4 + _ZN16QsciLexerVerilogD0Ev@Base 2.8.4 + _ZN16QsciLexerVerilogD1Ev@Base 2.8.4 + _ZN16QsciLexerVerilogD2Ev@Base 2.8.4 + _ZN16SelectionSegment6ExtendE17SelectionPosition@Base 2.8.4 + _ZN16SelectionSegmentC1E17SelectionPositionS0_@Base 2.8.4 + _ZN16SelectionSegmentC1Ev@Base 2.8.4 + _ZN16SelectionSegmentC2E17SelectionPositionS0_@Base 2.8.4 + _ZN16SelectionSegmentC2Ev@Base 2.8.4 + (arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN17CaseFolderUnicode4FoldEPcjPKcj@Base 2.9 + (arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN17CaseFolderUnicode4FoldEPcmPKcm@Base 2.9 + _ZN17CaseFolderUnicodeC1Ev@Base 2.8.4 + _ZN17CaseFolderUnicodeC2Ev@Base 2.8.4 + _ZN17CaseFolderUnicodeD0Ev@Base 2.8.4 + _ZN17CaseFolderUnicodeD1Ev@Base 2.8.4 + _ZN17CaseFolderUnicodeD2Ev@Base 2.8.4 + _ZN17FontSpecificationC1Ev@Base 2.8.4 + _ZN17FontSpecificationC2Ev@Base 2.8.4 + _ZN17LexerNoExceptions11PropertySetEPKcS1_@Base 2.8.4 + _ZN17LexerNoExceptions11WordListSetEiPKc@Base 2.8.4 + _ZN17LexerNoExceptions3LexEjiiP9IDocument@Base 2.8.4 + _ZN17LexerNoExceptions4FoldEjiiP9IDocument@Base 2.8.4 + _ZN17LexerVisualProlog11PrivateCallEiPv@Base 2.8.4 + _ZN17LexerVisualProlog11PropertySetEPKcS1_@Base 2.8.4 + _ZN17LexerVisualProlog11WordListSetEiPKc@Base 2.8.4 + _ZN17LexerVisualProlog12PropertyTypeEPKc@Base 2.8.4 + _ZN17LexerVisualProlog13PropertyNamesEv@Base 2.8.4 + _ZN17LexerVisualProlog16DescribePropertyEPKc@Base 2.8.4 + _ZN17LexerVisualProlog20DescribeWordListSetsEv@Base 2.8.4 + _ZN17LexerVisualProlog24LexerFactoryVisualPrologEv@Base 2.8.4 + _ZN17LexerVisualProlog3LexEjiiP9IDocument@Base 2.8.4 + _ZN17LexerVisualProlog4FoldEjiiP9IDocument@Base 2.8.4 + _ZN17LexerVisualProlog7ReleaseEv@Base 2.8.4 + _ZN17LexerVisualPrologC1Ev@Base 2.8.4 + _ZN17LexerVisualPrologC2Ev@Base 2.8.4 + _ZN17LexerVisualPrologD0Ev@Base 2.8.4 + _ZN17LexerVisualPrologD1Ev@Base 2.8.4 + _ZN17LexerVisualPrologD2Ev@Base 2.8.4 + _ZN17OptionSetRegistryC1Ev@Base 2.9 + _ZN17OptionSetRegistryC2Ev@Base 2.9 + _ZN17OptionSetRegistryD0Ev@Base 2.9 + _ZN17OptionSetRegistryD1Ev@Base 2.9 + _ZN17OptionSetRegistryD2Ev@Base 2.9 + (optional=templinst)_ZN17QForeachContainerI5QListI9QFileInfoEEC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN17QForeachContainerI5QListI9QFileInfoEEC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN17QForeachContainerI5QListI9QFileInfoEED1Ev@Base 2.8.4 + (optional=templinst)_ZN17QForeachContainerI5QListI9QFileInfoEED2Ev@Base 2.8.4 + (optional=templinst)_ZN17QForeachContainerIK5QListIN17QInputMethodEvent9AttributeEEEC1ERS4_@Base 2.8.4 + (optional=templinst)_ZN17QForeachContainerIK5QListIN17QInputMethodEvent9AttributeEEEC2ERS4_@Base 2.8.4 + (optional=templinst)_ZN17QForeachContainerIK5QListIN17QInputMethodEvent9AttributeEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN17QForeachContainerIK5QListIN17QInputMethodEvent9AttributeEEED2Ev@Base 2.8.4 + _ZN17QInputMethodEvent9AttributeC1ERKS0_@Base 2.8.4 + _ZN17QInputMethodEvent9AttributeC2ERKS0_@Base 2.8.4 + _ZN17QInputMethodEvent9AttributeD1Ev@Base 2.8.4 + _ZN17QInputMethodEvent9AttributeD2Ev@Base 2.8.4 + _ZN17QsciLexerMakefile11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN17QsciLexerMakefile11qt_metacastEPKc@Base 2.8.4 + _ZN17QsciLexerMakefile16staticMetaObjectE@Base 2.8.4 + _ZN17QsciLexerMakefile2trEPKcS1_i@Base 2.8.4 + _ZN17QsciLexerMakefileC1EP7QObject@Base 2.8.4 + _ZN17QsciLexerMakefileC2EP7QObject@Base 2.8.4 + _ZN17QsciLexerMakefileD0Ev@Base 2.8.4 + _ZN17QsciLexerMakefileD1Ev@Base 2.8.4 + _ZN17QsciLexerMakefileD2Ev@Base 2.8.4 + _ZN17QsciScintillaBase10commandKeyEiRi@Base 2.8.4 + _ZN17QsciScintillaBase10paintEventEP11QPaintEvent@Base 2.8.4 + _ZN17QsciScintillaBase11SCEN_CHANGEEv@Base 2.8.4 + _ZN17QsciScintillaBase11SCN_FOCUSINEv@Base 2.8.4 + _ZN17QsciScintillaBase11SCN_PAINTEDEv@Base 2.8.4 + _ZN17QsciScintillaBase11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN17QsciScintillaBase11qt_metacastEPKc@Base 2.8.4 + _ZN17QsciScintillaBase11resizeEventEP12QResizeEvent@Base 2.8.4 + _ZN17QsciScintillaBase12SCN_DWELLENDEiii@Base 2.8.4 + _ZN17QsciScintillaBase12SCN_FOCUSOUTEv@Base 2.8.4 + _ZN17QsciScintillaBase12SCN_MODIFIEDEiiPKciiiiiii@Base 2.8.4 + _ZN17QsciScintillaBase12SCN_UPDATEUIEi@Base 2.8.4 + _ZN17QsciScintillaBase12acceptActionEP10QDropEvent@Base 2.8.4 + _ZN17QsciScintillaBase12focusInEventEP11QFocusEvent@Base 2.8.4 + _ZN17QsciScintillaBase13SCN_CHARADDEDEi@Base 2.8.4 + _ZN17QsciScintillaBase13SCN_NEEDSHOWNEii@Base 2.8.4 + _ZN17QsciScintillaBase13dragMoveEventEP14QDragMoveEvent@Base 2.8.4 + _ZN17QsciScintillaBase13focusOutEventEP11QFocusEvent@Base 2.8.4 + _ZN17QsciScintillaBase13keyPressEventEP9QKeyEvent@Base 2.8.4 + _ZN17QsciScintillaBase13setScrollBarsEv@Base 2.8.4 + _ZN17QsciScintillaBase14SCN_DWELLSTARTEiii@Base 2.8.4 + _ZN17QsciScintillaBase14dragEnterEventEP15QDragEnterEvent@Base 2.8.4 + _ZN17QsciScintillaBase14dragLeaveEventEP15QDragLeaveEvent@Base 2.8.4 + _ZN17QsciScintillaBase14mouseMoveEventEP11QMouseEvent@Base 2.8.4 + _ZN17QsciScintillaBase15QSCN_SELCHANGEDEb@Base 2.8.4 + _ZN17QsciScintillaBase15SCN_DOUBLECLICKEiii@Base 2.8.4 + _ZN17QsciScintillaBase15SCN_MACRORECORDEjmPv@Base 2.8.4 + _ZN17QsciScintillaBase15SCN_MARGINCLICKEiii@Base 2.8.4 + _ZN17QsciScintillaBase15SCN_STYLENEEDEDEi@Base 2.8.4 + _ZN17QsciScintillaBase15handleSelectionEv@Base 2.8.4 + _ZN17QsciScintillaBase15mousePressEventEP11QMouseEvent@Base 2.8.4 + _ZN17QsciScintillaBase16SCN_CALLTIPCLICKEi@Base 2.8.4 + _ZN17QsciScintillaBase16SCN_HOTSPOTCLICKEii@Base 2.8.4 + _ZN17QsciScintillaBase16contextMenuEventEP17QContextMenuEvent@Base 2.8.4 + _ZN17QsciScintillaBase16inputMethodEventEP17QInputMethodEvent@Base 2.8.4 + _ZN17QsciScintillaBase16scrollContentsByEii@Base 2.8.4 + _ZN17QsciScintillaBase16staticMetaObjectE@Base 2.8.4 + _ZN17QsciScintillaBase17SCN_SAVEPOINTLEFTEv@Base 2.8.4 + _ZN17QsciScintillaBase17mouseReleaseEventEP11QMouseEvent@Base 2.8.4 + _ZN17QsciScintillaBase18SCN_AUTOCCANCELLEDEv@Base 2.8.4 + _ZN17QsciScintillaBase18SCN_AUTOCSELECTIONEPKci@Base 2.8.4 + _ZN17QsciScintillaBase18SCN_INDICATORCLICKEii@Base 2.8.4 + _ZN17QsciScintillaBase18focusNextPrevChildEb@Base 2.8.4 + _ZN17QsciScintillaBase19SCN_MODIFYATTEMPTROEv@Base 2.8.4 + _ZN17QsciScintillaBase20SCN_AUTOCCHARDELETEDEv@Base 2.8.4 + _ZN17QsciScintillaBase20SCN_INDICATORRELEASEEii@Base 2.8.4 + _ZN17QsciScintillaBase20SCN_SAVEPOINTREACHEDEv@Base 2.8.4 + _ZN17QsciScintillaBase21SCN_USERLISTSELECTIONEPKci@Base 2.8.4 + _ZN17QsciScintillaBase21mouseDoubleClickEventEP11QMouseEvent@Base 2.8.4 + _ZN17QsciScintillaBase22SCN_HOTSPOTDOUBLECLICKEii@Base 2.8.4 + _ZN17QsciScintillaBase23SCN_HOTSPOTRELEASECLICKEii@Base 2.8.4 + _ZN17QsciScintillaBase4poolEv@Base 2.8.4 + _ZN17QsciScintillaBase8SCN_ZOOMEv@Base 2.8.4 + _ZN17QsciScintillaBase9dropEventEP10QDropEvent@Base 2.8.4 + _ZN17QsciScintillaBase9handleHSbEi@Base 2.8.4 + _ZN17QsciScintillaBase9handleVSbEi@Base 2.8.4 + _ZN17QsciScintillaBaseC1EP7QWidget@Base 2.8.4 + _ZN17QsciScintillaBaseC2EP7QWidget@Base 2.8.4 + _ZN17QsciScintillaBaseD0Ev@Base 2.8.4 + _ZN17QsciScintillaBaseD1Ev@Base 2.8.4 + _ZN17QsciScintillaBaseD2Ev@Base 2.8.4 + _ZN17SelectionPosition11SetPositionEi@Base 2.8.4 + _ZN17SelectionPosition15SetVirtualSpaceEi@Base 2.8.4 + _ZN17SelectionPosition19MoveForInsertDeleteEbii@Base 2.8.4 + _ZN17SelectionPosition3AddEi@Base 2.8.4 + _ZN17SelectionPosition5ResetEv@Base 2.8.4 + _ZN17SelectionPositionC1Eii@Base 2.8.4 + _ZN17SelectionPositionC2Eii@Base 2.8.4 + _ZN18DynamicLibraryImpl12FindFunctionEPKc@Base 2.8.4 + _ZN18DynamicLibraryImpl7IsValidEv@Base 2.8.4 + _ZN18DynamicLibraryImplC1EPKc@Base 2.8.4 + _ZN18DynamicLibraryImplC2EPKc@Base 2.8.4 + _ZN18DynamicLibraryImplD0Ev@Base 2.8.4 + _ZN18DynamicLibraryImplD1Ev@Base 2.8.4 + _ZN18DynamicLibraryImplD2Ev@Base 2.8.4 + _ZN18HighlightDelimiter5ClearEv@Base 2.8.4 + _ZN18HighlightDelimiterC1Ev@Base 2.8.4 + _ZN18HighlightDelimiterC2Ev@Base 2.8.4 + _ZN18PositionCacheEntry10ResetClockEv@Base 2.8.4 + _ZN18PositionCacheEntry3SetEjPKcjPfj@Base 2.8.4 + _ZN18PositionCacheEntry4HashEjPKcj@Base 2.8.4 + _ZN18PositionCacheEntry5ClearEv@Base 2.8.4 + _ZN18PositionCacheEntryC1Ev@Base 2.8.4 + _ZN18PositionCacheEntryC2Ev@Base 2.8.4 + _ZN18PositionCacheEntryD1Ev@Base 2.8.4 + _ZN18PositionCacheEntryD2Ev@Base 2.8.4 + (optional=templinst)_ZN18QAlgorithmsPrivate17qLowerBoundHelperIN5QListI7QStringE14const_iteratorES2_5qLessIS2_EEET_S7_S7_RKT0_T1_@Base 2.8.4 + _ZN18QMessageLogContextC1EPKciS1_S1_@Base 2.8.4 + _ZN18QMessageLogContextC2EPKciS1_S1_@Base 2.8.4 + _ZN18QsciLexerFortran7711qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN18QsciLexerFortran7711qt_metacastEPKc@Base 2.8.4 + _ZN18QsciLexerFortran7714readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN18QsciLexerFortran7714setCompactPropEv@Base 2.8.4 + _ZN18QsciLexerFortran7714setFoldCompactEb@Base 2.8.4 + _ZN18QsciLexerFortran7716staticMetaObjectE@Base 2.8.4 + _ZN18QsciLexerFortran7717refreshPropertiesEv@Base 2.8.4 + _ZN18QsciLexerFortran772trEPKcS1_i@Base 2.8.4 + _ZN18QsciLexerFortran77C1EP7QObject@Base 2.8.4 + _ZN18QsciLexerFortran77C2EP7QObject@Base 2.8.4 + _ZN18QsciLexerFortran77D0Ev@Base 2.8.4 + _ZN18QsciLexerFortran77D1Ev@Base 2.8.4 + _ZN18QsciLexerFortran77D2Ev@Base 2.8.4 + _ZN19ExternalLexerModule11SetExternalEPFPFP6ILexervEjEi@Base 2.8.4 + _ZN19ExternalLexerModuleC1EiPFvjiiPP8WordListR8AccessorEPKcS6_@Base 2.8.4 + _ZN19ExternalLexerModuleC2EiPFvjiiPP8WordListR8AccessorEPKcS6_@Base 2.8.4 + _ZN19ExternalLexerModuleD0Ev@Base 2.8.4 + _ZN19ExternalLexerModuleD1Ev@Base 2.8.4 + _ZN19ExternalLexerModuleD2Ev@Base 2.8.4 + _ZN19ILexerWithSubStylesC1Ev@Base 2.8.4 + _ZN19ILexerWithSubStylesC2Ev@Base 2.8.4 + _ZN19OptionsVisualPrologC1Ev@Base 2.8.4 + _ZN19OptionsVisualPrologC2Ev@Base 2.8.4 + (optional=templinst)_ZN19QBasicAtomicIntegerIiE3refEv@Base 2.8.4 + (optional=templinst)_ZN19QBasicAtomicIntegerIiE5derefEv@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN19QListSpecialMethodsI5QPairIjjEEC1Ev@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN19QListSpecialMethodsI5QPairIjjEEC2Ev@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN19QListSpecialMethodsI7QStringEC1Ev@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN19QListSpecialMethodsI7QStringEC2Ev@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN19QListSpecialMethodsIN9QsciMacro5MacroEEC1Ev@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN19QListSpecialMethodsIN9QsciMacro5MacroEEC2Ev@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN19QListSpecialMethodsIP11QsciCommandEC1Ev@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN19QListSpecialMethodsIP11QsciCommandEC2Ev@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN19QListSpecialMethodsIP17QsciScintillaBaseEC1Ev@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN19QListSpecialMethodsIP17QsciScintillaBaseEC2Ev@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN19QListSpecialMethodsIiEC1Ev@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN19QListSpecialMethodsIiEC2Ev@Base 2.9 + _ZN19QsciLexerJavaScript11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN19QsciLexerJavaScript11qt_metacastEPKc@Base 2.8.4 + _ZN19QsciLexerJavaScript12keywordClassE@Base 2.8.4 + _ZN19QsciLexerJavaScript16staticMetaObjectE@Base 2.8.4 + _ZN19QsciLexerJavaScript2trEPKcS1_i@Base 2.8.4 + _ZN19QsciLexerJavaScriptC1EP7QObject@Base 2.8.4 + _ZN19QsciLexerJavaScriptC2EP7QObject@Base 2.8.4 + _ZN19QsciLexerJavaScriptD0Ev@Base 2.8.4 + _ZN19QsciLexerJavaScriptD1Ev@Base 2.8.4 + _ZN19QsciLexerJavaScriptD2Ev@Base 2.8.4 + _ZN19QsciLexerPostScript11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN19QsciLexerPostScript11qt_metacastEPKc@Base 2.8.4 + _ZN19QsciLexerPostScript11setTokenizeEb@Base 2.8.4 + _ZN19QsciLexerPostScript12setLevelPropEv@Base 2.8.4 + _ZN19QsciLexerPostScript13setAtElsePropEv@Base 2.8.4 + _ZN19QsciLexerPostScript13setFoldAtElseEb@Base 2.8.4 + _ZN19QsciLexerPostScript14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN19QsciLexerPostScript14setCompactPropEv@Base 2.8.4 + _ZN19QsciLexerPostScript14setFoldCompactEb@Base 2.8.4 + _ZN19QsciLexerPostScript15setTokenizePropEv@Base 2.8.4 + _ZN19QsciLexerPostScript16staticMetaObjectE@Base 2.8.4 + _ZN19QsciLexerPostScript17refreshPropertiesEv@Base 2.8.4 + _ZN19QsciLexerPostScript2trEPKcS1_i@Base 2.8.4 + _ZN19QsciLexerPostScript8setLevelEi@Base 2.8.4 + _ZN19QsciLexerPostScriptC1EP7QObject@Base 2.8.4 + _ZN19QsciLexerPostScriptC2EP7QObject@Base 2.8.4 + _ZN19QsciLexerPostScriptD0Ev@Base 2.8.4 + _ZN19QsciLexerPostScriptD1Ev@Base 2.8.4 + _ZN19QsciLexerPostScriptD2Ev@Base 2.8.4 + _ZN19QsciLexerProperties11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN19QsciLexerProperties11qt_metacastEPKc@Base 2.8.4 + _ZN19QsciLexerProperties14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN19QsciLexerProperties14setCompactPropEv@Base 2.8.4 + _ZN19QsciLexerProperties14setFoldCompactEb@Base 2.8.4 + _ZN19QsciLexerProperties16setInitialSpacesEb@Base 2.8.4 + _ZN19QsciLexerProperties16staticMetaObjectE@Base 2.8.4 + _ZN19QsciLexerProperties17refreshPropertiesEv@Base 2.8.4 + _ZN19QsciLexerProperties20setInitialSpacesPropEv@Base 2.8.4 + _ZN19QsciLexerProperties2trEPKcS1_i@Base 2.8.4 + _ZN19QsciLexerPropertiesC1EP7QObject@Base 2.8.4 + _ZN19QsciLexerPropertiesC2EP7QObject@Base 2.8.4 + _ZN19QsciLexerPropertiesD0Ev@Base 2.8.4 + _ZN19QsciLexerPropertiesD1Ev@Base 2.8.4 + _ZN19QsciLexerPropertiesD2Ev@Base 2.8.4 + _ZN20IDocumentWithLineEndC1Ev@Base 2.8.4 + _ZN20IDocumentWithLineEndC2Ev@Base 2.8.4 + _ZN20IdentifierClassifier18ClassifyIdentifierER12StyleContext@Base 2.8.4 + _ZN20IdentifierClassifierC1EPP8WordList@Base 2.8.4 + _ZN20IdentifierClassifierC2EPP8WordList@Base 2.8.4 + _ZN21OptionSetVisualPrologC1Ev@Base 2.8.4 + _ZN21OptionSetVisualPrologC2Ev@Base 2.8.4 + _ZN21OptionSetVisualPrologD0Ev@Base 2.8.4 + _ZN21OptionSetVisualPrologD1Ev@Base 2.8.4 + _ZN21OptionSetVisualPrologD2Ev@Base 2.8.4 + _ZN21QsciLexerCoffeeScript11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN21QsciLexerCoffeeScript11qt_metacastEPKc@Base 2.8.4 + _ZN21QsciLexerCoffeeScript14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN21QsciLexerCoffeeScript14setCommentPropEv@Base 2.8.4 + _ZN21QsciLexerCoffeeScript14setCompactPropEv@Base 2.8.4 + _ZN21QsciLexerCoffeeScript14setDollarsPropEv@Base 2.8.4 + _ZN21QsciLexerCoffeeScript14setFoldCompactEb@Base 2.8.4 + _ZN21QsciLexerCoffeeScript15setFoldCommentsEb@Base 2.8.4 + _ZN21QsciLexerCoffeeScript16staticMetaObjectE@Base 2.8.4 + _ZN21QsciLexerCoffeeScript17refreshPropertiesEv@Base 2.8.4 + _ZN21QsciLexerCoffeeScript17setDollarsAllowedEb@Base 2.8.4 + _ZN21QsciLexerCoffeeScript19setStylePreprocPropEv@Base 2.8.4 + _ZN21QsciLexerCoffeeScript20setStylePreprocessorEb@Base 2.8.4 + _ZN21QsciLexerCoffeeScript2trEPKcS1_i@Base 2.8.4 + _ZN21QsciLexerCoffeeScriptC1EP7QObject@Base 2.8.4 + _ZN21QsciLexerCoffeeScriptC2EP7QObject@Base 2.8.4 + _ZN21QsciLexerCoffeeScriptD0Ev@Base 2.8.4 + _ZN21QsciLexerCoffeeScriptD1Ev@Base 2.8.4 + _ZN21QsciLexerCoffeeScriptD2Ev@Base 2.8.4 + _ZN22SpecialRepresentations17SetRepresentationEPKcS1_@Base 2.8.4 + _ZN22SpecialRepresentations19ClearRepresentationEPKc@Base 2.8.4 + _ZN22SpecialRepresentations5ClearEv@Base 2.8.4 + _ZN22SpecialRepresentationsC1Ev@Base 2.8.4 + _ZN22SpecialRepresentationsC2Ev@Base 2.8.4 + _ZN22SpecialRepresentationsD1Ev@Base 2.8.4 + _ZN22SpecialRepresentationsD2Ev@Base 2.8.4 + _ZN23SplitVectorWithRangeAdd13RangeAddDeltaEiii@Base 2.8.4 + _ZN23SplitVectorWithRangeAddC1Ei@Base 2.8.4 + _ZN23SplitVectorWithRangeAddC2Ei@Base 2.8.4 + _ZN23SplitVectorWithRangeAddD1Ev@Base 2.8.4 + _ZN23SplitVectorWithRangeAddD2Ev@Base 2.8.4 + _ZN3XPM4DrawEP7SurfaceR10PRectangle@Base 2.8.4 + _ZN3XPMC1EPKPKc@Base 2.8.4 + _ZN3XPMC1EPKc@Base 2.8.4 + _ZN3XPMC2EPKPKc@Base 2.8.4 + _ZN3XPMC2EPKc@Base 2.8.4 + _ZN3XPMD1Ev@Base 2.8.4 + _ZN3XPMD2Ev@Base 2.8.4 + _ZN4Font5GetIDEv@Base 2.8.4 + _ZN4Font5SetIDEPv@Base 2.8.4 + _ZN4Font6CreateERK14FontParameters@Base 2.8.4 + _ZN4Font7ReleaseEv@Base 2.8.4 + _ZN4FontC1Ev@Base 2.8.4 + _ZN4FontC2Ev@Base 2.8.4 + _ZN4FontD0Ev@Base 2.8.4 + _ZN4FontD1Ev@Base 2.8.4 + _ZN4FontD2Ev@Base 2.8.4 + _ZN4Menu11CreatePopUpEv@Base 2.8.4 + _ZN4Menu4ShowE5PointR6Window@Base 2.8.4 + _ZN4Menu5GetIDEv@Base 2.8.4 + _ZN4Menu7DestroyEv@Base 2.8.4 + _ZN4MenuC1Ev@Base 2.8.4 + _ZN4MenuC2Ev@Base 2.8.4 + _ZN4QDir4homeEv@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEE10lowerBoundERKS0_@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEE11insertMultiERKS0_RKS4_@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEE13detach_helperEv@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEE14const_iteratorC1EPK8QMapNodeIS0_S4_E@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEE14const_iteratorC1ERKNS5_8iteratorE@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEE14const_iteratorC2EPK8QMapNodeIS0_S4_E@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEE14const_iteratorC2ERKNS5_8iteratorE@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEE14const_iteratormmEv@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEE14const_iteratorppEv@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEE3endEv@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEE4swapERS5_@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEE5beginEv@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEE5clearEv@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEE6detachEv@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEE6insertERKS0_RKS4_@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEE8iteratorC1EP8QMapNodeIS0_S4_E@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEE8iteratorC2EP8QMapNodeIS0_S4_E@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEEC1ERKS5_@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEEC2ERKS5_@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEED2Ev@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEEaSERKS5_@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QString5QListI5QPairIjjEEEixERKS0_@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_E10lowerBoundERKS0_@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_E13detach_helperEv@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_E14const_iteratorC1ERKNS1_8iteratorE@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_E14const_iteratorC2ERKNS1_8iteratorE@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_E14const_iteratorppEv@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_E3endEv@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_E4swapERS1_@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_E5clearEv@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_E6detachEv@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_E6insertERKS0_S3_@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_E8iteratorC1EP8QMapNodeIS0_S0_E@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_E8iteratorC2EP8QMapNodeIS0_S0_E@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_EC1ERKS1_@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_EC1Ev@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_EC2ERKS1_@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_EC2Ev@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_ED1Ev@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_ED2Ev@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_EaSERKS1_@Base 2.8.4 + (optional=templinst)_ZN4QMapI7QStringS0_EixERKS0_@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapE13detach_helperEv@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapE14const_iteratorC1ERKNS1_8iteratorE@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapE14const_iteratorC1Ev@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapE14const_iteratorC2ERKNS1_8iteratorE@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapE14const_iteratorC2Ev@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapE14const_iteratorppEv@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapE3endEv@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapE4findERKi@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapE4swapERS1_@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapE5beginEv@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapE5clearEv@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapE6detachEv@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapE6insertERKiRKS0_@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapE8iteratorC1EP8QMapNodeIiS0_E@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapE8iteratorC2EP8QMapNodeIiS0_E@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapEC1ERKS1_@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapEC1Ev@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapEC2ERKS1_@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapEC2Ev@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapED1Ev@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapED2Ev@Base 2.8.4 + (optional=templinst)_ZN4QMapIi7QPixmapEaSERKS1_@Base 2.8.4 + (optional=templinst)_ZN4QMapIiN9QsciLexer9StyleDataEE13detach_helperEv@Base 2.8.4 + (optional=templinst)_ZN4QMapIiN9QsciLexer9StyleDataEE6detachEv@Base 2.8.4 + (optional=templinst)_ZN4QMapIiN9QsciLexer9StyleDataEE6insertERKiRKS1_@Base 2.8.4 + (optional=templinst)_ZN4QMapIiN9QsciLexer9StyleDataEE8iteratorC1EP8QMapNodeIiS1_E@Base 2.8.4 + (optional=templinst)_ZN4QMapIiN9QsciLexer9StyleDataEE8iteratorC2EP8QMapNodeIiS1_E@Base 2.8.4 + (optional=templinst)_ZN4QMapIiN9QsciLexer9StyleDataEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN4QMapIiN9QsciLexer9StyleDataEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN4QMapIiN9QsciLexer9StyleDataEED1Ev@Base 2.8.4 + (optional=templinst)_ZN4QMapIiN9QsciLexer9StyleDataEED2Ev@Base 2.8.4 + (optional=templinst)_ZN4QMapIiN9QsciLexer9StyleDataEEixERKi@Base 2.8.4 + _ZN5AfterC1Ei@Base 2.8.4 + _ZN5AfterC2Ei@Base 2.8.4 + _ZN5CaretC1Ev@Base 2.8.4 + _ZN5CaretC2Ev@Base 2.8.4 + _ZN5IdlerC1Ev@Base 2.8.4 + _ZN5IdlerC2Ev@Base 2.8.4 + _ZN5Point8FromIntsEii@Base 2.9 + _ZN5Point8FromLongEl@Base 2.8.4 + _ZN5PointC1Eff@Base 2.8.4 + _ZN5PointC2Eff@Base 2.8.4 + _ZN5QChar10fromLatin1Ec@Base 2.8.4 + _ZN5QChar7isSpaceEj@Base 2.8.4 + _ZN5QChar7unicodeEv@Base 2.8.4 + _ZN5QCharC1E11QLatin1Char@Base 2.8.4 + _ZN5QCharC1Ec@Base 2.8.4 + _ZN5QCharC1Ei@Base 2.8.4 + _ZN5QCharC1Et@Base 2.8.4 + _ZN5QCharC2E11QLatin1Char@Base 2.8.4 + _ZN5QCharC2Ec@Base 2.8.4 + _ZN5QCharC2Ei@Base 2.8.4 + _ZN5QCharC2Et@Base 2.8.4 + _ZN5QFlagC1Ei@Base 2.8.4 + _ZN5QFlagC1Ej@Base 2.8.4 + _ZN5QFlagC2Ei@Base 2.8.4 + _ZN5QFlagC2Ej@Base 2.8.4 + _ZN5QFont7setBoldEb@Base 2.8.4 + _ZN5QFont9setItalicEb@Base 2.8.4 + _ZN5QLineC1Eiiii@Base 2.8.4 + _ZN5QLineC2Eiiii@Base 2.8.4 + (optional=templinst)_ZN5QListI14QsciStyledTextE4Node1tEv@Base 2.8.4 + (optional=templinst)_ZN5QListI5QPairIjjEE13detach_helperEi@Base 2.8.4 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN5QListI5QPairIjjEE13node_destructEPNS2_4NodeE@Base 2.8.4 + (optional=templinst)_ZN5QListI5QPairIjjEE13node_destructEPNS2_4NodeES4_@Base 2.8.4 + (optional=templinst)_ZN5QListI5QPairIjjEE14node_constructEPNS2_4NodeERKS1_@Base 2.8.4 + (optional=templinst)_ZN5QListI5QPairIjjEE18detach_helper_growEii@Base 2.8.4 + (optional=templinst)_ZN5QListI5QPairIjjEE4Node1tEv@Base 2.8.4 + (optional=templinst)_ZN5QListI5QPairIjjEE4swapERS2_@Base 2.8.4 + (optional=templinst)_ZN5QListI5QPairIjjEE5clearEv@Base 2.8.4 + (optional=templinst)_ZN5QListI5QPairIjjEE6appendERKS1_@Base 2.8.4 + (optional=templinst)_ZN5QListI5QPairIjjEE7deallocEPN9QListData4DataE@Base 2.8.4 + (optional=templinst)_ZN5QListI5QPairIjjEE7reserveEi@Base 2.8.4 + (optional=templinst)_ZN5QListI5QPairIjjEE9node_copyEPNS2_4NodeES4_S4_@Base 2.8.4 + (optional=templinst)_ZN5QListI5QPairIjjEEC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN5QListI5QPairIjjEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN5QListI5QPairIjjEEC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN5QListI5QPairIjjEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN5QListI5QPairIjjEED1Ev@Base 2.8.4 + (optional=templinst)_ZN5QListI5QPairIjjEED2Ev@Base 2.8.4 + (optional=templinst)_ZN5QListI5QPairIjjEEaSERKS2_@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE10removeLastEv@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE13detach_helperEi@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE13detach_helperEv@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE13node_destructEPNS1_4NodeE@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE13node_destructEPNS1_4NodeES3_@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE14const_iteratorC1EPNS1_4NodeE@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE14const_iteratorC1ERKNS1_8iteratorE@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE14const_iteratorC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE14const_iteratorC1Ev@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE14const_iteratorC2EPNS1_4NodeE@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE14const_iteratorC2ERKNS1_8iteratorE@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE14const_iteratorC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE14const_iteratorC2Ev@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE14const_iteratorppEv@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE14node_constructEPNS1_4NodeERKS0_@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE18detach_helper_growEii@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE3endEv@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE4Node1tEv@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE4lastEv@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE4swapERS1_@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE5beginEv@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE5clearEv@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE5eraseENS1_8iteratorE@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE5firstEv@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE6appendERKS0_@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE6detachEv@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE7deallocEPN9QListData4DataE@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE7prependERKS0_@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE7reserveEi@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE8iteratorC1EPNS1_4NodeE@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE8iteratorC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE8iteratorC2EPNS1_4NodeE@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE8iteratorC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE8iteratormmEv@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE8iteratorpLEi@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE8removeAtEi@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringE9node_copyEPNS1_4NodeES3_S3_@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringEC1ERKS1_@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringEC1Ev@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringEC2ERKS1_@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringEC2Ev@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringED1Ev@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringED2Ev@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringEaSERKS1_@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringEixEi@Base 2.8.4 + (optional=templinst)_ZN5QListI7QStringEpLERKS0_@Base 2.8.4 + (optional=templinst)_ZN5QListI9QFileInfoE13node_destructEPNS1_4NodeES3_@Base 2.8.4 + (optional=templinst)_ZN5QListI9QFileInfoE14const_iteratorC1EPNS1_4NodeE@Base 2.8.4 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN5QListI9QFileInfoE14const_iteratorC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN5QListI9QFileInfoE14const_iteratorC2EPNS1_4NodeE@Base 2.8.4 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN5QListI9QFileInfoE14const_iteratorC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN5QListI9QFileInfoE14const_iteratorppEv@Base 2.8.4 + (optional=templinst)_ZN5QListI9QFileInfoE4Node1tEv@Base 2.8.4 + (optional=templinst)_ZN5QListI9QFileInfoE7deallocEPN9QListData4DataE@Base 2.8.4 + (optional=templinst)_ZN5QListI9QFileInfoE9node_copyEPNS1_4NodeES3_S3_@Base 2.8.4 + (optional=templinst)_ZN5QListI9QFileInfoEC1ERKS1_@Base 2.8.4 + (optional=templinst)_ZN5QListI9QFileInfoEC2ERKS1_@Base 2.8.4 + (optional=templinst)_ZN5QListI9QFileInfoED1Ev@Base 2.8.4 + (optional=templinst)_ZN5QListI9QFileInfoED2Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIN17QInputMethodEvent9AttributeEE13node_destructEPNS2_4NodeES4_@Base 2.8.4 + (optional=templinst)_ZN5QListIN17QInputMethodEvent9AttributeEE14const_iteratorC1EPNS2_4NodeE@Base 2.8.4 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN5QListIN17QInputMethodEvent9AttributeEE14const_iteratorC1ERKS3_@Base 2.8.4 + (optional=templinst)_ZN5QListIN17QInputMethodEvent9AttributeEE14const_iteratorC2EPNS2_4NodeE@Base 2.8.4 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN5QListIN17QInputMethodEvent9AttributeEE14const_iteratorC2ERKS3_@Base 2.8.4 + (optional=templinst)_ZN5QListIN17QInputMethodEvent9AttributeEE14const_iteratorppEv@Base 2.8.4 + (optional=templinst)_ZN5QListIN17QInputMethodEvent9AttributeEE4Node1tEv@Base 2.8.4 + (optional=templinst)_ZN5QListIN17QInputMethodEvent9AttributeEE7deallocEPN9QListData4DataE@Base 2.8.4 + (optional=templinst)_ZN5QListIN17QInputMethodEvent9AttributeEE9node_copyEPNS2_4NodeES4_S4_@Base 2.8.4 + (optional=templinst)_ZN5QListIN17QInputMethodEvent9AttributeEEC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN5QListIN17QInputMethodEvent9AttributeEEC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN5QListIN17QInputMethodEvent9AttributeEED1Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIN17QInputMethodEvent9AttributeEED2Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE13detach_helperEi@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE13detach_helperEv@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE13node_destructEPNS2_4NodeES4_@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE14const_iteratorC1EPNS2_4NodeE@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE14const_iteratorC1ERKNS2_8iteratorE@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE14const_iteratorC1Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE14const_iteratorC2EPNS2_4NodeE@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE14const_iteratorC2ERKNS2_8iteratorE@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE14const_iteratorC2Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE14const_iteratorppEv@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE14node_constructEPNS2_4NodeERKS1_@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE18detach_helper_growEii@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE3endEv@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE4Node1tEv@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE4lastEv@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE4swapERS2_@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE5beginEv@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE5clearEv@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE6appendERKS1_@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE6detachEv@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE7deallocEPN9QListData4DataE@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE8iteratorC1EPNS2_4NodeE@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE8iteratorC2EPNS2_4NodeE@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE8iteratormmEv@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEE9node_copyEPNS2_4NodeES4_S4_@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEEC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEEC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEED1Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEED2Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIN9QsciMacro5MacroEEaSERKS2_@Base 2.8.4 + (optional=templinst)_ZN5QListIP11QsciCommandE13node_destructEPNS2_4NodeE@Base 2.8.4 + (optional=templinst)_ZN5QListIP11QsciCommandE13node_destructEPNS2_4NodeES4_@Base 2.8.4 + (optional=templinst)_ZN5QListIP11QsciCommandE14node_constructEPNS2_4NodeERKS1_@Base 2.8.4 + (optional=templinst)_ZN5QListIP11QsciCommandE18detach_helper_growEii@Base 2.8.4 + (optional=templinst)_ZN5QListIP11QsciCommandE4Node1tEv@Base 2.8.4 + (optional=templinst)_ZN5QListIP11QsciCommandE6appendERKS1_@Base 2.8.4 + (optional=templinst)_ZN5QListIP11QsciCommandE7deallocEPN9QListData4DataE@Base 2.8.4 + (optional=templinst)_ZN5QListIP11QsciCommandE9node_copyEPNS2_4NodeES4_S4_@Base 2.8.4 + (optional=templinst)_ZN5QListIP11QsciCommandEC1Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIP11QsciCommandEC2Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIP11QsciCommandED1Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIP11QsciCommandED2Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIP15QListWidgetItemE13detach_helperEi@Base 2.8.4 + (optional=templinst)_ZN5QListIP15QListWidgetItemE13detach_helperEv@Base 2.8.4 + (optional=templinst)_ZN5QListIP15QListWidgetItemE13node_destructEPNS2_4NodeES4_@Base 2.8.4 + (optional=templinst)_ZN5QListIP15QListWidgetItemE4Node1tEv@Base 2.8.4 + (optional=templinst)_ZN5QListIP15QListWidgetItemE6detachEv@Base 2.8.4 + (optional=templinst)_ZN5QListIP15QListWidgetItemE7deallocEPN9QListData4DataE@Base 2.8.4 + (optional=templinst)_ZN5QListIP15QListWidgetItemE9node_copyEPNS2_4NodeES4_S4_@Base 2.8.4 + (optional=templinst)_ZN5QListIP15QListWidgetItemED1Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIP15QListWidgetItemED2Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIP15QListWidgetItemEixEi@Base 2.8.4 + (optional=templinst)_ZN5QListIP17QsciScintillaBaseE13detach_helperEi@Base 2.8.4 + (optional=templinst)_ZN5QListIP17QsciScintillaBaseE13detach_helperEv@Base 2.8.4 + (optional=templinst)_ZN5QListIP17QsciScintillaBaseE13node_destructEPNS2_4NodeE@Base 2.8.4 + (optional=templinst)_ZN5QListIP17QsciScintillaBaseE13node_destructEPNS2_4NodeES4_@Base 2.8.4 + (optional=templinst)_ZN5QListIP17QsciScintillaBaseE14node_constructEPNS2_4NodeERKS1_@Base 2.8.4 + (optional=templinst)_ZN5QListIP17QsciScintillaBaseE18detach_helper_growEii@Base 2.8.4 + (optional=templinst)_ZN5QListIP17QsciScintillaBaseE4Node1tEv@Base 2.8.4 + (optional=templinst)_ZN5QListIP17QsciScintillaBaseE5beginEv@Base 2.8.4 + (optional=templinst)_ZN5QListIP17QsciScintillaBaseE5firstEv@Base 2.8.4 + (optional=templinst)_ZN5QListIP17QsciScintillaBaseE6appendERKS1_@Base 2.8.4 + (optional=templinst)_ZN5QListIP17QsciScintillaBaseE6detachEv@Base 2.8.4 + (optional=templinst)_ZN5QListIP17QsciScintillaBaseE7deallocEPN9QListData4DataE@Base 2.8.4 + (optional=templinst)_ZN5QListIP17QsciScintillaBaseE8iteratorC1EPNS2_4NodeE@Base 2.8.4 + (optional=templinst)_ZN5QListIP17QsciScintillaBaseE8iteratorC2EPNS2_4NodeE@Base 2.8.4 + (optional=templinst)_ZN5QListIP17QsciScintillaBaseE8removeAtEi@Base 2.8.4 + (optional=templinst)_ZN5QListIP17QsciScintillaBaseE9node_copyEPNS2_4NodeES4_S4_@Base 2.8.4 + (optional=templinst)_ZN5QListIP17QsciScintillaBaseEC1Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIP17QsciScintillaBaseEC2Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIP17QsciScintillaBaseED1Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIP17QsciScintillaBaseED2Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIiE13detach_helperEi@Base 2.8.4 + (optional=templinst)_ZN5QListIiE13detach_helperEv@Base 2.8.4 + (optional=templinst)_ZN5QListIiE13node_destructEPNS0_4NodeE@Base 2.8.4 + (optional=templinst)_ZN5QListIiE13node_destructEPNS0_4NodeES2_@Base 2.8.4 + (optional=templinst)_ZN5QListIiE14node_constructEPNS0_4NodeERKi@Base 2.8.4 + (optional=templinst)_ZN5QListIiE18detach_helper_growEii@Base 2.8.4 + (optional=templinst)_ZN5QListIiE4Node1tEv@Base 2.8.4 + (optional=templinst)_ZN5QListIiE4swapERS0_@Base 2.8.4 + (optional=templinst)_ZN5QListIiE5beginEv@Base 2.8.4 + (optional=templinst)_ZN5QListIiE5clearEv@Base 2.8.4 + (optional=templinst)_ZN5QListIiE5firstEv@Base 2.8.4 + (optional=templinst)_ZN5QListIiE6appendERKi@Base 2.8.4 + (optional=templinst)_ZN5QListIiE6detachEv@Base 2.8.4 + (optional=templinst)_ZN5QListIiE7deallocEPN9QListData4DataE@Base 2.8.4 + (optional=templinst)_ZN5QListIiE8iteratorC1EPNS0_4NodeE@Base 2.8.4 + (optional=templinst)_ZN5QListIiE8iteratorC2EPNS0_4NodeE@Base 2.8.4 + (optional=templinst)_ZN5QListIiE9node_copyEPNS0_4NodeES2_S2_@Base 2.8.4 + (optional=templinst)_ZN5QListIiEC1ERKS0_@Base 2.8.4 + (optional=templinst)_ZN5QListIiEC1Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIiEC2ERKS0_@Base 2.8.4 + (optional=templinst)_ZN5QListIiEC2Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIiED1Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIiED2Ev@Base 2.8.4 + (optional=templinst)_ZN5QListIiEaSERKS0_@Base 2.8.4 + (optional=templinst)_ZN5QListIiEixEi@Base 2.8.4 + (optional=templinst)_ZN5QListIiElsERKi@Base 2.8.4 + (optional=templinst)_ZN5QPairIjjEC1ERKjS2_@Base 2.8.4 + (optional=templinst)_ZN5QPairIjjEC1Ev@Base 2.8.4 + (optional=templinst)_ZN5QPairIjjEC2ERKjS2_@Base 2.8.4 + (optional=templinst)_ZN5QPairIjjEC2Ev@Base 2.8.4 + _ZN5QRect4setXEi@Base 2.8.4 + _ZN5QRect4setYEi@Base 2.8.4 + _ZN5QRect8setWidthEi@Base 2.8.4 + _ZN5QRect9setHeightEi@Base 2.8.4 + _ZN5QRectC1Eiiii@Base 2.8.4 + _ZN5QRectC1Ev@Base 2.8.4 + _ZN5QRectC2Eiiii@Base 2.8.4 + _ZN5QRectC2Ev@Base 2.8.4 + _ZN5QSizeC1Eii@Base 2.8.4 + _ZN5QSizeC2Eii@Base 2.8.4 + _ZN5RangeC1Ei@Base 2.8.4 + _ZN5RangeC1Eii@Base 2.8.4 + _ZN5RangeC2Ei@Base 2.8.4 + _ZN5RangeC2Eii@Base 2.8.4 + _ZN5Style4CopyER4FontRK16FontMeasurements@Base 2.8.4 + _ZN5Style5ClearE13ColourDesiredS0_iPKciibbbNS_11ecaseForcedEbbb@Base 2.8.4 + _ZN5Style7ClearToERKS_@Base 2.8.4 + _ZN5StyleC1ERKS_@Base 2.8.4 + _ZN5StyleC1Ev@Base 2.8.4 + _ZN5StyleC2ERKS_@Base 2.8.4 + _ZN5StyleC2Ev@Base 2.8.4 + _ZN5StyleD1Ev@Base 2.8.4 + _ZN5StyleD2Ev@Base 2.8.4 + _ZN5StyleaSERKS_@Base 2.8.4 + _ZN5TimerC1Ev@Base 2.8.4 + _ZN5TimerC2Ev@Base 2.8.4 + _ZN6Action4GrabEPS_@Base 2.8.4 + _ZN6Action6CreateE10actionTypeiPKcib@Base 2.8.4 + _ZN6Action7DestroyEv@Base 2.8.4 + _ZN6ActionC1Ev@Base 2.8.4 + _ZN6ActionC2Ev@Base 2.8.4 + _ZN6ActionD1Ev@Base 2.8.4 + _ZN6ActionD2Ev@Base 2.8.4 + _ZN6Editor10AddCharUTFEPKcjb@Base 2.9 + _ZN6Editor10ButtonDownE5Pointjbbb@Base 2.8.4 + _ZN6Editor10ButtonMoveE5Point@Base 2.8.4 + _ZN6Editor10ChangeSizeEv@Base 2.8.4 + _ZN6Editor10ExpandLineEi@Base 2.8.4 + _ZN6Editor10FoldExpandEiii@Base 2.8.4 + _ZN6Editor10KeyCommandEj@Base 2.8.4 + _ZN6Editor10KeyDefaultEii@Base 2.8.4 + _ZN6Editor10LinesSplitEi@Base 2.8.4 + _ZN6Editor10MouseLeaveEv@Base 2.8.4 + _ZN6Editor10NotifyCharEi@Base 2.8.4 + _ZN6Editor10NotifyZoomEv@Base 2.8.4 + _ZN6Editor10RedrawRectE10PRectangle@Base 2.8.4 + _ZN6Editor10ScrollTextEi@Base 2.8.4 + _ZN6Editor10SearchTextEjml@Base 2.8.4 + _ZN6Editor10SetTickingEb@Base 2.9 + _ZN6Editor10SetTopLineEi@Base 2.8.4 + (arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN6Editor11BytesResultElPKhj@Base 2.9 + (arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN6Editor11BytesResultElPKhm@Base 2.9 + _ZN6Editor11CancelModesEv@Base 2.8.4 + _ZN6Editor11DelCharBackEb@Base 2.8.4 + _ZN6Editor11FoldChangedEiii@Base 2.8.4 + _ZN6Editor11FormatRangeEbP17Sci_RangeToFormat@Base 2.8.4 + _ZN6Editor11InsertPasteEPKci@Base 2.9 + _ZN6Editor11InsertSpaceEij@Base 2.8.4 + _ZN6Editor11NotifyFocusEb@Base 2.8.4 + _ZN6Editor11ScrollRangeE14SelectionRange@Base 2.8.4 + _ZN6Editor11SetXYScrollENS_16XYScrollPositionE@Base 2.8.4 + _ZN6Editor11WrapOneLineEP7Surfacei@Base 2.8.4 + _ZN6Editor12AbandonPaintEv@Base 2.8.4 + _ZN6Editor12DropGraphicsEb@Base 2.8.4 + _ZN6Editor12NeedWrappingEii@Base 2.8.4 + _ZN6Editor12ParaUpOrDownEiN9Selection8selTypesE@Base 2.8.4 + _ZN6Editor12SearchAnchorEv@Base 2.8.4 + _ZN6Editor12SelectionEndEv@Base 2.8.4 + _ZN6Editor12SetSelectionE17SelectionPosition@Base 2.8.4 + _ZN6Editor12SetSelectionE17SelectionPositionS0_@Base 2.8.4 + _ZN6Editor12SetSelectionEi@Base 2.8.4 + _ZN6Editor12SetSelectionEii@Base 2.8.4 + _ZN6Editor12StringResultElPKc@Base 2.8.4 + _ZN6Editor13AddStyledTextEPci@Base 2.8.4 + _ZN6Editor13CaseMapStringERKSsi@Base 2.8.4 + _ZN6Editor13CopyAllowLineEv@Base 2.8.4 + _ZN6Editor13DisplayCursorEN6Window6CursorE@Base 2.8.4 + _ZN6Editor13DragThresholdE5PointS0_@Base 2.8.4 + _ZN6Editor13LineSelectionEiib@Base 2.8.4 + _ZN6Editor13LineTransposeEv@Base 2.8.4 + _ZN6Editor13ModifierFlagsEbbbb@Base 2.9 + _ZN6Editor13NotifyDeletedEP8DocumentPv@Base 2.8.4 + _ZN6Editor13NotifyPaintedEv@Base 2.8.4 + _ZN6Editor13PaintContainsE10PRectangle@Base 2.8.4 + _ZN6Editor13QueueIdleWorkEN10WorkNeeded9workItemsEi@Base 2.8.4 + _ZN6Editor13ReplaceTargetEbPKci@Base 2.8.4 + _ZN6Editor13SetDocPointerEP8Document@Base 2.8.4 + _ZN6Editor13SetFocusStateEb@Base 2.8.4 + _ZN6Editor13SetScrollBarsEv@Base 2.8.4 + _ZN6Editor13WordSelectionEi@Base 2.8.4 + _ZN6Editor13XFromPositionE17SelectionPosition@Base 2.8.4 + _ZN6Editor13XFromPositionEi@Base 2.8.4 + _ZN6Editor14CaretSetPeriodEi@Base 2.9 + _ZN6Editor14ClearSelectionEb@Base 2.8.4 + _ZN6Editor14CursorUpOrDownEiN9Selection8selTypesE@Base 2.8.4 + _ZN6Editor14MovePositionToE17SelectionPositionN9Selection8selTypesEb@Base 2.8.4 + _ZN6Editor14MovePositionToEiN9Selection8selTypesEb@Base 2.8.4 + _ZN6Editor14NotifyDwellingE5Pointb@Base 2.8.4 + _ZN6Editor14NotifyModifiedEP8Document15DocModificationPv@Base 2.8.4 + _ZN6Editor14NotifyUpdateUIEv@Base 2.8.4 + _ZN6Editor14PaintSelMarginEP7SurfaceR10PRectangle@Base 2.8.4 + _ZN6Editor14PointIsHotspotE5Point@Base 2.8.4 + _ZN6Editor14PointMainCaretEv@Base 2.8.4 + _ZN6Editor14RefreshPixMapsEP7Surface@Base 2.8.4 + _ZN6Editor14SearchInTargetEPKci@Base 2.8.4 + _ZN6Editor14SelectionStartEv@Base 2.8.4 + _ZN6Editor14SetLastXChosenEv@Base 2.8.4 + _ZN6Editor15DiscardOverdrawEv@Base 2.9 + _ZN6Editor15FineTickerStartENS_10TickReasonEii@Base 2.9 + _ZN6Editor15InvalidateCaretEv@Base 2.8.4 + _ZN6Editor15InvalidateRangeEii@Base 2.8.4 + _ZN6Editor15NotifyNeedShownEii@Base 2.8.4 + _ZN6Editor15NotifySavePointEP8DocumentPvb@Base 2.8.4 + _ZN6Editor15NotifySavePointEb@Base 2.8.4 + _ZN6Editor15RedrawSelMarginEib@Base 2.8.4 + _ZN6Editor15SetDragPositionE17SelectionPosition@Base 2.8.4 + _ZN6Editor15SetFoldExpandedEib@Base 2.8.4 + _ZN6Editor15SetHotSpotRangeEP5Point@Base 2.8.4 + _ZN6Editor15StyleGetMessageEjml@Base 2.8.4 + _ZN6Editor15StyleSetMessageEjml@Base 2.8.4 + _ZN6Editor16AllocateGraphicsEv@Base 2.8.4 + _ZN6Editor16FillVirtualSpaceEv@Base 2.9 + _ZN6Editor16FilterSelectionsEv@Base 2.8.4 + _ZN6Editor16FineTickerCancelENS_10TickReasonE@Base 2.9 + _ZN6Editor16InsertPasteShapeEPKciNS_10PasteShapeE@Base 2.9 + _ZN6Editor16PasteRectangularE17SelectionPositionPKci@Base 2.8.4 + _ZN6Editor16PointInSelectionE5Point@Base 2.8.4 + _ZN6Editor16RefreshStyleDataEv@Base 2.8.4 + _ZN6Editor16XYScrollPositionC1Eii@Base 2.8.4 + _ZN6Editor16XYScrollPositionC2Eii@Base 2.8.4 + _ZN6Editor17EnsureLineVisibleEib@Base 2.8.4 + _ZN6Editor17FineTickerRunningENS_10TickReasonE@Base 2.9 + _ZN6Editor17MoveSelectedLinesEi@Base 2.8.4 + _ZN6Editor17NotifyDoubleClickE5Pointbbb@Base 2.8.4 + _ZN6Editor17NotifyDoubleClickE5Pointi@Base 2.9 + _ZN6Editor17NotifyMacroRecordEjml@Base 2.8.4 + _ZN6Editor17NotifyMarginClickE5Pointbbb@Base 2.8.4 + _ZN6Editor17NotifyMarginClickE5Pointi@Base 2.9 + _ZN6Editor17NotifyStyleNeededEP8DocumentPvi@Base 2.8.4 + _ZN6Editor17PositionFromLineXEii@Base 2.8.4 + _ZN6Editor17SetBraceHighlightEiii@Base 2.8.4 + _ZN6Editor17SetEmptySelectionE17SelectionPosition@Base 2.8.4 + _ZN6Editor17SetEmptySelectionEi@Base 2.8.4 + _ZN6Editor17StringFromEOLModeEi@Base 2.8.4 + _ZN6Editor17UpdateSystemCaretEv@Base 2.8.4 + _ZN6Editor18ClearDocumentStyleEv@Base 2.8.4 + _ZN6Editor18CopySelectionRangeEP13SelectionTextb@Base 2.8.4 + _ZN6Editor18EnsureCaretVisibleEbbb@Base 2.8.4 + _ZN6Editor18HorizontalScrollToEi@Base 2.8.4 + _ZN6Editor18NotifyLexerChangedEP8DocumentPv@Base 2.8.4 + _ZN6Editor18RectangleFromRangeE5Rangei@Base 2.9 + _ZN6Editor18SPositionFromLineXEii@Base 2.8.4 + _ZN6Editor18SetRepresentationsEv@Base 2.8.4 + _ZN6Editor19DisplayFromPositionEi@Base 2.8.4 + _ZN6Editor19FineTickerAvailableEv@Base 2.9 + _ZN6Editor19InvalidateSelectionE14SelectionRangeb@Base 2.8.4 + _ZN6Editor19InvalidateStyleDataEv@Base 2.8.4 + _ZN6Editor19MoveCaretInsideViewEb@Base 2.8.4 + _ZN6Editor19MoveSelectedLinesUpEv@Base 2.8.4 + _ZN6Editor19NotifyErrorOccurredEP8DocumentPvi@Base 2.8.4 + _ZN6Editor19NotifyModifyAttemptEP8DocumentPv@Base 2.8.4 + _ZN6Editor19NotifyModifyAttemptEv@Base 2.8.4 + _ZN6Editor19NotifyStyleToNeededEi@Base 2.8.4 + _ZN6Editor19PaintContainsMarginEv@Base 2.8.4 + _ZN6Editor19PositionInSelectionEi@Base 2.8.4 + _ZN6Editor19SetRectangularRangeEv@Base 2.8.4 + _ZN6Editor19StartEndDisplayLineEib@Base 2.8.4 + _ZN6Editor19TrimAndSetSelectionEii@Base 2.8.4 + _ZN6Editor19VerticalCentreCaretEv@Base 2.8.4 + _ZN6Editor20ContainerNeedsUpdateEi@Base 2.8.4 + _ZN6Editor20CopyRangeToClipboardEii@Base 2.8.4 + _ZN6Editor20KeyDownWithModifiersEiiPb@Base 2.8.4 + _ZN6Editor20LocationFromPositionE17SelectionPosition@Base 2.8.4 + _ZN6Editor20LocationFromPositionEi@Base 2.8.4 + _ZN6Editor20NotifyHotSpotClickedEibbb@Base 2.8.4 + _ZN6Editor20NotifyHotSpotClickedEii@Base 2.9 + _ZN6Editor20NotifyIndicatorClickEbibbb@Base 2.8.4 + _ZN6Editor20NotifyIndicatorClickEbii@Base 2.9 + _ZN6Editor20PositionFromLocationE5Pointbb@Base 2.8.4 + _ZN6Editor20SetAnnotationHeightsEii@Base 2.8.4 + _ZN6Editor20SetAnnotationVisibleEi@Base 2.8.4 + _ZN6Editor20ThinRectangularRangeEv@Base 2.8.4 + _ZN6Editor21CaseFolderForEncodingEv@Base 2.8.4 + _ZN6Editor21ChangeCaseOfSelectionEi@Base 2.8.4 + _ZN6Editor21InvalidateStyleRedrawEv@Base 2.8.4 + _ZN6Editor21MovePositionSoVisibleE17SelectionPositioni@Base 2.8.4 + _ZN6Editor21MovePositionSoVisibleEii@Base 2.8.4 + _ZN6Editor21MoveSelectedLinesDownEv@Base 2.8.4 + _ZN6Editor21ReconfigureScrollBarsEv@Base 2.8.4 + _ZN6Editor21SPositionFromLocationE5Pointbbb@Base 2.8.4 + _ZN6Editor21StyleToPositionInViewEi@Base 2.8.4 + _ZN6Editor21XYScrollToMakeVisibleERK14SelectionRangeNS_15XYScrollOptionsE@Base 2.9 + _ZN6Editor22SetHoverIndicatorPointE5Point@Base 2.9 + _ZN6Editor23ButtonDownWithModifiersE5Pointji@Base 2.9 + _ZN6Editor23ButtonMoveWithModifiersE5Pointi@Base 2.8.4 + _ZN6Editor24CheckModificationForWrapE15DocModification@Base 2.8.4 + _ZN6Editor25GetClientDrawingRectangleEv@Base 2.9 + _ZN6Editor25NotifyHotSpotReleaseClickEibbb@Base 2.8.4 + _ZN6Editor25NotifyHotSpotReleaseClickEii@Base 2.9 + _ZN6Editor25SetHoverIndicatorPositionEi@Base 2.9 + _ZN6Editor26CheckForChangeOutsidePaintE5Range@Base 2.8.4 + _ZN6Editor26NotifyHotSpotDoubleClickedEibbb@Base 2.8.4 + _ZN6Editor26NotifyHotSpotDoubleClickedEii@Base 2.9 + _ZN6Editor26SelectionContainsProtectedEv@Base 2.8.4 + _ZN6Editor26ShowCaretAtCurrentPositionEv@Base 2.8.4 + _ZN6Editor3CutEv@Base 2.8.4 + _ZN6Editor4IdleEv@Base 2.8.4 + _ZN6Editor4RedoEv@Base 2.8.4 + _ZN6Editor4TickEv@Base 2.8.4 + _ZN6Editor4UndoEv@Base 2.8.4 + _ZN6Editor5ClearEv@Base 2.8.4 + _ZN6Editor5PaintEP7Surface10PRectangle@Base 2.8.4 + _ZN6Editor6DropAtE17SelectionPositionPKcbb@Base 2.8.4 + (arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN6Editor6DropAtE17SelectionPositionPKcjbb@Base 2.9 + (arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN6Editor6DropAtE17SelectionPositionPKcmbb@Base 2.9 + _ZN6Editor6GetTagEPci@Base 2.8.4 + _ZN6Editor6IndentEb@Base 2.8.4 + _ZN6Editor6RedrawEv@Base 2.8.4 + _ZN6Editor7AddCharEc@Base 2.8.4 + _ZN6Editor7FoldAllEi@Base 2.8.4 + _ZN6Editor7KeyDownEibbbPb@Base 2.8.4 + _ZN6Editor7NewLineEv@Base 2.8.4 + _ZN6Editor7SetIdleEb@Base 2.8.4 + _ZN6Editor7TickForENS_10TickReasonE@Base 2.9 + _ZN6Editor7WndProcEjml@Base 2.8.4 + _ZN6Editor8ButtonUpE5Pointjb@Base 2.8.4 + _ZN6Editor8CanPasteEv@Base 2.8.4 + _ZN6Editor8ClearAllEv@Base 2.8.4 + _ZN6Editor8CopyTextEiPKc@Base 2.8.4 + _ZN6Editor8DwellEndEb@Base 2.8.4 + _ZN6Editor8FinaliseEv@Base 2.8.4 + _ZN6Editor8FindTextEml@Base 2.8.4 + _ZN6Editor8FoldLineEii@Base 2.8.4 + _ZN6Editor8GoToLineEi@Base 2.8.4 + _ZN6Editor8IdleWorkEv@Base 2.8.4 + _ZN6Editor8PageMoveEiN9Selection8selTypesEb@Base 2.8.4 + _ZN6Editor8ScrollToEib@Base 2.8.4 + _ZN6Editor9DropCaretEv@Base 2.8.4 + _ZN6Editor9DuplicateEb@Base 2.8.4 + _ZN6Editor9GetCtrlIDEv@Base 2.8.4 + _ZN6Editor9LinesJoinEv@Base 2.8.4 + _ZN6Editor9NeedShownEii@Base 2.8.4 + _ZN6Editor9SelectAllEv@Base 2.8.4 + _ZN6Editor9SetCtrlIDEi@Base 2.8.4 + _ZN6Editor9StartDragEv@Base 2.8.4 + _ZN6Editor9TextWidthEiPKc@Base 2.8.4 + _ZN6Editor9WrapCountEi@Base 2.8.4 + _ZN6Editor9WrapLinesENS_9wrapScopeE@Base 2.8.4 + _ZN6EditorC1Ev@Base 2.8.4 + _ZN6EditorC2Ev@Base 2.8.4 + _ZN6EditorD0Ev@Base 2.8.4 + _ZN6EditorD1Ev@Base 2.8.4 + _ZN6EditorD2Ev@Base 2.8.4 + _ZN6ILexerC1Ev@Base 2.8.4 + _ZN6ILexerC2Ev@Base 2.8.4 + _ZN6KeyMap10MapDefaultE@Base 2.8.4 + _ZN6KeyMap12AssignCmdKeyEiij@Base 2.8.4 + _ZN6KeyMap5ClearEv@Base 2.8.4 + _ZN6KeyMapC1Ev@Base 2.8.4 + _ZN6KeyMapC2Ev@Base 2.8.4 + _ZN6KeyMapD1Ev@Base 2.8.4 + _ZN6KeyMapD2Ev@Base 2.8.4 + _ZN6LexerD11PrivateCallEiPv@Base 2.8.4 + _ZN6LexerD11PropertySetEPKcS1_@Base 2.8.4 + _ZN6LexerD11WordListSetEiPKc@Base 2.8.4 + _ZN6LexerD12PropertyTypeEPKc@Base 2.8.4 + _ZN6LexerD13LexerFactoryDEv@Base 2.8.4 + _ZN6LexerD13PropertyNamesEv@Base 2.8.4 + _ZN6LexerD16DescribePropertyEPKc@Base 2.8.4 + _ZN6LexerD20DescribeWordListSetsEv@Base 2.8.4 + _ZN6LexerD3LexEjiiP9IDocument@Base 2.8.4 + _ZN6LexerD4FoldEjiiP9IDocument@Base 2.8.4 + _ZN6LexerD7ReleaseEv@Base 2.8.4 + _ZN6LexerDC1Eb@Base 2.8.4 + _ZN6LexerDC2Eb@Base 2.8.4 + _ZN6LexerDD0Ev@Base 2.8.4 + _ZN6LexerDD1Ev@Base 2.8.4 + _ZN6LexerDD2Ev@Base 2.8.4 + _ZN6QColorC1ERKS_@Base 2.8.4 + _ZN6QColorC1Eiiii@Base 2.8.4 + _ZN6QColorC1Ev@Base 2.8.4 + _ZN6QColorC2ERKS_@Base 2.8.4 + _ZN6QColorC2Eiiii@Base 2.8.4 + _ZN6QColorC2Ev@Base 2.8.4 + _ZN6QEvent6acceptEv@Base 2.8.4 + _ZN6QEvent6ignoreEv@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN10QArrayData16AllocationOptionEEC1EMNS2_7PrivateEi@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN10QArrayData16AllocationOptionEEC1ES1_@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN10QArrayData16AllocationOptionEEC2EMNS2_7PrivateEi@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN10QArrayData16AllocationOptionEEC2ES1_@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN10QArrayData16AllocationOptionEEoRES1_@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN2Qt10DropActionEEC1E5QFlag@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN2Qt10DropActionEEC1ES1_@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN2Qt10DropActionEEC2E5QFlag@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN2Qt10DropActionEEC2ES1_@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN2Qt10WindowTypeEEC1E5QFlag@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN2Qt10WindowTypeEEC1ES1_@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN2Qt10WindowTypeEEC2E5QFlag@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN2Qt10WindowTypeEEC2ES1_@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN2Qt15InputMethodHintEEC1E5QFlag@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN2Qt15InputMethodHintEEC1ES1_@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN2Qt15InputMethodHintEEC2E5QFlag@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN2Qt15InputMethodHintEEC2ES1_@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN2Qt16KeyboardModifierEEC1E5QFlag@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN2Qt16KeyboardModifierEEC2E5QFlag@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN2Qt19ImageConversionFlagEEC1ES1_@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN2Qt19ImageConversionFlagEEC2ES1_@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN2Qt9MatchFlagEEC1E5QFlag@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN2Qt9MatchFlagEEC1ES1_@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN2Qt9MatchFlagEEC2E5QFlag@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN2Qt9MatchFlagEEC2ES1_@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN4QDir6FilterEEC1ES1_@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN4QDir6FilterEEC2ES1_@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN4QDir8SortFlagEEC1ES1_@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN4QDir8SortFlagEEC2ES1_@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN9QIODevice12OpenModeFlagEEC1E5QFlag@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN9QIODevice12OpenModeFlagEEC1ES1_@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN9QIODevice12OpenModeFlagEEC2E5QFlag@Base 2.8.4 + (optional=templinst)_ZN6QFlagsIN9QIODevice12OpenModeFlagEEC2ES1_@Base 2.8.4 + _ZN6QPointC1Eii@Base 2.8.4 + _ZN6QPointC1Ev@Base 2.8.4 + _ZN6QPointC2Eii@Base 2.8.4 + _ZN6QPointC2Ev@Base 2.8.4 + _ZN6QRectFC1Edddd@Base 2.8.4 + _ZN6QRectFC2Edddd@Base 2.8.4 + (optional=templinst)_ZN6QStackIlE3popEv@Base 2.8.4 + (optional=templinst)_ZN6QStackIlE4pushERKl@Base 2.8.4 + (optional=templinst)_ZN6QStackIlEC1Ev@Base 2.8.4 + (optional=templinst)_ZN6QStackIlEC2Ev@Base 2.8.4 + (optional=templinst)_ZN6QStackIlED1Ev@Base 2.8.4 + (optional=templinst)_ZN6QStackIlED2Ev@Base 2.8.4 + _ZN6QTimer13setSingleShotEb@Base 2.8.4 + _ZN6SorterC1EP12AutoCompletePKc@Base 2.8.4 + _ZN6SorterC1ERKS_@Base 2.8.4 + _ZN6SorterC2EP12AutoCompletePKc@Base 2.8.4 + _ZN6SorterC2ERKS_@Base 2.8.4 + _ZN6SorterD1Ev@Base 2.8.4 + _ZN6SorterD2Ev@Base 2.8.4 + _ZN6SorterclEii@Base 2.8.4 + _ZN6Window11GetPositionEv@Base 2.8.4 + _ZN6Window11SetPositionE10PRectangle@Base 2.8.4 + _ZN6Window13InvalidateAllEv@Base 2.8.4 + _ZN6Window14GetMonitorRectE5Point@Base 2.8.4 + _ZN6Window17GetClientPositionEv@Base 2.8.4 + _ZN6Window19InvalidateRectangleE10PRectangle@Base 2.8.4 + _ZN6Window19SetPositionRelativeE10PRectangleS_@Base 2.8.4 + _ZN6Window4ShowEb@Base 2.8.4 + _ZN6Window7DestroyEv@Base 2.8.4 + _ZN6Window7SetFontER4Font@Base 2.8.4 + _ZN6Window8HasFocusEv@Base 2.8.4 + _ZN6Window8SetTitleEPKc@Base 2.8.4 + _ZN6Window9SetCursorENS_6CursorE@Base 2.8.4 + _ZN6WindowC1ERKS_@Base 2.8.4 + _ZN6WindowC1Ev@Base 2.8.4 + _ZN6WindowC2ERKS_@Base 2.8.4 + _ZN6WindowC2Ev@Base 2.8.4 + _ZN6WindowD0Ev@Base 2.8.4 + _ZN6WindowD1Ev@Base 2.8.4 + _ZN6WindowD2Ev@Base 2.8.4 + _ZN6WindowaSEPv@Base 2.8.4 + _ZN6WindowaSERKS_@Base 2.8.4 + _ZN7CallTip10MouseClickE5Point@Base 2.8.4 + _ZN7CallTip10SetTabSizeEi@Base 2.8.4 + _ZN7CallTip11SetForeBackERK13ColourDesiredS2_@Base 2.8.4 + _ZN7CallTip11SetPositionEb@Base 2.8.4 + _ZN7CallTip12CallTipStartEi5PointiPKcS2_iiiiR6Window@Base 2.8.4 + _ZN7CallTip12SetHighlightEii@Base 2.8.4 + _ZN7CallTip13CallTipCancelEv@Base 2.8.4 + _ZN7CallTip13PaintContentsEP7Surfaceb@Base 2.8.4 + _ZN7CallTip7PaintCTEP7Surface@Base 2.8.4 + _ZN7CallTip9DrawChunkEP7SurfaceRiPKciii10PRectanglebb@Base 2.8.4 + _ZN7CallTipC1Ev@Base 2.8.4 + _ZN7CallTipC2Ev@Base 2.8.4 + _ZN7CallTipD1Ev@Base 2.8.4 + _ZN7CallTipD2Ev@Base 2.8.4 + _ZN7ILoaderC1Ev@Base 2.8.4 + _ZN7ILoaderC2Ev@Base 2.8.4 + _ZN7ListBox8AllocateEv@Base 2.8.4 + _ZN7ListBoxC1Ev@Base 2.8.4 + _ZN7ListBoxC2Ev@Base 2.8.4 + _ZN7ListBoxD0Ev@Base 2.8.4 + _ZN7ListBoxD1Ev@Base 2.8.4 + _ZN7ListBoxD2Ev@Base 2.8.4 + _ZN7PerLineC1Ev@Base 2.8.4 + _ZN7PerLineC2Ev@Base 2.8.4 + _ZN7PerLineD0Ev@Base 2.8.4 + _ZN7PerLineD1Ev@Base 2.8.4 + _ZN7PerLineD2Ev@Base 2.8.4 + _ZN7QPointFC1Edd@Base 2.8.4 + _ZN7QPointFC1Ev@Base 2.8.4 + _ZN7QPointFC2Edd@Base 2.8.4 + _ZN7QPointFC2Ev@Base 2.8.4 + _ZN7QString10fromLatin1EPKci@Base 2.8.4 + _ZN7QString7prependE5QChar@Base 2.8.4 + _ZN7QString8fromUtf8EPKci@Base 2.8.4 + _ZN7QStringC1E13QLatin1String@Base 2.8.4 + _ZN7QStringC1E14QStringDataPtr@Base 2.8.4 + _ZN7QStringC1EPKc@Base 2.8.4 + _ZN7QStringC1ERKS_@Base 2.8.4 + _ZN7QStringC1Ev@Base 2.8.4 + _ZN7QStringC2E13QLatin1String@Base 2.8.4 + _ZN7QStringC2E14QStringDataPtr@Base 2.8.4 + _ZN7QStringC2EPKc@Base 2.8.4 + _ZN7QStringC2ERKS_@Base 2.8.4 + _ZN7QStringC2Ev@Base 2.8.4 + _ZN7QStringD1Ev@Base 2.8.4 + _ZN7QStringD2Ev@Base 2.8.4 + _ZN7QStringaSEPKc@Base 2.8.4 + _ZN7QStringixEi@Base 2.8.4 + _ZN7QStringpLE5QChar@Base 2.8.4 + _ZN7QStringpLERKS_@Base 2.8.4 + _ZN7QStringpLEc@Base 2.8.4 + (optional=templinst)_ZN7QVectorI7QPointFE11reallocDataEii6QFlagsIN10QArrayData16AllocationOptionEE@Base 2.8.4 + (optional=templinst)_ZN7QVectorI7QPointFE16defaultConstructEPS0_S2_@Base 2.8.4 + (optional=templinst)_ZN7QVectorI7QPointFE4dataEv@Base 2.8.4 + (optional=templinst)_ZN7QVectorI7QPointFE6detachEv@Base 2.8.4 + (optional=templinst)_ZN7QVectorI7QPointFE8destructEPS0_S2_@Base 2.8.4 + (optional=templinst)_ZN7QVectorI7QPointFE8freeDataEP15QTypedArrayDataIS0_E@Base 2.8.4 + (optional=templinst)_ZN7QVectorI7QPointFEC1Ei@Base 2.8.4 + (optional=templinst)_ZN7QVectorI7QPointFEC2Ei@Base 2.8.4 + (optional=templinst)_ZN7QVectorI7QPointFED1Ev@Base 2.8.4 + (optional=templinst)_ZN7QVectorI7QPointFED2Ev@Base 2.8.4 + (optional=templinst)_ZN7QVectorI7QPointFEixEi@Base 2.8.4 + (optional=templinst)_ZN7QVectorIlE11reallocDataEii6QFlagsIN10QArrayData16AllocationOptionEE@Base 2.8.4 + (optional=templinst)_ZN7QVectorIlE16defaultConstructEPlS1_@Base 2.8.4 + (optional=templinst)_ZN7QVectorIlE4dataEv@Base 2.8.4 + (optional=templinst)_ZN7QVectorIlE6appendERKl@Base 2.8.4 + (optional=templinst)_ZN7QVectorIlE6detachEv@Base 2.8.4 + (optional=templinst)_ZN7QVectorIlE6resizeEi@Base 2.8.4 + (optional=templinst)_ZN7QVectorIlE8destructEPlS1_@Base 2.8.4 + (optional=templinst)_ZN7QVectorIlE8freeDataEP15QTypedArrayDataIlE@Base 2.8.4 + (optional=templinst)_ZN7QVectorIlEC1Ev@Base 2.8.4 + (optional=templinst)_ZN7QVectorIlEC2Ev@Base 2.8.4 + (optional=templinst)_ZN7QVectorIlED1Ev@Base 2.8.4 + (optional=templinst)_ZN7QVectorIlED2Ev@Base 2.8.4 + _ZN7QWidget11setGeometryEiiii@Base 2.8.4 + _ZN7QWidget16setMouseTrackingEb@Base 2.8.4 + _ZN7QWidget6resizeEii@Base 2.8.4 + _ZN7QWidget6updateEiiii@Base 2.8.4 + _ZN7QWidget8setFocusEv@Base 2.8.4 + _ZN7Surface8AllocateEi@Base 2.8.4 + _ZN7SurfaceC1Ev@Base 2.8.4 + _ZN7SurfaceC2Ev@Base 2.8.4 + _ZN7SurfaceD0Ev@Base 2.8.4 + _ZN7SurfaceD1Ev@Base 2.8.4 + _ZN7SurfaceD2Ev@Base 2.8.4 + _ZN8Accessor12IndentAmountEiPiPFbRS_iiE@Base 2.8.4 + _ZN8AccessorC1EP9IDocumentP13PropSetSimple@Base 2.8.4 + _ZN8AccessorC2EP9IDocumentP13PropSetSimple@Base 2.8.4 + _ZN8Document10AddMarkSetEii@Base 2.8.4 + _ZN8Document10AddWatcherEP10DocWatcherPv@Base 2.8.4 + _ZN8Document10BraceMatchEii@Base 2.8.4 + _ZN8Document10DeleteMarkEii@Base 2.8.4 + _ZN8Document10FindColumnEii@Base 2.8.4 + _ZN8Document10InsertLineEi@Base 2.8.4 + _ZN8Document10ModifiedAtEi@Base 2.8.4 + _ZN8Document10RemoveLineEi@Base 2.8.4 + _ZN8Document11ClearLevelsEv@Base 2.8.4 + _ZN8Document11DelCharBackEi@Base 2.8.4 + _ZN8Document11DeleteCharsEii@Base 2.8.4 + _ZN8Document11NextWordEndEii@Base 2.8.4 + _ZN8Document11SetReadOnlyEb@Base 2.8.4 + _ZN8Document11SetStyleForEic@Base 2.8.4 + _ZN8Document12GetLastChildEiii@Base 2.8.4 + _ZN8Document12InsertStringEiPKci@Base 2.8.4 + _ZN8Document12LexerChangedEv@Base 2.8.4 + _ZN8Document12RangePointerEii@Base 2.8.4 + _ZN8Document12SetLineStateEii@Base 2.8.4 + _ZN8Document12SetSavePointEv@Base 2.8.4 + _ZN8Document12StartStylingEic@Base 2.8.4 + _ZN8Document12WordPartLeftEi@Base 2.8.4 + _ZN8Document13AddUndoActionEib@Base 2.8.4 + _ZN8Document13BufferPointerEv@Base 2.8.4 + _ZN8Document13CheckReadOnlyEv@Base 2.8.4 + _ZN8Document13EndUndoActionEv@Base 2.8.4 + _ZN8Document13MarginSetTextEiPKc@Base 2.8.4 + _ZN8Document13NextWordStartEii@Base 2.8.4 + _ZN8Document13RemoveWatcherEP10DocWatcherPv@Base 2.8.4 + _ZN8Document13SetCaseFolderEP10CaseFolder@Base 2.8.4 + _ZN8Document13TentativeUndoEv@Base 2.9 + _ZN8Document13WordPartRightEi@Base 2.8.4 + _ZN8Document14DeleteAllMarksEi@Base 2.8.4 + _ZN8Document14EnsureStyledToEi@Base 2.8.4 + _ZN8Document14LineFromHandleEi@Base 2.8.4 + _ZN8Document14MarginClearAllEv@Base 2.8.4 + _ZN8Document14MarginSetStyleEii@Base 2.8.4 + _ZN8Document14NotifyModifiedE15DocModification@Base 2.8.4 + _ZN8Document14SetCharClassesEPKhN12CharClassify2ccE@Base 2.8.4 + _ZN8Document14SetErrorStatusEi@Base 2.8.4 + _ZN8Document15BeginUndoActionEv@Base 2.8.4 + _ZN8Document15ChangeInsertionEPKci@Base 2.9 + _ZN8Document15ConvertLineEndsEi@Base 2.8.4 + _ZN8Document15GetCharsOfClassEN12CharClassify2ccEPh@Base 2.8.4 + _ZN8Document15GetMaxLineStateEv@Base 2.8.4 + _ZN8Document15MarginSetStylesEiPKh@Base 2.8.4 + _ZN8Document15NotifySavePointEb@Base 2.8.4 + _ZN8Document15SetDBCSCodePageEi@Base 2.8.4 + _ZN8Document16ChangeLexerStateEii@Base 2.8.4 + _ZN8Document16ExtendStyleRangeEiib@Base 2.8.4 + _ZN8Document16ExtendWordSelectEiib@Base 2.8.4 + _ZN8Document17AnnotationSetTextEiPKc@Base 2.8.4 + _ZN8Document17ConvertToDocumentEv@Base 2.8.4 + _ZN8Document17DeleteUndoHistoryEv@Base 2.8.4 + _ZN8Document17SetUndoCollectionEb@Base 2.8.4 + (arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN8Document17TransformLineEndsEPKcji@Base 2.9 + (arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN8Document17TransformLineEndsEPKcmi@Base 2.9 + _ZN8Document18AnnotationClearAllEv@Base 2.8.4 + _ZN8Document18AnnotationSetStyleEii@Base 2.8.4 + _ZN8Document18CharacterExtractedC1Ejj@Base 2.9 + _ZN8Document18CharacterExtractedC2Ejj@Base 2.9 + _ZN8Document18GetLineIndentationEi@Base 2.8.4 + _ZN8Document18SetLineIndentationEii@Base 2.8.4 + _ZN8Document19AnnotationSetStylesEiPKh@Base 2.8.4 + _ZN8Document19DecorationFillRangeEiii@Base 2.8.4 + _ZN8Document19IncrementStyleClockEv@Base 2.8.4 + _ZN8Document19NotifyModifyAttemptEv@Base 2.8.4 + _ZN8Document19WatcherWithUserDataC1EP10DocWatcherPv@Base 2.8.4 + _ZN8Document19WatcherWithUserDataC2EP10DocWatcherPv@Base 2.8.4 + _ZN8Document20DeleteMarkFromHandleEi@Base 2.8.4 + _ZN8Document20SubstituteByPositionEPKcPi@Base 2.8.4 + _ZN8Document21SetDefaultCharClassesEb@Base 2.8.4 + _ZN8Document22GetHighlightDelimitersER18HighlightDelimiterii@Base 2.8.4 + _ZN8Document22SetLineEndTypesAllowedEi@Base 2.8.4 + _ZN8Document29DecorationSetCurrentIndicatorEi@Base 2.8.4 + _ZN8Document4InitEv@Base 2.8.4 + _ZN8Document4RedoEv@Base 2.8.4 + _ZN8Document4UndoEv@Base 2.8.4 + _ZN8Document6AddRefEv@Base 2.8.4 + _ZN8Document6IndentEbii@Base 2.8.4 + _ZN8Document7AddDataEPci@Base 2.8.4 + _ZN8Document7AddMarkEii@Base 2.8.4 + _ZN8Document7DelCharEi@Base 2.8.4 + _ZN8Document7GetMarkEi@Base 2.8.4 + _ZN8Document7LenCharEi@Base 2.8.4 + _ZN8Document7ReleaseEv@Base 2.8.4 + _ZN8Document8AllocateEi@Base 2.8.4 + _ZN8Document8FindTextEiiPKcbbbbiPi@Base 2.8.4 + _ZN8Document8SetLevelEii@Base 2.8.4 + _ZN8Document9GetColumnEi@Base 2.8.4 + _ZN8Document9SetStylesEiPKc@Base 2.8.4 + _ZN8DocumentC1Ev@Base 2.8.4 + _ZN8DocumentC2Ev@Base 2.8.4 + _ZN8DocumentD0Ev@Base 2.8.4 + _ZN8DocumentD1Ev@Base 2.8.4 + _ZN8DocumentD2Ev@Base 2.8.4 + _ZN8EditView10AddTabstopEii@Base 2.9 + _ZN8EditView10LayoutLineERK9EditModeliP7SurfaceRK9ViewStyleP10LineLayouti@Base 2.9 + _ZN8EditView11FormatRangeEbP17Sci_RangeToFormatP7SurfaceS3_RK9EditModelRK9ViewStyle@Base 2.9 + _ZN8EditView12DropGraphicsEb@Base 2.9 + _ZN8EditView13ClearTabstopsEi@Base 2.9 + _ZN8EditView13SetPhasesDrawEi@Base 2.9 + _ZN8EditView14DrawAnnotationEP7SurfaceRK9EditModelRK9ViewStylePK10LineLayoutii10PRectanglei9DrawPhase@Base 2.9 + _ZN8EditView14DrawForegroundEP7SurfaceRK9EditModelRK9ViewStylePK10LineLayouti10PRectangle5Rangeiii14ColourOptional@Base 2.9 + _ZN8EditView14RefreshPixMapsEP7SurfacePvRK9ViewStyle@Base 2.9 + _ZN8EditView15DrawIndentGuideEP7Surfaceiii10PRectangleb@Base 2.9 + _ZN8EditView15SetTwoPhaseDrawEb@Base 2.9 + _ZN8EditView16AllocateGraphicsERK9ViewStyle@Base 2.9 + _ZN8EditView16ClearAllTabstopsEv@Base 2.9 + _ZN8EditView18RetrieveLineLayoutEiRK9EditModel@Base 2.9 + _ZN8EditView18SPositionFromLineXEP7SurfaceRK9EditModeliiRK9ViewStyle@Base 2.9 + _ZN8EditView19DisplayFromPositionEP7SurfaceRK9EditModeliRK9ViewStyle@Base 2.9 + _ZN8EditView19LinesAddedOrRemovedEii@Base 2.9 + _ZN8EditView19StartEndDisplayLineEP7SurfaceRK9EditModelibRK9ViewStyle@Base 2.9 + _ZN8EditView20LocationFromPositionEP7SurfaceRK9EditModel17SelectionPositioniRK9ViewStyle@Base 2.9 + _ZN8EditView21SPositionFromLocationEP7SurfaceRK9EditModel5PointbbbRK9ViewStyle@Base 2.9 + _ZN8EditView25DrawIndentGuidesOverEmptyEP7SurfaceRK9EditModelRK9ViewStylePK10LineLayoutii10PRectangleii@Base 2.9 + _ZN8EditView7DrawEOLEP7SurfaceRK9EditModelRK9ViewStylePK10LineLayout10PRectangleiiiid14ColourOptional@Base 2.9 + _ZN8EditView8DrawLineEP7SurfaceRK9EditModelRK9ViewStylePK10LineLayoutiii10PRectanglei9DrawPhase@Base 2.9 + _ZN8EditView9PaintTextEP7SurfaceRK9EditModel10PRectangleS5_RK9ViewStyle@Base 2.9 + _ZN8EditViewC1Ev@Base 2.9 + _ZN8EditViewC2Ev@Base 2.9 + _ZN8EditViewD0Ev@Base 2.9 + _ZN8EditViewD1Ev@Base 2.9 + _ZN8EditViewD2Ev@Base 2.9 + _ZN8LMMinderD1Ev@Base 2.8.4 + _ZN8LMMinderD2Ev@Base 2.8.4 + _ZN8LexState11PrivateCallEiPv@Base 2.8.4 + _ZN8LexState11SetWordListEiPKc@Base 2.8.4 + _ZN8LexState12PropertyTypeEPKc@Base 2.8.4 + _ZN8LexState13FreeSubStylesEv@Base 2.8.4 + _ZN8LexState13PropertyNamesEv@Base 2.8.4 + _ZN8LexState14SetIdentifiersEiPKc@Base 2.8.4 + _ZN8LexState14SetLexerModuleEPK11LexerModule@Base 2.8.4 + _ZN8LexState14SubStylesStartEi@Base 2.8.4 + _ZN8LexState15SubStylesLengthEi@Base 2.8.4 + _ZN8LexState16DescribePropertyEPKc@Base 2.8.4 + _ZN8LexState16GetSubStyleBasesEv@Base 2.8.4 + _ZN8LexState16SetLexerLanguageEPKc@Base 2.8.4 + _ZN8LexState17AllocateSubStylesEii@Base 2.8.4 + _ZN8LexState17StyleFromSubStyleEi@Base 2.8.4 + _ZN8LexState20DescribeWordListSetsEv@Base 2.8.4 + _ZN8LexState21LineEndTypesSupportedEv@Base 2.8.4 + _ZN8LexState21PrimaryStyleFromStyleEi@Base 2.8.4 + _ZN8LexState25DistanceToSecondaryStylesEv@Base 2.8.4 + _ZN8LexState7PropSetEPKcS1_@Base 2.8.4 + _ZN8LexState8SetLexerEm@Base 2.8.4 + _ZN8LexStateC1EP8Document@Base 2.8.4 + _ZN8LexStateC2EP8Document@Base 2.8.4 + _ZN8LexStateD0Ev@Base 2.8.4 + _ZN8LexStateD1Ev@Base 2.8.4 + _ZN8LexStateD2Ev@Base 2.8.4 + _ZN8LexerAsm11PrivateCallEiPv@Base 2.8.4 + _ZN8LexerAsm11PropertySetEPKcS1_@Base 2.8.4 + _ZN8LexerAsm11WordListSetEiPKc@Base 2.8.4 + _ZN8LexerAsm12PropertyTypeEPKc@Base 2.8.4 + _ZN8LexerAsm13PropertyNamesEv@Base 2.8.4 + _ZN8LexerAsm14LexerFactoryAsEv@Base 2.9 + _ZN8LexerAsm15LexerFactoryAsmEv@Base 2.8.4 + _ZN8LexerAsm16DescribePropertyEPKc@Base 2.8.4 + _ZN8LexerAsm20DescribeWordListSetsEv@Base 2.8.4 + _ZN8LexerAsm3LexEjiiP9IDocument@Base 2.8.4 + _ZN8LexerAsm4FoldEjiiP9IDocument@Base 2.8.4 + _ZN8LexerAsm7ReleaseEv@Base 2.8.4 + _ZN8LexerAsmC1Ei@Base 2.9 + _ZN8LexerAsmC2Ei@Base 2.9 + _ZN8LexerAsmD0Ev@Base 2.8.4 + _ZN8LexerAsmD1Ev@Base 2.8.4 + _ZN8LexerAsmD2Ev@Base 2.8.4 + _ZN8LexerCPP10MaskActiveEi@Base 2.8.4 + _ZN8LexerCPP11PrivateCallEiPv@Base 2.8.4 + _ZN8LexerCPP11PropertySetEPKcS1_@Base 2.8.4 + _ZN8LexerCPP11SymbolValueC1ERKS0_@Base 2.9 + _ZN8LexerCPP11SymbolValueC1ERKSsS2_@Base 2.9 + _ZN8LexerCPP11SymbolValueC2ERKS0_@Base 2.9 + _ZN8LexerCPP11SymbolValueC2ERKSsS2_@Base 2.9 + _ZN8LexerCPP11SymbolValueD1Ev@Base 2.9 + _ZN8LexerCPP11SymbolValueD2Ev@Base 2.9 + _ZN8LexerCPP11SymbolValueaSERKS0_@Base 2.9 + _ZN8LexerCPP11SymbolValueaSERKSs@Base 2.9 + _ZN8LexerCPP11WordListSetEiPKc@Base 2.8.4 + _ZN8LexerCPP12PropertyTypeEPKc@Base 2.8.4 + _ZN8LexerCPP13FreeSubStylesEv@Base 2.8.4 + _ZN8LexerCPP13PropertyNamesEv@Base 2.8.4 + _ZN8LexerCPP14EvaluateTokensERSt6vectorISsSaISsEERKSt3mapISsNS_11SymbolValueESt4lessISsESaISt4pairIKSsS5_EEE@Base 2.9 + _ZN8LexerCPP14SetIdentifiersEiPKc@Base 2.8.4 + _ZN8LexerCPP14SubStylesStartEi@Base 2.8.4 + _ZN8LexerCPP15LexerFactoryCPPEv@Base 2.8.4 + _ZN8LexerCPP15SubStylesLengthEi@Base 2.8.4 + _ZN8LexerCPP16DescribePropertyEPKc@Base 2.8.4 + _ZN8LexerCPP16GetSubStyleBasesEv@Base 2.8.4 + _ZN8LexerCPP17AllocateSubStylesEii@Base 2.8.4 + _ZN8LexerCPP17StyleFromSubStyleEi@Base 2.8.4 + _ZN8LexerCPP18EvaluateExpressionERKSsRKSt3mapISsNS_11SymbolValueESt4lessISsESaISt4pairIS0_S3_EEE@Base 2.9 + _ZN8LexerCPP20DescribeWordListSetsEv@Base 2.8.4 + _ZN8LexerCPP21LineEndTypesSupportedEv@Base 2.8.4 + _ZN8LexerCPP21PrimaryStyleFromStyleEi@Base 2.8.4 + _ZN8LexerCPP25DistanceToSecondaryStylesEv@Base 2.8.4 + _ZN8LexerCPP26LexerFactoryCPPInsensitiveEv@Base 2.8.4 + _ZN8LexerCPP3LexEjiiP9IDocument@Base 2.8.4 + _ZN8LexerCPP4FoldEjiiP9IDocument@Base 2.8.4 + _ZN8LexerCPP7ReleaseEv@Base 2.8.4 + _ZN8LexerCPPC1Eb@Base 2.8.4 + _ZN8LexerCPPC2Eb@Base 2.8.4 + _ZN8LexerCPPD0Ev@Base 2.8.4 + _ZN8LexerCPPD1Ev@Base 2.8.4 + _ZN8LexerCPPD2Ev@Base 2.8.4 + _ZN8LexerSQL11PrivateCallEiPv@Base 2.8.4 + _ZN8LexerSQL11PropertySetEPKcS1_@Base 2.8.4 + _ZN8LexerSQL11WordListSetEiPKc@Base 2.8.4 + _ZN8LexerSQL12PropertyTypeEPKc@Base 2.8.4 + _ZN8LexerSQL13IsCommentLineEiR11LexAccessor@Base 2.8.4 + _ZN8LexerSQL13PropertyNamesEv@Base 2.8.4 + _ZN8LexerSQL14IsCommentStyleEi@Base 2.8.4 + _ZN8LexerSQL15LexerFactorySQLEv@Base 2.8.4 + _ZN8LexerSQL16DescribePropertyEPKc@Base 2.8.4 + _ZN8LexerSQL20DescribeWordListSetsEv@Base 2.8.4 + _ZN8LexerSQL20IsStreamCommentStyleEi@Base 2.8.4 + _ZN8LexerSQL3LexEjiiP9IDocument@Base 2.8.4 + _ZN8LexerSQL4FoldEjiiP9IDocument@Base 2.8.4 + _ZN8LexerSQL7ReleaseEv@Base 2.8.4 + _ZN8LexerSQLC1Ev@Base 2.8.4 + _ZN8LexerSQLC2Ev@Base 2.8.4 + _ZN8LexerSQLD0Ev@Base 2.8.4 + _ZN8LexerSQLD1Ev@Base 2.8.4 + _ZN8LexerSQLD2Ev@Base 2.8.4 + _ZN8OptionsDC1Ev@Base 2.8.4 + _ZN8OptionsDC2Ev@Base 2.8.4 + _ZN8OptionsDD1Ev@Base 2.8.4 + _ZN8OptionsDD2Ev@Base 2.8.4 + _ZN8Platform11DebugPrintfEPKcz@Base 2.8.4 + _ZN8Platform11DefaultFontEv@Base 2.8.4 + _ZN8Platform12DebugDisplayEPKc@Base 2.8.4 + _ZN8Platform13SendScintillaEPvjml@Base 2.8.4 + _ZN8Platform14DBCSCharLengthEiPKc@Base 2.8.4 + _ZN8Platform14IsDBCSLeadByteEic@Base 2.8.4 + _ZN8Platform15ChromeHighlightEv@Base 2.8.4 + _ZN8Platform15DefaultFontSizeEv@Base 2.8.4 + _ZN8Platform15DoubleClickTimeEv@Base 2.8.4 + _ZN8Platform16LowShortFromLongEl@Base 2.8.4 + _ZN8Platform17DBCSCharMaxLengthEv@Base 2.8.4 + _ZN8Platform17HighShortFromLongEl@Base 2.8.4 + _ZN8Platform17MouseButtonBounceEv@Base 2.8.4 + _ZN8Platform19ShowAssertionPopUpsEb@Base 2.8.4 + _ZN8Platform20SendScintillaPointerEPvjmS0_@Base 2.8.4 + _ZN8Platform5ClampEiii@Base 2.8.4 + _ZN8Platform6AssertEPKcS1_i@Base 2.8.4 + _ZN8Platform6ChromeEv@Base 2.8.4 + _ZN8Platform7MaximumEii@Base 2.8.4 + _ZN8Platform7MinimumEii@Base 2.8.4 + _ZN8Platform9IsKeyDownEi@Base 2.8.4 + _ZN8QCharRefC1ER7QStringi@Base 2.8.4 + _ZN8QCharRefC2ER7QStringi@Base 2.8.4 + (optional=templinst)_ZN8QMapDataI7QString5QListI5QPairIjjEEE10createNodeERKS0_RKS4_P8QMapNodeIS0_S4_Eb@Base 2.8.4 + (optional=templinst)_ZN8QMapDataI7QString5QListI5QPairIjjEEE3endEv@Base 2.8.4 + (optional=templinst)_ZN8QMapDataI7QString5QListI5QPairIjjEEE5beginEv@Base 2.8.4 + (optional=templinst)_ZN8QMapDataI7QString5QListI5QPairIjjEEE6createEv@Base 2.8.4 + (optional=templinst)_ZN8QMapDataI7QString5QListI5QPairIjjEEE7destroyEv@Base 2.8.4 + (optional=templinst)_ZN8QMapDataI7QStringS0_E10createNodeERKS0_S3_P8QMapNodeIS0_S0_Eb@Base 2.8.4 + (optional=templinst)_ZN8QMapDataI7QStringS0_E3endEv@Base 2.8.4 + (optional=templinst)_ZN8QMapDataI7QStringS0_E6createEv@Base 2.8.4 + (optional=templinst)_ZN8QMapDataI7QStringS0_E7destroyEv@Base 2.8.4 + (optional=templinst)_ZN8QMapDataIi7QPixmapE10createNodeERKiRKS0_P8QMapNodeIiS0_Eb@Base 2.8.4 + (optional=templinst)_ZN8QMapDataIi7QPixmapE3endEv@Base 2.8.4 + (optional=templinst)_ZN8QMapDataIi7QPixmapE5beginEv@Base 2.8.4 + (optional=templinst)_ZN8QMapDataIi7QPixmapE6createEv@Base 2.8.4 + (optional=templinst)_ZN8QMapDataIi7QPixmapE7destroyEv@Base 2.8.4 + (optional=templinst)_ZN8QMapDataIiN9QsciLexer9StyleDataEE10createNodeERKiRKS1_P8QMapNodeIiS1_Eb@Base 2.8.4 + (optional=templinst)_ZN8QMapDataIiN9QsciLexer9StyleDataEE3endEv@Base 2.8.4 + (optional=templinst)_ZN8QMapDataIiN9QsciLexer9StyleDataEE6createEv@Base 2.8.4 + (optional=templinst)_ZN8QMapDataIiN9QsciLexer9StyleDataEE7destroyEv@Base 2.8.4 + (optional=templinst)_ZN8QMapNodeI7QString5QListI5QPairIjjEEE10lowerBoundERKS0_@Base 2.8.4 + (optional=templinst)_ZN8QMapNodeI7QString5QListI5QPairIjjEEE14destroySubTreeEv@Base 2.8.4 + (optional=templinst)_ZN8QMapNodeI7QStringS0_E10lowerBoundERKS0_@Base 2.8.4 + (optional=templinst)_ZN8QMapNodeI7QStringS0_E14destroySubTreeEv@Base 2.8.4 + (optional=templinst)_ZN8QMapNodeIi7QPixmapE10lowerBoundERKi@Base 2.8.4 + (optional=templinst)_ZN8QMapNodeIi7QPixmapE14destroySubTreeEv@Base 2.8.4 + (optional=templinst)_ZN8QMapNodeIiN9QsciLexer9StyleDataEE10lowerBoundERKi@Base 2.8.4 + (optional=templinst)_ZN8QMapNodeIiN9QsciLexer9StyleDataEE14destroySubTreeEv@Base 2.8.4 + _ZN8QPainter10drawPixmapERK7QPointFRK7QPixmapRK6QRectF@Base 2.8.4 + _ZN8QPainter11drawPolygonERK9QPolygonFN2Qt8FillRuleE@Base 2.8.4 + _ZN8QPainter8drawLineEiiii@Base 2.8.4 + _ZN8QPainter8drawRectERK6QRectF@Base 2.8.4 + (optional=templinst)_ZN8QPointerI9QsciLexerEC1Ev@Base 2.8.4 + (optional=templinst)_ZN8QPointerI9QsciLexerEC2Ev@Base 2.8.4 + (optional=templinst)_ZN8QPointerI9QsciLexerED1Ev@Base 2.8.4 + (optional=templinst)_ZN8QPointerI9QsciLexerED2Ev@Base 2.8.4 + (optional=templinst)_ZN8QPointerI9QsciLexerEaSEPS0_@Base 2.8.4 + _ZN8QVariant7PrivateC1Ev@Base 2.8.4 + _ZN8QVariant7PrivateC2Ev@Base 2.8.4 + _ZN8QVariantC1Ev@Base 2.8.4 + _ZN8QVariantC2Ev@Base 2.8.4 + _ZN8QsciAPIs11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN8QsciAPIs11qt_metacastEPKc@Base 2.8.4 + _ZN8QsciAPIs12deleteWorkerEv@Base 2.8.4 + _ZN8QsciAPIs12enoughCommasERK7QStringi@Base 2.8.4 + _ZN8QsciAPIs12loadPreparedERK7QString@Base 2.8.4 + _ZN8QsciAPIs13addAPIEntriesERK5QListI5QPairIjjEEbR11QStringListRb@Base 2.8.4 + _ZN8QsciAPIs14positionOriginERK11QStringListR7QString@Base 2.8.4 + _ZN8QsciAPIs15lastPartialWordERK7QStringR11QStringListRb@Base 2.8.4 + _ZN8QsciAPIs16lastCompleteWordERK7QStringR11QStringListRb@Base 2.8.4 + _ZN8QsciAPIs16originStartsWithERK7QStringS2_@Base 2.8.4 + _ZN8QsciAPIs16staticMetaObjectE@Base 2.8.4 + _ZN8QsciAPIs17cancelPreparationEv@Base 2.8.4 + _ZN8QsciAPIs21apiPreparationStartedEv@Base 2.8.4 + _ZN8QsciAPIs22apiPreparationFinishedEv@Base 2.8.4 + _ZN8QsciAPIs22autoCompletionSelectedERK7QString@Base 2.8.4 + _ZN8QsciAPIs23apiPreparationCancelledEv@Base 2.8.4 + _ZN8QsciAPIs24updateAutoCompletionListERK11QStringListRS0_@Base 2.8.4 + _ZN8QsciAPIs3addERK7QString@Base 2.8.4 + _ZN8QsciAPIs4loadERK7QString@Base 2.8.4 + _ZN8QsciAPIs5clearEv@Base 2.8.4 + _ZN8QsciAPIs5eventEP6QEvent@Base 2.8.4 + _ZN8QsciAPIs6removeERK7QString@Base 2.8.4 + _ZN8QsciAPIs7prepareEv@Base 2.8.4 + _ZN8QsciAPIs8callTipsERK11QStringListiN13QsciScintilla13CallTipsStyleER5QListIiE@Base 2.8.4 + _ZN8QsciAPIsC1EP9QsciLexer@Base 2.8.4 + _ZN8QsciAPIsC2EP9QsciLexer@Base 2.8.4 + _ZN8QsciAPIsD0Ev@Base 2.8.4 + _ZN8QsciAPIsD1Ev@Base 2.8.4 + _ZN8QsciAPIsD2Ev@Base 2.8.4 + _ZN8QuoteCls3NewEv@Base 2.8.4 + _ZN8QuoteCls4OpenEc@Base 2.8.4 + _ZN8QuoteClsC1ERKS_@Base 2.8.4 + _ZN8QuoteClsC1Ev@Base 2.8.4 + _ZN8QuoteClsC2ERKS_@Base 2.8.4 + _ZN8QuoteClsC2Ev@Base 2.8.4 + _ZN8QuoteClsaSERKS_@Base 2.8.4 + _ZN8RESearch11GrabMatchesER16CharacterIndexer@Base 2.8.4 + _ZN8RESearch13ChSetWithCaseEhb@Base 2.8.4 + _ZN8RESearch22GetBackslashExpressionEPKcRi@Base 2.8.4 + _ZN8RESearch5ChSetEh@Base 2.8.4 + _ZN8RESearch5ClearEv@Base 2.8.4 + _ZN8RESearch6PMatchER16CharacterIndexeriiPc@Base 2.8.4 + _ZN8RESearch7CompileEPKcibb@Base 2.8.4 + _ZN8RESearch7ExecuteER16CharacterIndexerii@Base 2.8.4 + _ZN8RESearchC1EP12CharClassify@Base 2.8.4 + _ZN8RESearchC2EP12CharClassify@Base 2.8.4 + _ZN8RESearchD1Ev@Base 2.8.4 + _ZN8RESearchD2Ev@Base 2.8.4 + _ZN8VarChainC1EPKcPKS_@Base 2.8.4 + _ZN8VarChainC2EPKcPKS_@Base 2.8.4 + _ZN8WordList3SetEPKc@Base 2.8.4 + _ZN8WordList5ClearEv@Base 2.8.4 + _ZN8WordListC1Eb@Base 2.8.4 + _ZN8WordListC2Eb@Base 2.8.4 + _ZN8WordListD1Ev@Base 2.8.4 + _ZN8WordListD2Ev@Base 2.8.4 + _ZN9Catalogue14AddLexerModuleEP11LexerModule@Base 2.8.4 + _ZN9Catalogue4FindEPKc@Base 2.8.4 + _ZN9Catalogue4FindEi@Base 2.8.4 + _ZN9EditModelC1Ev@Base 2.9 + _ZN9EditModelC2Ev@Base 2.9 + _ZN9EditModelD0Ev@Base 2.9 + _ZN9EditModelD1Ev@Base 2.9 + _ZN9EditModelD2Ev@Base 2.9 + _ZN9FontAlias9ClearFontEv@Base 2.8.4 + _ZN9FontAlias9MakeAliasER4Font@Base 2.8.4 + _ZN9FontAliasC1ERKS_@Base 2.9 + _ZN9FontAliasC1Ev@Base 2.8.4 + _ZN9FontAliasC2ERKS_@Base 2.9 + _ZN9FontAliasC2Ev@Base 2.8.4 + _ZN9FontAliasD0Ev@Base 2.8.4 + _ZN9FontAliasD1Ev@Base 2.8.4 + _ZN9FontAliasD2Ev@Base 2.8.4 + _ZN9FontNames4SaveEPKc@Base 2.8.4 + _ZN9FontNames5ClearEv@Base 2.8.4 + _ZN9FontNamesC1Ev@Base 2.8.4 + _ZN9FontNamesC2Ev@Base 2.8.4 + _ZN9FontNamesD1Ev@Base 2.8.4 + _ZN9FontNamesD2Ev@Base 2.8.4 + _ZN9IDocumentC1Ev@Base 2.8.4 + _ZN9IDocumentC2Ev@Base 2.8.4 + _ZN9Indicator8SetFlagsEi@Base 2.9 + _ZN9IndicatorC1Ei13ColourDesiredbii@Base 2.9 + _ZN9IndicatorC1Ev@Base 2.8.4 + _ZN9IndicatorC2Ei13ColourDesiredbii@Base 2.9 + _ZN9IndicatorC2Ev@Base 2.8.4 + _ZN9LexerBase11PrivateCallEiPv@Base 2.8.4 + _ZN9LexerBase11PropertySetEPKcS1_@Base 2.8.4 + _ZN9LexerBase11WordListSetEiPKc@Base 2.8.4 + _ZN9LexerBase12PropertyTypeEPKc@Base 2.8.4 + _ZN9LexerBase13PropertyNamesEv@Base 2.8.4 + _ZN9LexerBase16DescribePropertyEPKc@Base 2.8.4 + _ZN9LexerBase20DescribeWordListSetsEv@Base 2.8.4 + _ZN9LexerBase7ReleaseEv@Base 2.8.4 + _ZN9LexerBaseC1Ev@Base 2.8.4 + _ZN9LexerBaseC2Ev@Base 2.8.4 + _ZN9LexerBaseD0Ev@Base 2.8.4 + _ZN9LexerBaseD1Ev@Base 2.8.4 + _ZN9LexerBaseD2Ev@Base 2.8.4 + _ZN9LexerDMIS11PrivateCallEiPv@Base 2.9 + _ZN9LexerDMIS11PropertySetEPKcS1_@Base 2.9 + _ZN9LexerDMIS11WordListSetEiPKc@Base 2.9 + _ZN9LexerDMIS12PropertyTypeEPKc@Base 2.9 + _ZN9LexerDMIS13PropertyNamesEv@Base 2.9 + _ZN9LexerDMIS16DescribePropertyEPKc@Base 2.9 + _ZN9LexerDMIS16InitWordListSetsEv@Base 2.9 + _ZN9LexerDMIS16LexerFactoryDMISEv@Base 2.9 + _ZN9LexerDMIS20DescribeWordListSetsEv@Base 2.9 + _ZN9LexerDMIS3LexEjiiP9IDocument@Base 2.9 + _ZN9LexerDMIS4FoldEjiiP9IDocument@Base 2.9 + _ZN9LexerDMIS7ReleaseEv@Base 2.9 + _ZN9LexerDMIS9UpperCaseEPc@Base 2.9 + _ZN9LexerDMISC1Ev@Base 2.9 + _ZN9LexerDMISC2Ev@Base 2.9 + _ZN9LexerDMISD0Ev@Base 2.9 + _ZN9LexerDMISD1Ev@Base 2.9 + _ZN9LexerDMISD2Ev@Base 2.9 + _ZN9LexerPerl11PrivateCallEiPv@Base 2.8.4 + _ZN9LexerPerl11PropertySetEPKcS1_@Base 2.8.4 + _ZN9LexerPerl11WordListSetEiPKc@Base 2.8.4 + _ZN9LexerPerl12PropertyTypeEPKc@Base 2.8.4 + _ZN9LexerPerl13PropertyNamesEv@Base 2.8.4 + _ZN9LexerPerl15InputSymbolScanER12StyleContext@Base 2.8.4 + _ZN9LexerPerl16DescribePropertyEPKc@Base 2.8.4 + _ZN9LexerPerl16LexerFactoryPerlEv@Base 2.8.4 + _ZN9LexerPerl18InterpolateSegmentER12StyleContextib@Base 2.8.4 + _ZN9LexerPerl20DescribeWordListSetsEv@Base 2.8.4 + _ZN9LexerPerl3LexEjiiP9IDocument@Base 2.8.4 + _ZN9LexerPerl4FoldEjiiP9IDocument@Base 2.8.4 + _ZN9LexerPerl7ReleaseEv@Base 2.8.4 + _ZN9LexerPerlC1Ev@Base 2.8.4 + _ZN9LexerPerlC2Ev@Base 2.8.4 + _ZN9LexerPerlD0Ev@Base 2.8.4 + _ZN9LexerPerlD1Ev@Base 2.8.4 + _ZN9LexerPerlD2Ev@Base 2.8.4 + _ZN9LexerRust11PrivateCallEiPv@Base 2.8.4 + _ZN9LexerRust11PropertySetEPKcS1_@Base 2.8.4 + _ZN9LexerRust11WordListSetEiPKc@Base 2.8.4 + _ZN9LexerRust12PropertyTypeEPKc@Base 2.8.4 + _ZN9LexerRust13PropertyNamesEv@Base 2.8.4 + _ZN9LexerRust16DescribePropertyEPKc@Base 2.8.4 + _ZN9LexerRust16LexerFactoryRustEv@Base 2.8.4 + _ZN9LexerRust20DescribeWordListSetsEv@Base 2.8.4 + _ZN9LexerRust3LexEjiiP9IDocument@Base 2.8.4 + _ZN9LexerRust4FoldEjiiP9IDocument@Base 2.8.4 + _ZN9LexerRust7ReleaseEv@Base 2.8.4 + _ZN9LexerRustC1Ev@Base 2.8.4 + _ZN9LexerRustC2Ev@Base 2.8.4 + _ZN9LexerRustD0Ev@Base 2.8.4 + _ZN9LexerRustD1Ev@Base 2.8.4 + _ZN9LexerRustD2Ev@Base 2.8.4 + _ZN9LineState10InsertLineEi@Base 2.8.4 + _ZN9LineState10RemoveLineEi@Base 2.8.4 + _ZN9LineState12GetLineStateEi@Base 2.8.4 + _ZN9LineState12SetLineStateEii@Base 2.8.4 + _ZN9LineState4InitEv@Base 2.8.4 + _ZN9LineStateC1Ev@Base 2.8.4 + _ZN9LineStateC2Ev@Base 2.8.4 + _ZN9LineStateD0Ev@Base 2.8.4 + _ZN9LineStateD1Ev@Base 2.8.4 + _ZN9LineStateD2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmE10AppendNameEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmE11PropertySetEPS0_PKcS4_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmE12PropertyTypeEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmE14DefinePropertyEPKcMS0_SsSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmE14DefinePropertyEPKcMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmE16DescribePropertyEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmE18DefineWordListSetsEPKPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmE6OptionC1EMS0_SsSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmE6OptionC1EMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmE6OptionC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmE6OptionC1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmE6OptionC2EMS0_SsSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmE6OptionC2EMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmE6OptionC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmE6OptionC2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmE6OptionD1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmE6OptionD2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmE6OptionaSERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmED0Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmED1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsAsmED2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsSQLE10AppendNameEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsSQLE11PropertySetEPS0_PKcS4_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsSQLE12PropertyTypeEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsSQLE14DefinePropertyEPKcMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsSQLE16DescribePropertyEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsSQLE18DefineWordListSetsEPKPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsSQLE6OptionC1EMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsSQLE6OptionC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsSQLE6OptionC1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsSQLE6OptionC2EMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsSQLE6OptionC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsSQLE6OptionC2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsSQLE6OptionD1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsSQLE6OptionD2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsSQLE6OptionaSERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsSQLEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsSQLEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsSQLED0Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsSQLED1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI10OptionsSQLED2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsPerlE10AppendNameEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsPerlE11PropertySetEPS0_PKcS4_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsPerlE12PropertyTypeEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsPerlE14DefinePropertyEPKcMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsPerlE16DescribePropertyEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsPerlE18DefineWordListSetsEPKPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsPerlE6OptionC1EMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsPerlE6OptionC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsPerlE6OptionC1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsPerlE6OptionC2EMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsPerlE6OptionC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsPerlE6OptionC2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsPerlE6OptionD1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsPerlE6OptionD2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsPerlE6OptionaSERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsPerlEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsPerlEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsPerlED0Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsPerlED1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsPerlED2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE10AppendNameEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE11PropertySetEPS0_PKcS4_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE12PropertyTypeEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE14DefinePropertyEPKcMS0_SsSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE14DefinePropertyEPKcMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE14DefinePropertyEPKcMS0_iSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE16DescribePropertyEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE18DefineWordListSetsEPKPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE6OptionC1EMS0_SsSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE6OptionC1EMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE6OptionC1EMS0_iSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE6OptionC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE6OptionC1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE6OptionC2EMS0_SsSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE6OptionC2EMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE6OptionC2EMS0_iSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE6OptionC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE6OptionC2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE6OptionD1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE6OptionD2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustE6OptionaSERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustED0Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustED1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI11OptionsRustED2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicE10AppendNameEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicE11PropertySetEPS0_PKcS4_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicE12PropertyTypeEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicE14DefinePropertyEPKcMS0_SsSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicE14DefinePropertyEPKcMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicE16DescribePropertyEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicE18DefineWordListSetsEPKPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicE6OptionC1EMS0_SsSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicE6OptionC1EMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicE6OptionC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicE6OptionC1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicE6OptionC2EMS0_SsSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicE6OptionC2EMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicE6OptionC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicE6OptionC2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicE6OptionD1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicE6OptionD2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicE6OptionaSERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicED0Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicED1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI12OptionsBasicED2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI14OptionsHaskellE10AppendNameEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI14OptionsHaskellE11PropertySetEPS0_PKcS4_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI14OptionsHaskellE12PropertyTypeEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI14OptionsHaskellE14DefinePropertyEPKcMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI14OptionsHaskellE16DescribePropertyEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI14OptionsHaskellE18DefineWordListSetsEPKPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI14OptionsHaskellE6OptionC1EMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI14OptionsHaskellE6OptionC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI14OptionsHaskellE6OptionC1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI14OptionsHaskellE6OptionC2EMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI14OptionsHaskellE6OptionC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI14OptionsHaskellE6OptionC2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI14OptionsHaskellE6OptionD1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI14OptionsHaskellE6OptionD2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI14OptionsHaskellE6OptionaSERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI14OptionsHaskellEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI14OptionsHaskellEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI14OptionsHaskellED0Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI14OptionsHaskellED1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI14OptionsHaskellED2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI15OptionsRegistryE10AppendNameEPKc@Base 2.9 + (optional=templinst)_ZN9OptionSetI15OptionsRegistryE11PropertySetEPS0_PKcS4_@Base 2.9 + (optional=templinst)_ZN9OptionSetI15OptionsRegistryE12PropertyTypeEPKc@Base 2.9 + (optional=templinst)_ZN9OptionSetI15OptionsRegistryE14DefinePropertyEPKcMS0_bSs@Base 2.9 + (optional=templinst)_ZN9OptionSetI15OptionsRegistryE16DescribePropertyEPKc@Base 2.9 + (optional=templinst)_ZN9OptionSetI15OptionsRegistryE18DefineWordListSetsEPKPKc@Base 2.9 + (optional=templinst)_ZN9OptionSetI15OptionsRegistryE6OptionC1EMS0_bSs@Base 2.9 + (optional=templinst)_ZN9OptionSetI15OptionsRegistryE6OptionC1ERKS2_@Base 2.9 + (optional=templinst)_ZN9OptionSetI15OptionsRegistryE6OptionC1Ev@Base 2.9 + (optional=templinst)_ZN9OptionSetI15OptionsRegistryE6OptionC2EMS0_bSs@Base 2.9 + (optional=templinst)_ZN9OptionSetI15OptionsRegistryE6OptionC2ERKS2_@Base 2.9 + (optional=templinst)_ZN9OptionSetI15OptionsRegistryE6OptionC2Ev@Base 2.9 + (optional=templinst)_ZN9OptionSetI15OptionsRegistryE6OptionD1Ev@Base 2.9 + (optional=templinst)_ZN9OptionSetI15OptionsRegistryE6OptionD2Ev@Base 2.9 + (optional=templinst)_ZN9OptionSetI15OptionsRegistryE6OptionaSERKS2_@Base 2.9 + (optional=templinst)_ZN9OptionSetI15OptionsRegistryEC1Ev@Base 2.9 + (optional=templinst)_ZN9OptionSetI15OptionsRegistryEC2Ev@Base 2.9 + (optional=templinst)_ZN9OptionSetI15OptionsRegistryED0Ev@Base 2.9 + (optional=templinst)_ZN9OptionSetI15OptionsRegistryED1Ev@Base 2.9 + (optional=templinst)_ZN9OptionSetI15OptionsRegistryED2Ev@Base 2.9 + (optional=templinst)_ZN9OptionSetI19OptionsVisualPrologE11PropertySetEPS0_PKcS4_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI19OptionsVisualPrologE12PropertyTypeEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI19OptionsVisualPrologE16DescribePropertyEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI19OptionsVisualPrologE18DefineWordListSetsEPKPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI19OptionsVisualPrologE6OptionD1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI19OptionsVisualPrologE6OptionD2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI19OptionsVisualPrologEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI19OptionsVisualPrologEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI19OptionsVisualPrologED0Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI19OptionsVisualPrologED1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI19OptionsVisualPrologED2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE10AppendNameEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE11PropertySetEPS0_PKcS4_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE12PropertyTypeEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE14DefinePropertyEPKcMS0_SsSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE14DefinePropertyEPKcMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE14DefinePropertyEPKcMS0_iSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE16DescribePropertyEPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE18DefineWordListSetsEPKPKc@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE6OptionC1EMS0_SsSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE6OptionC1EMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE6OptionC1EMS0_iSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE6OptionC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE6OptionC1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE6OptionC2EMS0_SsSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE6OptionC2EMS0_bSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE6OptionC2EMS0_iSs@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE6OptionC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE6OptionC2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE6OptionD1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE6OptionD2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDE6OptionaSERKS2_@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDED0Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDED1Ev@Base 2.8.4 + (optional=templinst)_ZN9OptionSetI8OptionsDED2Ev@Base 2.8.4 + _ZN9QIODevice5writeERK10QByteArray@Base 2.8.4 + _ZN9QListData7disposeEv@Base 2.8.4 + _ZN9QPolygonFC1Ei@Base 2.8.4 + _ZN9QPolygonFC2Ei@Base 2.8.4 + _ZN9QPolygonFD1Ev@Base 2.8.4 + _ZN9QPolygonFD2Ev@Base 2.8.4 + _ZN9QsciLexer10setEolFillEbi@Base 2.8.4 + _ZN9QsciLexer11fontChangedERK5QFonti@Base 2.8.4 + _ZN9QsciLexer11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN9QsciLexer11qt_metacastEPKc@Base 2.8.4 + _ZN9QsciLexer12StyleDataMapC1Ev@Base 2.8.4 + _ZN9QsciLexer12StyleDataMapC2Ev@Base 2.8.4 + _ZN9QsciLexer12StyleDataMapD1Ev@Base 2.8.4 + _ZN9QsciLexer12StyleDataMapD2Ev@Base 2.8.4 + _ZN9QsciLexer12colorChangedERK6QColori@Base 2.8.4 + _ZN9QsciLexer12paperChangedERK6QColori@Base 2.8.4 + _ZN9QsciLexer12readSettingsER9QSettingsPKc@Base 2.8.4 + _ZN9QsciLexer14eolFillChangedEbi@Base 2.8.4 + _ZN9QsciLexer14readPropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZN9QsciLexer14setDefaultFontERK5QFont@Base 2.8.4 + _ZN9QsciLexer15autoIndentStyleEv@Base 2.8.4 + _ZN9QsciLexer15propertyChangedEPKcS1_@Base 2.8.4 + _ZN9QsciLexer15setDefaultColorERK6QColor@Base 2.8.4 + _ZN9QsciLexer15setDefaultPaperERK6QColor@Base 2.8.4 + _ZN9QsciLexer16staticMetaObjectE@Base 2.8.4 + _ZN9QsciLexer17refreshPropertiesEv@Base 2.8.4 + _ZN9QsciLexer18setAutoIndentStyleEi@Base 2.8.4 + _ZN9QsciLexer7setAPIsEP16QsciAbstractAPIs@Base 2.8.4 + _ZN9QsciLexer7setFontERK5QFonti@Base 2.8.4 + _ZN9QsciLexer8setColorERK6QColori@Base 2.8.4 + _ZN9QsciLexer8setPaperERK6QColori@Base 2.8.4 + _ZN9QsciLexer9StyleDataC1ERKS0_@Base 2.8.4 + _ZN9QsciLexer9StyleDataC1Ev@Base 2.8.4 + _ZN9QsciLexer9StyleDataC2ERKS0_@Base 2.8.4 + _ZN9QsciLexer9StyleDataC2Ev@Base 2.8.4 + _ZN9QsciLexer9StyleDataD1Ev@Base 2.8.4 + _ZN9QsciLexer9StyleDataD2Ev@Base 2.8.4 + _ZN9QsciLexer9StyleDataaSERKS0_@Base 2.8.4 + _ZN9QsciLexer9setEditorEP13QsciScintilla@Base 2.8.4 + _ZN9QsciLexerC1EP7QObject@Base 2.8.4 + _ZN9QsciLexerC2EP7QObject@Base 2.8.4 + _ZN9QsciLexerD0Ev@Base 2.8.4 + _ZN9QsciLexerD1Ev@Base 2.8.4 + _ZN9QsciLexerD2Ev@Base 2.8.4 + _ZN9QsciMacro11qt_metacallEN11QMetaObject4CallEiPPv@Base 2.8.4 + _ZN9QsciMacro11qt_metacastEPKc@Base 2.8.4 + _ZN9QsciMacro12endRecordingEv@Base 2.8.4 + _ZN9QsciMacro14startRecordingEv@Base 2.8.4 + _ZN9QsciMacro16staticMetaObjectE@Base 2.8.4 + _ZN9QsciMacro4loadERK7QString@Base 2.8.4 + _ZN9QsciMacro4playEv@Base 2.8.4 + _ZN9QsciMacro5MacroC1ERKS0_@Base 2.8.4 + _ZN9QsciMacro5MacroC1Ev@Base 2.8.4 + _ZN9QsciMacro5MacroC2ERKS0_@Base 2.8.4 + _ZN9QsciMacro5MacroC2Ev@Base 2.8.4 + _ZN9QsciMacro5MacroD1Ev@Base 2.8.4 + _ZN9QsciMacro5MacroD2Ev@Base 2.8.4 + _ZN9QsciMacro5clearEv@Base 2.8.4 + _ZN9QsciMacro6recordEjmPv@Base 2.8.4 + _ZN9QsciMacroC1EP13QsciScintilla@Base 2.8.4 + _ZN9QsciMacroC1ERK7QStringP13QsciScintilla@Base 2.8.4 + _ZN9QsciMacroC2EP13QsciScintilla@Base 2.8.4 + _ZN9QsciMacroC2ERK7QStringP13QsciScintilla@Base 2.8.4 + _ZN9QsciMacroD0Ev@Base 2.8.4 + _ZN9QsciMacroD1Ev@Base 2.8.4 + _ZN9QsciMacroD2Ev@Base 2.8.4 + _ZN9QsciStyle10setEolFillEb@Base 2.8.4 + _ZN9QsciStyle10setHotspotEb@Base 2.8.4 + _ZN9QsciStyle10setVisibleEb@Base 2.8.4 + _ZN9QsciStyle11setTextCaseENS_8TextCaseE@Base 2.8.4 + _ZN9QsciStyle13setChangeableEb@Base 2.8.4 + _ZN9QsciStyle14setDescriptionERK7QString@Base 2.8.4 + _ZN9QsciStyle4initEi@Base 2.8.4 + _ZN9QsciStyle7refreshEv@Base 2.8.4 + _ZN9QsciStyle7setFontERK5QFont@Base 2.8.4 + _ZN9QsciStyle8setColorERK6QColor@Base 2.8.4 + _ZN9QsciStyle8setPaperERK6QColor@Base 2.8.4 + _ZN9QsciStyleC1ERKS_@Base 2.8.4 + _ZN9QsciStyleC1Ei@Base 2.8.4 + _ZN9QsciStyleC1EiRK7QStringRK6QColorS5_RK5QFontb@Base 2.8.4 + _ZN9QsciStyleC2ERKS_@Base 2.8.4 + _ZN9QsciStyleC2Ei@Base 2.8.4 + _ZN9QsciStyleC2EiRK7QStringRK6QColorS5_RK5QFontb@Base 2.8.4 + (optional=templinst)_ZN9QtPrivate15MetaTypeInvokerINS_19QVariantValueHelperI11QTextFormatEERK8QVariantS2_E6invokeES6_@Base 2.8.4 + (optional=templinst)_ZN9QtPrivate19QVariantValueHelperI11QTextFormatE8metaTypeERK8QVariant@Base 2.8.4 + _ZN9QtPrivate8RefCount3refEv@Base 2.8.4 + _ZN9QtPrivate8RefCount5derefEv@Base 2.8.4 + _ZN9RGBAImage8SetPixelEii13ColourDesiredi@Base 2.8.4 + _ZN9RGBAImageC1ERK3XPM@Base 2.8.4 + _ZN9RGBAImageC1EiifPKh@Base 2.8.4 + _ZN9RGBAImageC2ERK3XPM@Base 2.8.4 + _ZN9RGBAImageC2EiifPKh@Base 2.8.4 + _ZN9RGBAImageD0Ev@Base 2.8.4 + _ZN9RGBAImageD1Ev@Base 2.8.4 + _ZN9RGBAImageD2Ev@Base 2.8.4 + _ZN9RunStyles10SetValueAtEii@Base 2.8.4 + _ZN9RunStyles11DeleteRangeEii@Base 2.8.4 + _ZN9RunStyles11InsertSpaceEii@Base 2.8.4 + _ZN9RunStyles16RemoveRunIfEmptyEi@Base 2.8.4 + _ZN9RunStyles25RemoveRunIfSameAsPreviousEi@Base 2.8.4 + _ZN9RunStyles8SplitRunEi@Base 2.8.4 + _ZN9RunStyles9DeleteAllEv@Base 2.8.4 + _ZN9RunStyles9FillRangeERiiS0_@Base 2.8.4 + _ZN9RunStyles9RemoveRunEi@Base 2.8.4 + _ZN9RunStylesC1Ev@Base 2.8.4 + _ZN9RunStylesC2Ev@Base 2.8.4 + _ZN9RunStylesD1Ev@Base 2.8.4 + _ZN9RunStylesD2Ev@Base 2.8.4 + _ZN9SQLStates10IgnoreWhenEjb@Base 2.8.4 + _ZN9SQLStates12EndCaseBlockEj@Base 2.8.4 + _ZN9SQLStates12IsIgnoreWhenEj@Base 2.8.4 + _ZN9SQLStates13IntoConditionEjb@Base 2.8.4 + _ZN9SQLStates14BeginCaseBlockEj@Base 2.8.4 + _ZN9SQLStates15IsIntoCaseBlockEj@Base 2.8.4 + _ZN9SQLStates15IsIntoConditionEj@Base 2.8.4 + _ZN9SQLStates16IntoDeclareBlockEjb@Base 2.8.4 + _ZN9SQLStates18IntoExceptionBlockEjb@Base 2.8.4 + _ZN9SQLStates18IntoMergeStatementEjb@Base 2.8.4 + _ZN9SQLStates18IsIntoDeclareBlockEj@Base 2.8.4 + _ZN9SQLStates19IntoCreateStatementEjb@Base 2.8.4 + _ZN9SQLStates20IsIntoExceptionBlockEj@Base 2.8.4 + _ZN9SQLStates20IsIntoMergeStatementEj@Base 2.8.4 + _ZN9SQLStates21IsIntoCreateStatementEj@Base 2.8.4 + _ZN9SQLStates23IntoCreateViewStatementEjb@Base 2.8.4 + _ZN9SQLStates25CaseMergeWithoutWhenFoundEjb@Base 2.8.4 + _ZN9SQLStates25IntoCreateViewAsStatementEjb@Base 2.8.4 + _ZN9SQLStates25IsIntoCreateViewStatementEj@Base 2.8.4 + _ZN9SQLStates27IsCaseMergeWithoutWhenFoundEj@Base 2.8.4 + _ZN9SQLStates27IsIntoCreateViewAsStatementEj@Base 2.8.4 + _ZN9SQLStates31IntoSelectStatementOrAssignmentEjb@Base 2.8.4 + _ZN9SQLStates33IsIntoSelectStatementOrAssignmentEj@Base 2.8.4 + _ZN9SQLStates3SetEit@Base 2.8.4 + _ZN9SQLStates7ForLineEi@Base 2.8.4 + _ZN9SQLStatesC1Ev@Base 2.8.4 + _ZN9SQLStatesC2Ev@Base 2.8.4 + _ZN9SQLStatesD1Ev@Base 2.8.4 + _ZN9SQLStatesD2Ev@Base 2.8.4 + _ZN9Selection10RotateMainEv@Base 2.8.4 + _ZN9Selection11RectangularEv@Base 2.8.4 + _ZN9Selection12AddSelectionE14SelectionRange@Base 2.8.4 + _ZN9Selection12SetSelectionE14SelectionRange@Base 2.8.4 + (arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9Selection13DropSelectionEj@Base 2.9 + (arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9Selection13DropSelectionEm@Base 2.9 + _ZN9Selection13MovePositionsEbii@Base 2.8.4 + _ZN9Selection13TrimSelectionE14SelectionRange@Base 2.8.4 + _ZN9Selection14SetMoveExtendsEb@Base 2.8.4 + _ZN9Selection15CommitTentativeEv@Base 2.8.4 + _ZN9Selection16RemoveDuplicatesEv@Base 2.8.4 + _ZN9Selection18TentativeSelectionE14SelectionRange@Base 2.8.4 + _ZN9Selection23AddSelectionWithoutTrimE14SelectionRange@Base 2.8.4 + _ZN9Selection5ClearEv@Base 2.8.4 + (arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9Selection5RangeEj@Base 2.9 + (arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9Selection5RangeEm@Base 2.9 + (arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9Selection7SetMainEj@Base 2.9 + (arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9Selection7SetMainEm@Base 2.9 + _ZN9Selection9RangeMainEv@Base 2.8.4 + _ZN9SelectionC1Ev@Base 2.8.4 + _ZN9SelectionC2Ev@Base 2.8.4 + _ZN9SelectionD1Ev@Base 2.8.4 + _ZN9SelectionD2Ev@Base 2.8.4 + _ZN9SubStyles14SetIdentifiersEiPKc@Base 2.8.4 + _ZN9SubStyles4FreeEv@Base 2.8.4 + _ZN9SubStyles5StartEi@Base 2.8.4 + _ZN9SubStyles6LengthEi@Base 2.8.4 + _ZN9SubStyles8AllocateEii@Base 2.8.4 + _ZN9SubStylesC1EPKciii@Base 2.8.4 + _ZN9SubStylesC2EPKciii@Base 2.8.4 + _ZN9SubStylesD1Ev@Base 2.8.4 + _ZN9SubStylesD2Ev@Base 2.8.4 + _ZN9UndoGroupC1EP8Documentb@Base 2.8.4 + _ZN9UndoGroupC2EP8Documentb@Base 2.8.4 + _ZN9UndoGroupD1Ev@Base 2.8.4 + _ZN9UndoGroupD2Ev@Base 2.8.4 + (arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9ViewStyle11AllocStylesEj@Base 2.9 + (arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9ViewStyle11AllocStylesEm@Base 2.9 + _ZN9ViewStyle11ClearStylesEv@Base 2.8.4 + (arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9ViewStyle11EnsureStyleEj@Base 2.9 + (arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9ViewStyle11EnsureStyleEm@Base 2.9 + _ZN9ViewStyle12SetWrapStateEi@Base 2.8.4 + _ZN9ViewStyle16CreateAndAddFontERK17FontSpecification@Base 2.9 + _ZN9ViewStyle16SetStyleFontNameEiPKc@Base 2.8.4 + _ZN9ViewStyle17ResetDefaultStyleEv@Base 2.8.4 + _ZN9ViewStyle17SetWrapIndentModeEi@Base 2.8.4 + _ZN9ViewStyle18SetWrapVisualFlagsEi@Base 2.8.4 + _ZN9ViewStyle20FindMaxAscentDescentEv@Base 2.8.4 + _ZN9ViewStyle22AllocateExtendedStylesEi@Base 2.8.4 + _ZN9ViewStyle23CalcLargestMarkerHeightEv@Base 2.8.4 + _ZN9ViewStyle24ReleaseAllExtendedStylesEv@Base 2.8.4 + _ZN9ViewStyle24SetWrapVisualStartIndentEi@Base 2.8.4 + _ZN9ViewStyle26SetWrapVisualFlagsLocationEi@Base 2.8.4 + _ZN9ViewStyle4FindERK17FontSpecification@Base 2.8.4 + (arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9ViewStyle4InitEj@Base 2.9 + (arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9ViewStyle4InitEm@Base 2.9 + _ZN9ViewStyle7RefreshER7Surfacei@Base 2.8.4 + _ZN9ViewStyleC1ERKS_@Base 2.8.4 + _ZN9ViewStyleC1Ev@Base 2.8.4 + _ZN9ViewStyleC2ERKS_@Base 2.8.4 + _ZN9ViewStyleC2Ev@Base 2.8.4 + _ZN9ViewStyleD1Ev@Base 2.8.4 + _ZN9ViewStyleD2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorI13latexFoldSaveE10deallocateEPS1_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorI13latexFoldSaveE10deallocateEPS1_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorI13latexFoldSaveE8allocateEjPKv@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorI13latexFoldSaveE8allocateEmPKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI13latexFoldSaveEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI13latexFoldSaveEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI13latexFoldSaveED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI13latexFoldSaveED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorI14SelectionRangeE10deallocateEPS1_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorI14SelectionRangeE10deallocateEPS1_m@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI14SelectionRangeE7destroyEPS1_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorI14SelectionRangeE8allocateEjPKv@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorI14SelectionRangeE8allocateEmPKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI14SelectionRangeE9constructEPS1_RKS1_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI14SelectionRangeEC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI14SelectionRangeEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI14SelectionRangeEC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI14SelectionRangeEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI14SelectionRangeED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI14SelectionRangeED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorI14WordClassifierE10deallocateEPS1_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorI14WordClassifierE10deallocateEPS1_m@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI14WordClassifierE7destroyEPS1_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorI14WordClassifierE8allocateEjPKv@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorI14WordClassifierE8allocateEmPKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI14WordClassifierE9constructEPS1_RKS1_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI14WordClassifierEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI14WordClassifierEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI14WordClassifierED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI14WordClassifierED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorI18PositionCacheEntryE10deallocateEPS1_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorI18PositionCacheEntryE10deallocateEPS1_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorI18PositionCacheEntryE8allocateEjPKv@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorI18PositionCacheEntryE8allocateEmPKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI18PositionCacheEntryEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI18PositionCacheEntryEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI18PositionCacheEntryED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI18PositionCacheEntryED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorI5StyleE10deallocateEPS1_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorI5StyleE10deallocateEPS1_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorI5StyleE8allocateEjPKv@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorI5StyleE8allocateEmPKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI5StyleEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI5StyleEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI5StyleED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI5StyleED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorI9DrawPhaseE10deallocateEPS1_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorI9DrawPhaseE10deallocateEPS1_m@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI9DrawPhaseE7destroyEPS1_@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorI9DrawPhaseE8allocateEjPKv@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorI9DrawPhaseE8allocateEmPKv@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI9DrawPhaseE9constructEPS1_RKS1_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI9DrawPhaseEC1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI9DrawPhaseEC2Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI9DrawPhaseED1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorI9DrawPhaseED2Ev@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorIN11SparseStateISsE5StateEE10deallocateEPS3_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorIN11SparseStateISsE5StateEE10deallocateEPS3_m@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIN11SparseStateISsE5StateEE7destroyEPS3_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorIN11SparseStateISsE5StateEE8allocateEjPKv@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorIN11SparseStateISsE5StateEE8allocateEmPKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIN11SparseStateISsE5StateEE9constructEPS3_RKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIN11SparseStateISsE5StateEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIN11SparseStateISsE5StateEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIN11SparseStateISsE5StateEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIN11SparseStateISsE5StateEED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorIN11SparseStateIjE5StateEE10deallocateEPS3_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorIN11SparseStateIjE5StateEE10deallocateEPS3_m@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIN11SparseStateIjE5StateEE7destroyEPS3_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorIN11SparseStateIjE5StateEE8allocateEjPKv@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorIN11SparseStateIjE5StateEE8allocateEmPKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIN11SparseStateIjE5StateEE9constructEPS3_RKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIN11SparseStateIjE5StateEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIN11SparseStateIjE5StateEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIN11SparseStateIjE5StateEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIN11SparseStateIjE5StateEED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorIN8Document19WatcherWithUserDataEE10deallocateEPS2_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorIN8Document19WatcherWithUserDataEE10deallocateEPS2_m@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIN8Document19WatcherWithUserDataEE7destroyEPS2_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorIN8Document19WatcherWithUserDataEE8allocateEjPKv@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorIN8Document19WatcherWithUserDataEE8allocateEmPKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIN8Document19WatcherWithUserDataEE9constructEPS2_RKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIN8Document19WatcherWithUserDataEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIN8Document19WatcherWithUserDataEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIN8Document19WatcherWithUserDataEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIN8Document19WatcherWithUserDataEED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorIP10LineLayoutE10deallocateEPS2_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorIP10LineLayoutE10deallocateEPS2_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorIP10LineLayoutE8allocateEjPKv@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorIP10LineLayoutE8allocateEmPKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIP10LineLayoutEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIP10LineLayoutEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIP10LineLayoutED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIP10LineLayoutED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorIP11LexerModuleE10deallocateEPS2_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorIP11LexerModuleE10deallocateEPS2_m@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIP11LexerModuleE7destroyEPS2_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorIP11LexerModuleE8allocateEjPKv@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorIP11LexerModuleE8allocateEmPKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIP11LexerModuleE9constructEPS2_RKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIP11LexerModuleEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIP11LexerModuleEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIP11LexerModuleED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIP11LexerModuleED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorIP14SelectionRangeE10deallocateEPS2_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorIP14SelectionRangeE10deallocateEPS2_m@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIP14SelectionRangeE7destroyEPS2_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorIP14SelectionRangeE8allocateEjPKv@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorIP14SelectionRangeE8allocateEmPKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIP14SelectionRangeE9constructEPS2_RKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIP14SelectionRangeEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIP14SelectionRangeEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIP14SelectionRangeED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIP14SelectionRangeED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorIPcE10deallocateEPS1_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorIPcE10deallocateEPS1_m@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIPcE7destroyEPS1_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorIPcE8allocateEjPKv@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorIPcE8allocateEmPKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIPcE9constructEPS1_RKS1_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIPcEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIPcEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIPcED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIPcED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorISsE10deallocateEPSsj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorISsE10deallocateEPSsm@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISsE7destroyEPSs@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorISsE8allocateEjPKv@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorISsE8allocateEmPKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISsE9constructEPSsRKSs@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISsEC1ERKS1_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISsEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISsEC2ERKS1_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISsEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISsED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISsED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIK12KeyModifiersjEEE10deallocateEPS6_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIK12KeyModifiersjEEE10deallocateEPS6_m@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIK12KeyModifiersjEEE8allocateEjPKv@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIK12KeyModifiersjEEE8allocateEmPKv@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIK12KeyModifiersjEEEC1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIK12KeyModifiersjEEEC2Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIK12KeyModifiersjEEED1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIK12KeyModifiersjEEED2Ev@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIK17FontSpecificationP12FontRealisedEEE10deallocateEPS8_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIK17FontSpecificationP12FontRealisedEEE10deallocateEPS8_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIK17FontSpecificationP12FontRealisedEEE8allocateEjPKv@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIK17FontSpecificationP12FontRealisedEEE8allocateEmPKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIK17FontSpecificationP12FontRealisedEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIK17FontSpecificationP12FontRealisedEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIK17FontSpecificationP12FontRealisedEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIK17FontSpecificationP12FontRealisedEEED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEEE10deallocateEPS7_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEEE10deallocateEPS7_m@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEEE8allocateEjPKv@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEEE8allocateEmPKv@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEEEC1ERKS8_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEEEC1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEEEC2ERKS8_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEEEC2Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEEED1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEEED2Ev@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEEE10deallocateEPS7_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEEE10deallocateEPS7_m@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEEE8allocateEjPKv@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEEE8allocateEmPKv@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEEEC1ERKS8_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEEEC1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEEEC2ERKS8_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEEEC2Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEEED1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEEED2Ev@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEE10deallocateEPS9_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEE10deallocateEPS9_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEE8allocateEjPKv@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEE8allocateEmPKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEE10deallocateEPS9_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEE10deallocateEPS9_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEE8allocateEjPKv@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEE8allocateEmPKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEE10deallocateEPS9_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEE10deallocateEPS9_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEE8allocateEjPKv@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEE8allocateEmPKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEE10deallocateEPS9_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEE10deallocateEPS9_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEE8allocateEjPKv@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEE8allocateEmPKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEE10deallocateEPS9_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEE10deallocateEPS9_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEE8allocateEjPKv@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEE8allocateEmPKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEE10deallocateEPS9_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEE10deallocateEPS9_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEE8allocateEjPKv@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEE8allocateEmPKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEE10deallocateEPS9_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEE10deallocateEPS9_m@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEE8allocateEjPKv@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEE8allocateEmPKv@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEEC1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEEC2Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEED1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEED2Ev@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEE10deallocateEPS9_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEE10deallocateEPS9_m@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEE10deallocateEPS9_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEE10deallocateEPS9_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEE8allocateEjPKv@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEE8allocateEmPKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsSsEEE10deallocateEPS5_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsSsEEE10deallocateEPS5_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsSsEEE8allocateEjPKv@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsSsEEE8allocateEmPKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsSsEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsSsEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsSsEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsSsEEED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsiEEE10deallocateEPS5_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsiEEE10deallocateEPS5_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsiEEE8allocateEjPKv@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsiEEE8allocateEmPKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsiEEEC1ERKS6_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsiEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsiEEEC2ERKS6_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsiEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsiEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsiEEED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKi14RepresentationEEE10deallocateEPS6_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKi14RepresentationEEE10deallocateEPS6_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKi14RepresentationEEE8allocateEjPKv@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKi14RepresentationEEE8allocateEmPKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKi14RepresentationEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKi14RepresentationEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKi14RepresentationEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKi14RepresentationEEED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKiiEEE10deallocateEPS5_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKiiEEE10deallocateEPS5_m@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKiiEEE8allocateEjPKv@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKiiEEE8allocateEmPKv@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKiiEEEC1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKiiEEEC2Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKiiEEED1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKiiEEED2Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIK12KeyModifiersjEE7destroyEPS4_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIK12KeyModifiersjEE9constructEPS4_RKS4_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIK12KeyModifiersjEEC1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIK12KeyModifiersjEEC2Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIK12KeyModifiersjEED1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIK12KeyModifiersjEED2Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIK17FontSpecificationP12FontRealisedEE7destroyEPS6_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIK17FontSpecificationP12FontRealisedEE9constructEPS6_RKS6_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIK17FontSpecificationP12FontRealisedEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIK17FontSpecificationP12FontRealisedEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIK17FontSpecificationP12FontRealisedEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIK17FontSpecificationP12FontRealisedEED2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN12LexerVerilog11SymbolValueEEE7destroyEPS5_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN12LexerVerilog11SymbolValueEEE9constructEPS5_RKS5_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN12LexerVerilog11SymbolValueEEEC1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN12LexerVerilog11SymbolValueEEEC2Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN12LexerVerilog11SymbolValueEEED1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN12LexerVerilog11SymbolValueEEED2Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN8LexerCPP11SymbolValueEEE7destroyEPS5_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN8LexerCPP11SymbolValueEEE9constructEPS5_RKS5_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN8LexerCPP11SymbolValueEEEC1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN8LexerCPP11SymbolValueEEEC2Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN8LexerCPP11SymbolValueEEED1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN8LexerCPP11SymbolValueEEED2Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEE7destroyEPS7_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEE9constructEPS7_RKS7_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEED2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEE7destroyEPS7_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEE9constructEPS7_RKS7_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEED2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEE7destroyEPS7_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEE9constructEPS7_RKS7_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEED2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEE7destroyEPS7_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEE9constructEPS7_RKS7_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEED2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEE7destroyEPS7_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEE9constructEPS7_RKS7_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEED2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEE7destroyEPS7_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEE9constructEPS7_RKS7_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEED2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEE7destroyEPS7_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEE9constructEPS7_RKS7_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEC1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEC2Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEED1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEED2Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEE7destroyEPS7_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEED2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEE7destroyEPS7_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEE9constructEPS7_RKS7_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEED2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsSsEE7destroyEPS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsSsEE9constructEPS3_RKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsSsEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsSsEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsSsEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsSsEED2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsiEE7destroyEPS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsiEE9constructEPS3_RKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsiEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsiEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsiEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKSsiEED2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKi14RepresentationEE7destroyEPS4_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKi14RepresentationEE9constructEPS4_RKS4_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKi14RepresentationEEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKi14RepresentationEEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKi14RepresentationEED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKi14RepresentationEED2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKiiEE7destroyEPS3_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKiiEE9constructEPS3_RKS3_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKiiEEC1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKiiEEC2Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKiiEED1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorISt4pairIKiiEED2Ev@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorIcE10deallocateEPcj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorIcE10deallocateEPcm@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorIcE8allocateEjPKv@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorIcE8allocateEmPKv@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorIiE10deallocateEPij@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorIiE10deallocateEPim@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIiE7destroyEPi@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx13new_allocatorIiE8allocateEjPKv@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx13new_allocatorIiE8allocateEmPKv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIiE9constructEPiRKi@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIiEC1ERKS1_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIiEC1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIiEC2ERKS1_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIiEC2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIiED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx13new_allocatorIiED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaI13latexFoldSaveEE10deallocateERS2_PS1_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaI13latexFoldSaveEE10deallocateERS2_PS1_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaI13latexFoldSaveEE8allocateERS2_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaI13latexFoldSaveEE8allocateERS2_m@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaI13latexFoldSaveEE8max_sizeERKS2_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaI14SelectionRangeEE10deallocateERS2_PS1_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaI14SelectionRangeEE10deallocateERS2_PS1_m@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaI14SelectionRangeEE17_S_select_on_copyERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaI14SelectionRangeEE7destroyERS2_PS1_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaI14SelectionRangeEE8allocateERS2_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaI14SelectionRangeEE8allocateERS2_m@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaI14SelectionRangeEE8max_sizeERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaI14SelectionRangeEE9constructIS1_EEvRS2_PS1_RKT_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaI14WordClassifierEE10deallocateERS2_PS1_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaI14WordClassifierEE10deallocateERS2_PS1_m@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaI14WordClassifierEE7destroyERS2_PS1_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaI14WordClassifierEE8allocateERS2_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaI14WordClassifierEE8allocateERS2_m@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaI14WordClassifierEE8max_sizeERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaI14WordClassifierEE9constructIS1_EEvRS2_PS1_RKT_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaI18PositionCacheEntryEE10deallocateERS2_PS1_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaI18PositionCacheEntryEE10deallocateERS2_PS1_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaI18PositionCacheEntryEE8allocateERS2_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaI18PositionCacheEntryEE8allocateERS2_m@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaI18PositionCacheEntryEE8max_sizeERKS2_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaI5StyleEE10deallocateERS2_PS1_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaI5StyleEE10deallocateERS2_PS1_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaI5StyleEE8allocateERS2_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaI5StyleEE8allocateERS2_m@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaI5StyleEE8max_sizeERKS2_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaI9DrawPhaseEE10deallocateERS2_PS1_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaI9DrawPhaseEE10deallocateERS2_PS1_m@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaI9DrawPhaseEE7destroyERS2_PS1_@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaI9DrawPhaseEE8allocateERS2_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaI9DrawPhaseEE8allocateERS2_m@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaI9DrawPhaseEE8max_sizeERKS2_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaI9DrawPhaseEE9constructIS1_EEvRS2_PS1_RKT_@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaIN11SparseStateISsE5StateEEE10deallocateERS4_PS3_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaIN11SparseStateISsE5StateEEE10deallocateERS4_PS3_m@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIN11SparseStateISsE5StateEEE7destroyERS4_PS3_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaIN11SparseStateISsE5StateEEE8allocateERS4_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaIN11SparseStateISsE5StateEEE8allocateERS4_m@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIN11SparseStateISsE5StateEEE8max_sizeERKS4_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIN11SparseStateISsE5StateEEE9constructIS3_EEvRS4_PS3_RKT_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaIN11SparseStateIjE5StateEEE10deallocateERS4_PS3_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaIN11SparseStateIjE5StateEEE10deallocateERS4_PS3_m@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIN11SparseStateIjE5StateEEE7destroyERS4_PS3_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaIN11SparseStateIjE5StateEEE8allocateERS4_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaIN11SparseStateIjE5StateEEE8allocateERS4_m@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIN11SparseStateIjE5StateEEE8max_sizeERKS4_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIN11SparseStateIjE5StateEEE9constructIS3_EEvRS4_PS3_RKT_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaIN8Document19WatcherWithUserDataEEE10deallocateERS3_PS2_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaIN8Document19WatcherWithUserDataEEE10deallocateERS3_PS2_m@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIN8Document19WatcherWithUserDataEEE7destroyERS3_PS2_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaIN8Document19WatcherWithUserDataEEE8allocateERS3_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaIN8Document19WatcherWithUserDataEEE8allocateERS3_m@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIN8Document19WatcherWithUserDataEEE8max_sizeERKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIN8Document19WatcherWithUserDataEEE9constructIS2_EEvRS3_PS2_RKT_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaIP10LineLayoutEE10deallocateERS3_PS2_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaIP10LineLayoutEE10deallocateERS3_PS2_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaIP10LineLayoutEE8allocateERS3_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaIP10LineLayoutEE8allocateERS3_m@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIP10LineLayoutEE8max_sizeERKS3_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaIP11LexerModuleEE10deallocateERS3_PS2_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaIP11LexerModuleEE10deallocateERS3_PS2_m@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIP11LexerModuleEE7destroyERS3_PS2_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaIP11LexerModuleEE8allocateERS3_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaIP11LexerModuleEE8allocateERS3_m@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIP11LexerModuleEE8max_sizeERKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIP11LexerModuleEE9constructIS2_EEvRS3_PS2_RKT_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaIP14SelectionRangeEE10deallocateERS3_PS2_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaIP14SelectionRangeEE10deallocateERS3_PS2_m@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIP14SelectionRangeEE7destroyERS3_PS2_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaIP14SelectionRangeEE8allocateERS3_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaIP14SelectionRangeEE8allocateERS3_m@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIP14SelectionRangeEE8max_sizeERKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIP14SelectionRangeEE9constructIS2_EEvRS3_PS2_RKT_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaIPcEE10deallocateERS2_PS1_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaIPcEE10deallocateERS2_PS1_m@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIPcEE7destroyERS2_PS1_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaIPcEE8allocateERS2_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaIPcEE8allocateERS2_m@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIPcEE8max_sizeERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIPcEE9constructIS1_EEvRS2_PS1_RKT_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaISsEE10deallocateERS1_PSsj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaISsEE10deallocateERS1_PSsm@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaISsEE7destroyERS1_PSs@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaISsEE8allocateERS1_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaISsEE8allocateERS1_m@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaISsEE8max_sizeERKS1_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaISsEE9constructISsEEvRS1_PSsRKT_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIK12KeyModifiersjEEEE10deallocateERS7_PS6_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIK12KeyModifiersjEEEE10deallocateERS7_PS6_m@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIK12KeyModifiersjEEEE8allocateERS7_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIK12KeyModifiersjEEEE8allocateERS7_m@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIK17FontSpecificationP12FontRealisedEEEE10deallocateERS9_PS8_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIK17FontSpecificationP12FontRealisedEEEE10deallocateERS9_PS8_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIK17FontSpecificationP12FontRealisedEEEE8allocateERS9_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIK17FontSpecificationP12FontRealisedEEEE8allocateERS9_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEEEE10deallocateERS8_PS7_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEEEE10deallocateERS8_PS7_m@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEEEE17_S_select_on_copyERKS8_@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEEEE8allocateERS8_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEEEE8allocateERS8_m@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEEEE10deallocateERS8_PS7_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEEEE10deallocateERS8_PS7_m@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEEEE17_S_select_on_copyERKS8_@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEEEE8allocateERS8_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEEEE8allocateERS8_m@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEEE10deallocateERSA_PS9_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEEE10deallocateERSA_PS9_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEEE8allocateERSA_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEEE8allocateERSA_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEEE10deallocateERSA_PS9_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEEE10deallocateERSA_PS9_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEEE8allocateERSA_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEEE8allocateERSA_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEEE10deallocateERSA_PS9_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEEE10deallocateERSA_PS9_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEEE8allocateERSA_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEEE8allocateERSA_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEEE10deallocateERSA_PS9_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEEE10deallocateERSA_PS9_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEEE8allocateERSA_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEEE8allocateERSA_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEEE10deallocateERSA_PS9_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEEE10deallocateERSA_PS9_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEEE8allocateERSA_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEEE8allocateERSA_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEEE10deallocateERSA_PS9_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEEE10deallocateERSA_PS9_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEEE8allocateERSA_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEEE8allocateERSA_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEEE10deallocateERSA_PS9_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEEE10deallocateERSA_PS9_m@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEEE8allocateERSA_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEEE8allocateERSA_m@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEEE10deallocateERSA_PS9_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEEE10deallocateERSA_PS9_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEEE10deallocateERSA_PS9_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEEE10deallocateERSA_PS9_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEEE8allocateERSA_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEEE8allocateERSA_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsSsEEEE10deallocateERS6_PS5_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsSsEEEE10deallocateERS6_PS5_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsSsEEEE8allocateERS6_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsSsEEEE8allocateERS6_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsiEEEE10deallocateERS6_PS5_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsiEEEE10deallocateERS6_PS5_m@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsiEEEE17_S_select_on_copyERKS6_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsiEEEE8allocateERS6_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKSsiEEEE8allocateERS6_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKi14RepresentationEEEE10deallocateERS7_PS6_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKi14RepresentationEEEE10deallocateERS7_PS6_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKi14RepresentationEEEE8allocateERS7_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKi14RepresentationEEEE8allocateERS7_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKiiEEEE10deallocateERS6_PS5_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKiiEEEE10deallocateERS6_PS5_m@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKiiEEEE8allocateERS6_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaISt13_Rb_tree_nodeISt4pairIKiiEEEE8allocateERS6_m@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaIcEE10deallocateERS1_Pcj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaIcEE10deallocateERS1_Pcm@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaIcEE8allocateERS1_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaIcEE8allocateERS1_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaIiEE10deallocateERS1_Pij@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaIiEE10deallocateERS1_Pim@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIiEE17_S_select_on_copyERKS1_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIiEE7destroyERS1_Pi@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx14__alloc_traitsISaIiEE8allocateERS1_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx14__alloc_traitsISaIiEE8allocateERS1_m@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIiEE8max_sizeERKS1_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx14__alloc_traitsISaIiEE9constructIiEEvRS1_PiRKT_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIP13latexFoldSaveSt6vectorIS1_SaIS1_EEEC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIP13latexFoldSaveSt6vectorIS1_SaIS1_EEEC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS1_SaIS1_EEEC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS1_SaIS1_EEEC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS1_SaIS1_EEEmmEv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS1_SaIS1_EEEppEv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIP14WordClassifierSt6vectorIS1_SaIS1_EEEC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIP14WordClassifierSt6vectorIS1_SaIS1_EEEC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIP14WordClassifierSt6vectorIS1_SaIS1_EEEppEv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIP18PositionCacheEntrySt6vectorIS1_SaIS1_EEEC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIP18PositionCacheEntrySt6vectorIS1_SaIS1_EEEC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIP5StyleSt6vectorIS1_SaIS1_EEEC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIP5StyleSt6vectorIS1_SaIS1_EEEC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIP9DrawPhaseSt6vectorIS1_SaIS1_EEEC1ERKS2_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIP9DrawPhaseSt6vectorIS1_SaIS1_EEEC2ERKS2_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIP9DrawPhaseSt6vectorIS1_SaIS1_EEEppEv@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPK14SelectionRangeSt6vectorIS1_SaIS1_EEEC1ERKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPK14SelectionRangeSt6vectorIS1_SaIS1_EEEC2ERKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPK14SelectionRangeSt6vectorIS1_SaIS1_EEEppEv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPK14WordClassifierSt6vectorIS1_SaIS1_EEEC1ERKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPK14WordClassifierSt6vectorIS1_SaIS1_EEEC2ERKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPK14WordClassifierSt6vectorIS1_SaIS1_EEEppEv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPK18PositionCacheEntrySt6vectorIS1_SaIS1_EEEC1ERKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPK18PositionCacheEntrySt6vectorIS1_SaIS1_EEEC2ERKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEC1ERKS5_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEC2ERKS5_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEpLEi@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEpLEl@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEppEv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPKN11SparseStateIjE5StateESt6vectorIS3_SaIS3_EEEC1ERKS5_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPKN11SparseStateIjE5StateESt6vectorIS3_SaIS3_EEEC2ERKS5_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPKP10LineLayoutSt6vectorIS2_SaIS2_EEEC1ERKS4_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPKP10LineLayoutSt6vectorIS2_SaIS2_EEEC2ERKS4_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPKPcSt6vectorIS1_SaIS1_EEEC1IPS1_EERKNS0_IT_NS_11__enable_ifIXsrSt10__are_sameISA_S9_E7__valueES6_E6__typeEEE@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPKPcSt6vectorIS1_SaIS1_EEEC2IPS1_EERKNS0_IT_NS_11__enable_ifIXsrSt10__are_sameISA_S9_E7__valueES6_E6__typeEEE@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPKPcSt6vectorIS1_SaIS1_EEEppEv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPKSsSt6vectorISsSaISsEEEC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPKSsSt6vectorISsSaISsEEEC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPKcSsEppEv@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPKiSt6vectorIiSaIiEEEC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPKiSt6vectorIiSaIiEEEC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEC1ERKS4_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEC2ERKS4_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEmmEv@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEpLEi@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEpLEl@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEppEv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS3_SaIS3_EEEC1ERKS4_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS3_SaIS3_EEEC2ERKS4_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS3_SaIS3_EEEmmEv@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS3_SaIS3_EEEpLEi@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS3_SaIS3_EEEpLEl@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS3_SaIS3_EEEppEv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPN8Document19WatcherWithUserDataESt6vectorIS2_SaIS2_EEEC1ERKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPN8Document19WatcherWithUserDataESt6vectorIS2_SaIS2_EEEC2ERKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPN8Document19WatcherWithUserDataESt6vectorIS2_SaIS2_EEEppEv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPP10LineLayoutSt6vectorIS2_SaIS2_EEEC1ERKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPP10LineLayoutSt6vectorIS2_SaIS2_EEEC2ERKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPP11LexerModuleSt6vectorIS2_SaIS2_EEEC1ERKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPP11LexerModuleSt6vectorIS2_SaIS2_EEEC2ERKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPP11LexerModuleSt6vectorIS2_SaIS2_EEEppEv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS2_SaIS2_EEEC1ERKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS2_SaIS2_EEEC2ERKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS2_SaIS2_EEEmmEv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS2_SaIS2_EEEppEv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPPcSt6vectorIS1_SaIS1_EEEC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPPcSt6vectorIS1_SaIS1_EEEC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEC1ERKS1_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEC1Ev@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEC2ERKS1_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEC2Ev@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEpLEi@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEpLEl@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEppEv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPcSsEppEv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEC1ERKS1_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEC2ERKS1_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEmmEv@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEpLEi@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEpLEl@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEppEv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops10_Iter_predI5AfterEC1ES2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops10_Iter_predI5AfterEC2ES2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops10_Iter_predIPFbRKSsEEC1ES5_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx5__ops10_Iter_predIPFbRKSsEEC2ES5_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx5__ops10_Iter_predIPFbRKSsEEclINS_17__normal_iteratorIPSsSt6vectorISsSaISsEEEEEEbT_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx5__ops11__pred_iterI5AfterEENS0_10_Iter_predIT_EES4_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops11__pred_iterIPFbRKSsEEENS0_10_Iter_predIT_EES7_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxx5__ops14_Iter_comp_valI6SorterEC1ES2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops14_Iter_comp_valI6SorterEC2ES2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops14_Iter_comp_valI6SorterED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops14_Iter_comp_valI6SorterED2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops14_Iter_comp_valI6SorterEclINS_17__normal_iteratorIPiSt6vectorIiSaIiEEEEiEEbT_RT0_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops14_Iter_comp_valIPFbPK14SelectionRangeS4_EEC1ES6_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops14_Iter_comp_valIPFbPK14SelectionRangeS4_EEC2ES6_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops14_Iter_comp_valIPFbPK14SelectionRangeS4_EEclINS_17__normal_iteratorIPPS2_St6vectorISA_SaISA_EEEESA_EEbT_RT0_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops14_Val_comp_iterI6SorterEC1ES2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops14_Val_comp_iterI6SorterEC2ES2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops14_Val_comp_iterI6SorterED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops14_Val_comp_iterI6SorterED2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops14_Val_comp_iterI6SorterEclIiNS_17__normal_iteratorIPiSt6vectorIiSaIiEEEEEEbRT_T0_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops14_Val_comp_iterIPFbPK14SelectionRangeS4_EEC1ES6_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops14_Val_comp_iterIPFbPK14SelectionRangeS4_EEC2ES6_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops14_Val_comp_iterIPFbPK14SelectionRangeS4_EEclIPS2_NS_17__normal_iteratorIPS9_St6vectorIS9_SaIS9_EEEEEEbRT_T0_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops15_Iter_comp_iterI6SorterEC1ERKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops15_Iter_comp_iterI6SorterEC1ES2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops15_Iter_comp_iterI6SorterEC2ERKS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops15_Iter_comp_iterI6SorterEC2ES2_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops15_Iter_comp_iterI6SorterED1Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops15_Iter_comp_iterI6SorterED2Ev@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops15_Iter_comp_iterI6SorterEclINS_17__normal_iteratorIPiSt6vectorIiSaIiEEEESA_EEbT_T0_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops15_Iter_comp_iterIPFbPK14SelectionRangeS4_EEC1ES6_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops15_Iter_comp_iterIPFbPK14SelectionRangeS4_EEC2ES6_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops15_Iter_comp_iterIPFbPK14SelectionRangeS4_EEclINS_17__normal_iteratorIPPS2_St6vectorISA_SaISA_EEEESF_EEbT_T0_@Base 2.8.4 + _ZN9__gnu_cxx5__ops15__iter_comp_valENS0_15_Iter_less_iterE@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops15__iter_comp_valI6SorterEENS0_14_Iter_comp_valIT_EENS0_15_Iter_comp_iterIS4_EE@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops15__iter_comp_valIPFbPK14SelectionRangeS4_EEENS0_14_Iter_comp_valIT_EENS0_15_Iter_comp_iterIS8_EE@Base 2.8.4 + _ZN9__gnu_cxx5__ops15__iter_less_valEv@Base 2.8.4 + _ZN9__gnu_cxx5__ops15__val_comp_iterENS0_15_Iter_less_iterE@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops15__val_comp_iterI6SorterEENS0_14_Val_comp_iterIT_EENS0_15_Iter_comp_iterIS4_EE@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops15__val_comp_iterIPFbPK14SelectionRangeS4_EEENS0_14_Val_comp_iterIT_EENS0_15_Iter_comp_iterIS8_EE@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops16_Iter_equals_valIA2_KcEC1ERS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops16_Iter_equals_valIA2_KcEC2ERS3_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops16_Iter_equals_valIA2_KcEclINS_17__normal_iteratorIPSsSt6vectorISsSaISsEEEEEEbT_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops16_Iter_equals_valIKN8Document19WatcherWithUserDataEEC1ERS4_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops16_Iter_equals_valIKN8Document19WatcherWithUserDataEEC2ERS4_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops16_Iter_equals_valIKN8Document19WatcherWithUserDataEEclINS_17__normal_iteratorIPS3_St6vectorIS3_SaIS3_EEEEEEbT_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops16__iter_comp_iterI6SorterEENS0_15_Iter_comp_iterIT_EES4_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops16__iter_comp_iterIPFbPK14SelectionRangeS4_EEENS0_15_Iter_comp_iterIT_EES8_@Base 2.8.4 + _ZN9__gnu_cxx5__ops16__iter_less_iterEv@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops17__iter_equals_valIA2_KcEENS0_16_Iter_equals_valIT_EERS5_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxx5__ops17__iter_equals_valIKN8Document19WatcherWithUserDataEEENS0_16_Iter_equals_valIT_EERS6_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxeqIP14SelectionRangeSt6vectorIS1_SaIS1_EEEEbRKNS_17__normal_iteratorIT_T0_EESB_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxeqIPK14SelectionRangeSt6vectorIS1_SaIS1_EEEEbRKNS_17__normal_iteratorIT_T0_EESC_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxeqIPK18PositionCacheEntrySt6vectorIS1_SaIS1_EEEEbRKNS_17__normal_iteratorIT_T0_EESC_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxeqIPKN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEEbRKNS_17__normal_iteratorIT_T0_EESE_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxeqIPKN11SparseStateIjE5StateESt6vectorIS3_SaIS3_EEEEbRKNS_17__normal_iteratorIT_T0_EESE_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxeqIPKP10LineLayoutSt6vectorIS2_SaIS2_EEEEbRKNS_17__normal_iteratorIT_T0_EESD_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxeqIPKSsSt6vectorISsSaISsEEEEbRKNS_17__normal_iteratorIT_T0_EESB_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxeqIPKiSt6vectorIiSaIiEEEEbRKNS_17__normal_iteratorIT_T0_EESB_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxeqIPN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEEbRKNS_17__normal_iteratorIT_T0_EESD_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxeqIPN11SparseStateIjE5StateESt6vectorIS3_SaIS3_EEEEbRKNS_17__normal_iteratorIT_T0_EESD_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxeqIPP14SelectionRangeSt6vectorIS2_SaIS2_EEEEbRKNS_17__normal_iteratorIT_T0_EESC_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxeqIPSsSt6vectorISsSaISsEEEEbRKNS_17__normal_iteratorIT_T0_EESA_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxeqIPiSt6vectorIiSaIiEEEEbRKNS_17__normal_iteratorIT_T0_EESA_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxltIP14SelectionRangeSt6vectorIS1_SaIS1_EEEEbRKNS_17__normal_iteratorIT_T0_EESB_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxltIPP14SelectionRangeSt6vectorIS2_SaIS2_EEEEbRKNS_17__normal_iteratorIT_T0_EESC_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxltIPiSt6vectorIiSaIiEEEEbRKNS_17__normal_iteratorIT_T0_EESA_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxmiIP13latexFoldSaveSt6vectorIS1_SaIS1_EEEENS_17__normal_iteratorIT_T0_E15difference_typeERKS9_SC_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxmiIP14SelectionRangeSt6vectorIS1_SaIS1_EEEENS_17__normal_iteratorIT_T0_E15difference_typeERKS9_SC_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxmiIP14WordClassifierSt6vectorIS1_SaIS1_EEEENS_17__normal_iteratorIT_T0_E15difference_typeERKS9_SC_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxmiIP18PositionCacheEntrySt6vectorIS1_SaIS1_EEEENS_17__normal_iteratorIT_T0_E15difference_typeERKS9_SC_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxmiIP5StyleSt6vectorIS1_SaIS1_EEEENS_17__normal_iteratorIT_T0_E15difference_typeERKS9_SC_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxmiIP9DrawPhaseSt6vectorIS1_SaIS1_EEEENS_17__normal_iteratorIT_T0_E15difference_typeERKS9_SC_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxxmiIPKN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEENS_17__normal_iteratorIT_T0_E15difference_typeERKSC_SF_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxmiIPN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEENS_17__normal_iteratorIT_T0_E15difference_typeERKSB_SE_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxmiIPN11SparseStateIjE5StateESt6vectorIS3_SaIS3_EEEENS_17__normal_iteratorIT_T0_E15difference_typeERKSB_SE_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxmiIPN8Document19WatcherWithUserDataESt6vectorIS2_SaIS2_EEEENS_17__normal_iteratorIT_T0_E15difference_typeERKSA_SD_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxmiIPP10LineLayoutSt6vectorIS2_SaIS2_EEEENS_17__normal_iteratorIT_T0_E15difference_typeERKSA_SD_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxmiIPP11LexerModuleSt6vectorIS2_SaIS2_EEEENS_17__normal_iteratorIT_T0_E15difference_typeERKSA_SD_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxmiIPP14SelectionRangeSt6vectorIS2_SaIS2_EEEENS_17__normal_iteratorIT_T0_E15difference_typeERKSA_SD_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxmiIPPcSt6vectorIS1_SaIS1_EEEENS_17__normal_iteratorIT_T0_E15difference_typeERKS9_SC_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxmiIPSsSt6vectorISsSaISsEEEENS_17__normal_iteratorIT_T0_E15difference_typeERKS8_SB_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxmiIPiSt6vectorIiSaIiEEEENS_17__normal_iteratorIT_T0_E15difference_typeERKS8_SB_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxneIP14SelectionRangeSt6vectorIS1_SaIS1_EEEEbRKNS_17__normal_iteratorIT_T0_EESB_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxneIP14WordClassifierSt6vectorIS1_SaIS1_EEEEbRKNS_17__normal_iteratorIT_T0_EESB_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxneIP9DrawPhaseSt6vectorIS1_SaIS1_EEEEbRKNS_17__normal_iteratorIT_T0_EESB_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxxneIPK14SelectionRangeSt6vectorIS1_SaIS1_EEEEbRKNS_17__normal_iteratorIT_T0_EESC_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxneIPK14WordClassifierSt6vectorIS1_SaIS1_EEEEbRKNS_17__normal_iteratorIT_T0_EESC_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxneIPKN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEEbRKNS_17__normal_iteratorIT_T0_EESE_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxneIPKPcPS1_St6vectorIS1_SaIS1_EEEEbRKNS_17__normal_iteratorIT_T1_EERKNS8_IT0_SA_EE@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxneIPKcSsEEbRKNS_17__normal_iteratorIT_T0_EES8_@Base 2.9 + (optional=templinst)_ZN9__gnu_cxxneIPN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEEbRKNS_17__normal_iteratorIT_T0_EESD_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxneIPN11SparseStateIjE5StateESt6vectorIS3_SaIS3_EEEEbRKNS_17__normal_iteratorIT_T0_EESD_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxneIPN8Document19WatcherWithUserDataESt6vectorIS2_SaIS2_EEEEbRKNS_17__normal_iteratorIT_T0_EESC_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxneIPP11LexerModuleSt6vectorIS2_SaIS2_EEEEbRKNS_17__normal_iteratorIT_T0_EESC_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxneIPP14SelectionRangeSt6vectorIS2_SaIS2_EEEEbRKNS_17__normal_iteratorIT_T0_EESC_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxneIPSsSt6vectorISsSaISsEEEEbRKNS_17__normal_iteratorIT_T0_EESA_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxneIPcSsEEbRKNS_17__normal_iteratorIT_T0_EES7_@Base 2.8.4 + (optional=templinst)_ZN9__gnu_cxxneIPiSt6vectorIiSaIiEEEEbRKNS_17__normal_iteratorIT_T0_EESA_@Base 2.8.4 + _ZNK10CellBuffer10IsReadOnlyEv@Base 2.8.4 + _ZNK10CellBuffer11GapPositionEv@Base 2.8.4 + _ZNK10CellBuffer11GetRedoStepEv@Base 2.8.4 + _ZNK10CellBuffer11GetUndoStepEv@Base 2.8.4 + _ZNK10CellBuffer11IsSavePointEv@Base 2.8.4 + _ZNK10CellBuffer12GetCharRangeEPcii@Base 2.8.4 + _ZNK10CellBuffer13GetStyleRangeEPhii@Base 2.8.4 + _ZNK10CellBuffer15GetLineEndTypesEv@Base 2.8.4 + _ZNK10CellBuffer15TentativeActiveEv@Base 2.9 + _ZNK10CellBuffer16IsCollectingUndoEv@Base 2.8.4 + _ZNK10CellBuffer16LineFromPositionEi@Base 2.8.4 + _ZNK10CellBuffer19UTF8LineEndOverlapsEi@Base 2.8.4 + _ZNK10CellBuffer5LinesEv@Base 2.8.4 + _ZNK10CellBuffer6CharAtEi@Base 2.8.4 + _ZNK10CellBuffer6LengthEv@Base 2.8.4 + _ZNK10CellBuffer7CanRedoEv@Base 2.8.4 + _ZNK10CellBuffer7CanUndoEv@Base 2.8.4 + _ZNK10CellBuffer7StyleAtEi@Base 2.8.4 + _ZNK10CellBuffer9LineStartEi@Base 2.8.4 + _ZNK10Decoration5EmptyEv@Base 2.8.4 + _ZNK10LexerBasic7VersionEv@Base 2.8.4 + _ZNK10LineLayout10FindBeforeEfii@Base 2.8.4 + _ZNK10LineLayout12EndLineStyleEv@Base 2.8.4 + _ZNK10LineLayout12SubLineRangeEi@Base 2.9 + _ZNK10LineLayout15LineLastVisibleEi@Base 2.8.4 + _ZNK10LineLayout17FindPositionFromXEf5Rangeb@Base 2.9 + _ZNK10LineLayout17PointFromPositionEii@Base 2.9 + _ZNK10LineLayout6InLineEii@Base 2.8.4 + _ZNK10LineLayout9LineStartEi@Base 2.8.4 + _ZNK10LineLevels8GetLevelEi@Base 2.8.4 + _ZNK10LineMarker4DrawEP7SurfaceR10PRectangleR4FontNS_10typeOfFoldEi@Base 2.9 + _ZNK10LineVector16LineFromPositionEi@Base 2.8.4 + _ZNK10LineVector5LinesEv@Base 2.8.4 + _ZNK10LineVector9LineStartEi@Base 2.8.4 + _ZNK10PRectangle10IntersectsES_@Base 2.8.4 + _ZNK10PRectangle18ContainsWholePixelE5Point@Base 2.9 + _ZNK10PRectangle5EmptyEv@Base 2.8.4 + _ZNK10PRectangle5WidthEv@Base 2.8.4 + _ZNK10PRectangle6HeightEv@Base 2.8.4 + _ZNK10PRectangle8ContainsE5Point@Base 2.8.4 + _ZNK10PRectangle8ContainsES_@Base 2.8.4 + _ZNK10QArrayData11detachFlagsEv@Base 2.8.4 + _ZNK10QByteArray4dataEv@Base 2.8.4 + _ZNK10QByteArray4sizeEv@Base 2.8.4 + _ZNK10QByteArray5countEv@Base 2.8.4 + _ZNK10QByteArray6lengthEv@Base 2.8.4 + _ZNK10QByteArray9constDataEv@Base 2.8.4 + _ZNK10QByteArraycvPKcEv@Base 2.8.4 + _ZNK10QDropEvent10dropActionEv@Base 2.8.4 + _ZNK10QDropEvent3posEv@Base 2.8.4 + _ZNK10QDropEvent8mimeDataEv@Base 2.8.4 + _ZNK10QsciLexerD10blockStartEPi@Base 2.8.4 + _ZNK10QsciLexerD10braceStyleEv@Base 2.8.4 + _ZNK10QsciLexerD10foldAtElseEv@Base 2.8.4 + _ZNK10QsciLexerD10metaObjectEv@Base 2.8.4 + _ZNK10QsciLexerD11defaultFontEi@Base 2.8.4 + _ZNK10QsciLexerD11descriptionEi@Base 2.8.4 + _ZNK10QsciLexerD11foldCompactEv@Base 2.8.4 + _ZNK10QsciLexerD12defaultColorEi@Base 2.8.4 + _ZNK10QsciLexerD12defaultPaperEi@Base 2.8.4 + _ZNK10QsciLexerD12foldCommentsEv@Base 2.8.4 + _ZNK10QsciLexerD14defaultEolFillEi@Base 2.8.4 + _ZNK10QsciLexerD14wordCharactersEv@Base 2.8.4 + _ZNK10QsciLexerD15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK10QsciLexerD17blockStartKeywordEPi@Base 2.8.4 + _ZNK10QsciLexerD28autoCompletionWordSeparatorsEv@Base 2.8.4 + _ZNK10QsciLexerD5lexerEv@Base 2.8.4 + _ZNK10QsciLexerD8blockEndEPi@Base 2.8.4 + _ZNK10QsciLexerD8keywordsEi@Base 2.8.4 + _ZNK10QsciLexerD8languageEv@Base 2.8.4 + (arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNK10StyledText10LineLengthEj@Base 2.9 + (arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNK10StyledText10LineLengthEm@Base 2.9 + (arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNK10StyledText7StyleAtEj@Base 2.9 + (arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNK10StyledText7StyleAtEm@Base 2.9 + _ZNK11AutoSurfacecvP7SurfaceEv@Base 2.8.4 + _ZNK11AutoSurfaceptEv@Base 2.8.4 + _ZNK11BreakFinder4MoreEv@Base 2.8.4 + _ZNK11LexAccessor10IsLeadByteEc@Base 2.8.4 + _ZNK11LexAccessor12GetLineStateEi@Base 2.8.4 + _ZNK11LexAccessor15GetStartSegmentEv@Base 2.8.4 + _ZNK11LexAccessor15MultiByteAccessEv@Base 2.8.4 + _ZNK11LexAccessor6LengthEv@Base 2.8.4 + _ZNK11LexAccessor7GetLineEi@Base 2.8.4 + _ZNK11LexAccessor7LevelAtEi@Base 2.8.4 + _ZNK11LexAccessor7StyleAtEi@Base 2.8.4 + _ZNK11LexAccessor8EncodingEv@Base 2.8.4 + _ZNK11LexAccessor9LineStartEi@Base 2.8.4 + _ZNK11LexerModule11GetLanguageEv@Base 2.8.4 + _ZNK11LexerModule15GetNumWordListsEv@Base 2.8.4 + _ZNK11LexerModule22GetWordListDescriptionEi@Base 2.8.4 + _ZNK11LexerModule3LexEjiiPP8WordListR8Accessor@Base 2.8.4 + _ZNK11LexerModule4FoldEjiiPP8WordListR8Accessor@Base 2.8.4 + _ZNK11LexerModule6CreateEv@Base 2.8.4 + _ZNK11LineMarkers10MarkerNextEii@Base 2.8.4 + _ZNK11QInputEvent9modifiersEv@Base 2.8.4 + _ZNK11QLatin1Char7unicodeEv@Base 2.8.4 + _ZNK11QMouseEvent1xEv@Base 2.8.4 + _ZNK11QMouseEvent1yEv@Base 2.8.4 + _ZNK11QMouseEvent6buttonEv@Base 2.8.4 + _ZNK11QMouseEvent9globalPosEv@Base 2.8.4 + _ZNK11QPaintEvent4rectEv@Base 2.8.4 + _ZNK11QStringList4joinE5QChar@Base 2.8.4 + _ZNK11QStringList4joinERK7QString@Base 2.8.4 + _ZNK11QStringList8containsERK7QStringN2Qt15CaseSensitivityE@Base 2.8.4 + _ZNK11QTextFormat12isCharFormatEv@Base 2.8.4 + _ZNK11QTimerEvent7timerIdEv@Base 2.9 + _ZNK11QsciCommand11descriptionEv@Base 2.8.4 + _ZNK11QsciCommand12alternateKeyEv@Base 2.8.4 + _ZNK11QsciCommand3keyEv@Base 2.8.4 + _ZNK11QsciCommand7commandEv@Base 2.8.4 + _ZNK11QsciLexerPO10metaObjectEv@Base 2.8.4 + _ZNK11QsciLexerPO11defaultFontEi@Base 2.8.4 + _ZNK11QsciLexerPO11descriptionEi@Base 2.8.4 + _ZNK11QsciLexerPO11foldCompactEv@Base 2.8.4 + _ZNK11QsciLexerPO12defaultColorEi@Base 2.8.4 + _ZNK11QsciLexerPO12foldCommentsEv@Base 2.8.4 + _ZNK11QsciLexerPO15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK11QsciLexerPO5lexerEv@Base 2.8.4 + _ZNK11QsciLexerPO8languageEv@Base 2.8.4 + (optional=templinst)_ZNK11SparseStateISsE5StateeqERKS1_@Base 2.8.4 + (optional=templinst)_ZNK11SparseStateISsE5StateltERKS1_@Base 2.8.4 + (optional=templinst)_ZNK11SparseStateIjE5StateltERKS1_@Base 2.8.4 + (optional=templinst)_ZNK11SplitVectorIP15MarkerHandleSetE6LengthEv@Base 2.8.4 + (optional=templinst)_ZNK11SplitVectorIP15MarkerHandleSetEixEi@Base 2.8.4 + (optional=templinst)_ZNK11SplitVectorIPSt6vectorIiSaIiEEE6LengthEv@Base 2.9 + (optional=templinst)_ZNK11SplitVectorIPSt6vectorIiSaIiEEEixEi@Base 2.9 + (optional=templinst)_ZNK11SplitVectorIPcE6LengthEv@Base 2.8.4 + (optional=templinst)_ZNK11SplitVectorIPcEixEi@Base 2.8.4 + (optional=templinst)_ZNK11SplitVectorIcE11GapPositionEv@Base 2.8.4 + (optional=templinst)_ZNK11SplitVectorIcE6LengthEv@Base 2.8.4 + (optional=templinst)_ZNK11SplitVectorIcE7ValueAtEi@Base 2.8.4 + (optional=templinst)_ZNK11SplitVectorIcE8GetRangeEPcii@Base 2.8.4 + (optional=templinst)_ZNK11SplitVectorIiE11GetGrowSizeEv@Base 2.8.4 + (optional=templinst)_ZNK11SplitVectorIiE6LengthEv@Base 2.8.4 + (optional=templinst)_ZNK11SplitVectorIiE7ValueAtEi@Base 2.8.4 + (optional=templinst)_ZNK11SplitVectorIiEixEi@Base 2.8.4 + _ZNK11TextSegment3endEv@Base 2.8.4 + _ZNK11UndoHistory11GetRedoStepEv@Base 2.8.4 + _ZNK11UndoHistory11GetUndoStepEv@Base 2.8.4 + _ZNK11UndoHistory11IsSavePointEv@Base 2.8.4 + _ZNK11UndoHistory15TentativeActiveEv@Base 2.9 + _ZNK11UndoHistory7CanRedoEv@Base 2.8.4 + _ZNK11UndoHistory7CanUndoEv@Base 2.8.4 + _ZNK11WrapPending9NeedsWrapEv@Base 2.8.4 + _ZNK12AutoComplete10GetTypesepEv@Base 2.8.4 + _ZNK12AutoComplete12GetSelectionEv@Base 2.8.4 + _ZNK12AutoComplete12GetSeparatorEv@Base 2.8.4 + _ZNK12AutoComplete6ActiveEv@Base 2.8.4 + _ZNK12AutoComplete8GetValueEi@Base 2.8.4 + _ZNK12CharClassify6IsWordEh@Base 2.8.4 + _ZNK12CharClassify8GetClassEh@Base 2.8.4 + _ZNK12CharacterSet8ContainsEi@Base 2.8.4 + _ZNK12KeyModifiersltERKS_@Base 2.9 + _ZNK12LexInterface18UseContainerLexingEv@Base 2.8.4 + _ZNK12LexerHaskell13skipMagicHashER12StyleContextNS_9HashCountE@Base 2.8.4 + _ZNK12LexerHaskell18LineContainsImportEiR8Accessor@Base 2.8.4 + _ZNK12LexerHaskell22IndentAmountWithOffsetER8Accessori@Base 2.8.4 + _ZNK12LexerHaskell29IndentLevelRemoveIndentOffsetEi@Base 2.8.4 + _ZNK12LexerHaskell7VersionEv@Base 2.8.4 + _ZNK12LexerVerilog7VersionEv@Base 2.9 + _ZNK12LexerVerilog8TokenizeERKSs@Base 2.9 + _ZNK12LineTabstops14GetNextTabstopEii@Base 2.9 + _ZNK12Partitioning10PartitionsEv@Base 2.8.4 + _ZNK12Partitioning21PartitionFromPositionEi@Base 2.8.4 + _ZNK12Partitioning21PositionFromPartitionEi@Base 2.8.4 + _ZNK12QMapNodeBase5colorEv@Base 2.8.4 + _ZNK12QPaintDevice11logicalDpiYEv@Base 2.8.4 + _ZNK12QPaintDevice16devicePixelRatioEv@Base 2.8.4 + _ZNK12QPaintDevice5widthEv@Base 2.8.4 + _ZNK12QPaintDevice6heightEv@Base 2.8.4 + (optional=templinst)_ZNK12QWeakPointerI7QObjectE4dataEv@Base 2.8.4 + (optional=templinst)_ZNK12QWeakPointerI7QObjectE6isNullEv@Base 2.8.4 + _ZNK12QsciDocument10isModifiedEv@Base 2.8.4 + _ZNK12QsciLexerAVS10braceStyleEv@Base 2.8.4 + _ZNK12QsciLexerAVS10metaObjectEv@Base 2.8.4 + _ZNK12QsciLexerAVS11defaultFontEi@Base 2.8.4 + _ZNK12QsciLexerAVS11descriptionEi@Base 2.8.4 + _ZNK12QsciLexerAVS11foldCompactEv@Base 2.8.4 + _ZNK12QsciLexerAVS12defaultColorEi@Base 2.8.4 + _ZNK12QsciLexerAVS12foldCommentsEv@Base 2.8.4 + _ZNK12QsciLexerAVS14wordCharactersEv@Base 2.8.4 + _ZNK12QsciLexerAVS15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK12QsciLexerAVS5lexerEv@Base 2.8.4 + _ZNK12QsciLexerAVS8keywordsEi@Base 2.8.4 + _ZNK12QsciLexerAVS8languageEv@Base 2.8.4 + _ZNK12QsciLexerCPP10blockStartEPi@Base 2.8.4 + _ZNK12QsciLexerCPP10braceStyleEv@Base 2.8.4 + _ZNK12QsciLexerCPP10metaObjectEv@Base 2.8.4 + _ZNK12QsciLexerCPP11defaultFontEi@Base 2.8.4 + _ZNK12QsciLexerCPP11descriptionEi@Base 2.8.4 + _ZNK12QsciLexerCPP12defaultColorEi@Base 2.8.4 + _ZNK12QsciLexerCPP12defaultPaperEi@Base 2.8.4 + _ZNK12QsciLexerCPP14defaultEolFillEi@Base 2.8.4 + _ZNK12QsciLexerCPP14wordCharactersEv@Base 2.8.4 + _ZNK12QsciLexerCPP15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK12QsciLexerCPP17blockStartKeywordEPi@Base 2.8.4 + _ZNK12QsciLexerCPP28autoCompletionWordSeparatorsEv@Base 2.8.4 + _ZNK12QsciLexerCPP5lexerEv@Base 2.8.4 + _ZNK12QsciLexerCPP8blockEndEPi@Base 2.8.4 + _ZNK12QsciLexerCPP8keywordsEi@Base 2.8.4 + _ZNK12QsciLexerCPP8languageEv@Base 2.8.4 + _ZNK12QsciLexerCSS10blockStartEPi@Base 2.8.4 + _ZNK12QsciLexerCSS10metaObjectEv@Base 2.8.4 + _ZNK12QsciLexerCSS11defaultFontEi@Base 2.8.4 + _ZNK12QsciLexerCSS11descriptionEi@Base 2.8.4 + _ZNK12QsciLexerCSS11foldCompactEv@Base 2.8.4 + _ZNK12QsciLexerCSS12defaultColorEi@Base 2.8.4 + _ZNK12QsciLexerCSS12foldCommentsEv@Base 2.8.4 + _ZNK12QsciLexerCSS14wordCharactersEv@Base 2.8.4 + _ZNK12QsciLexerCSS15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK12QsciLexerCSS5lexerEv@Base 2.8.4 + _ZNK12QsciLexerCSS8blockEndEPi@Base 2.8.4 + _ZNK12QsciLexerCSS8keywordsEi@Base 2.8.4 + _ZNK12QsciLexerCSS8languageEv@Base 2.8.4 + _ZNK12QsciLexerIDL10metaObjectEv@Base 2.8.4 + _ZNK12QsciLexerIDL11descriptionEi@Base 2.8.4 + _ZNK12QsciLexerIDL12defaultColorEi@Base 2.8.4 + _ZNK12QsciLexerIDL8keywordsEi@Base 2.8.4 + _ZNK12QsciLexerIDL8languageEv@Base 2.8.4 + _ZNK12QsciLexerLua10blockStartEPi@Base 2.8.4 + _ZNK12QsciLexerLua10braceStyleEv@Base 2.8.4 + _ZNK12QsciLexerLua10metaObjectEv@Base 2.8.4 + _ZNK12QsciLexerLua11defaultFontEi@Base 2.8.4 + _ZNK12QsciLexerLua11descriptionEi@Base 2.8.4 + _ZNK12QsciLexerLua11foldCompactEv@Base 2.8.4 + _ZNK12QsciLexerLua12defaultColorEi@Base 2.8.4 + _ZNK12QsciLexerLua12defaultPaperEi@Base 2.8.4 + _ZNK12QsciLexerLua14defaultEolFillEi@Base 2.8.4 + _ZNK12QsciLexerLua15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK12QsciLexerLua28autoCompletionWordSeparatorsEv@Base 2.8.4 + _ZNK12QsciLexerLua5lexerEv@Base 2.8.4 + _ZNK12QsciLexerLua8keywordsEi@Base 2.8.4 + _ZNK12QsciLexerLua8languageEv@Base 2.8.4 + _ZNK12QsciLexerPOV10braceStyleEv@Base 2.8.4 + _ZNK12QsciLexerPOV10metaObjectEv@Base 2.8.4 + _ZNK12QsciLexerPOV11defaultFontEi@Base 2.8.4 + _ZNK12QsciLexerPOV11descriptionEi@Base 2.8.4 + _ZNK12QsciLexerPOV11foldCompactEv@Base 2.8.4 + _ZNK12QsciLexerPOV12defaultColorEi@Base 2.8.4 + _ZNK12QsciLexerPOV12defaultPaperEi@Base 2.8.4 + _ZNK12QsciLexerPOV12foldCommentsEv@Base 2.8.4 + _ZNK12QsciLexerPOV14defaultEolFillEi@Base 2.8.4 + _ZNK12QsciLexerPOV14foldDirectivesEv@Base 2.8.4 + _ZNK12QsciLexerPOV14wordCharactersEv@Base 2.8.4 + _ZNK12QsciLexerPOV15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK12QsciLexerPOV5lexerEv@Base 2.8.4 + _ZNK12QsciLexerPOV8keywordsEi@Base 2.8.4 + _ZNK12QsciLexerPOV8languageEv@Base 2.8.4 + _ZNK12QsciLexerSQL10braceStyleEv@Base 2.8.4 + _ZNK12QsciLexerSQL10metaObjectEv@Base 2.8.4 + _ZNK12QsciLexerSQL11defaultFontEi@Base 2.8.4 + _ZNK12QsciLexerSQL11descriptionEi@Base 2.8.4 + _ZNK12QsciLexerSQL12defaultColorEi@Base 2.8.4 + _ZNK12QsciLexerSQL12defaultPaperEi@Base 2.8.4 + _ZNK12QsciLexerSQL14defaultEolFillEi@Base 2.8.4 + _ZNK12QsciLexerSQL15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK12QsciLexerSQL5lexerEv@Base 2.8.4 + _ZNK12QsciLexerSQL8keywordsEi@Base 2.8.4 + _ZNK12QsciLexerSQL8languageEv@Base 2.8.4 + _ZNK12QsciLexerTCL10braceStyleEv@Base 2.8.4 + _ZNK12QsciLexerTCL10metaObjectEv@Base 2.8.4 + _ZNK12QsciLexerTCL11defaultFontEi@Base 2.8.4 + _ZNK12QsciLexerTCL11descriptionEi@Base 2.8.4 + _ZNK12QsciLexerTCL12defaultColorEi@Base 2.8.4 + _ZNK12QsciLexerTCL12defaultPaperEi@Base 2.8.4 + _ZNK12QsciLexerTCL14defaultEolFillEi@Base 2.8.4 + _ZNK12QsciLexerTCL15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK12QsciLexerTCL5lexerEv@Base 2.8.4 + _ZNK12QsciLexerTCL8keywordsEi@Base 2.8.4 + _ZNK12QsciLexerTCL8languageEv@Base 2.8.4 + _ZNK12QsciLexerTeX10metaObjectEv@Base 2.8.4 + _ZNK12QsciLexerTeX11descriptionEi@Base 2.8.4 + _ZNK12QsciLexerTeX12defaultColorEi@Base 2.8.4 + _ZNK12QsciLexerTeX14wordCharactersEv@Base 2.8.4 + _ZNK12QsciLexerTeX15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK12QsciLexerTeX5lexerEv@Base 2.8.4 + _ZNK12QsciLexerTeX8keywordsEi@Base 2.8.4 + _ZNK12QsciLexerTeX8languageEv@Base 2.8.4 + _ZNK12QsciLexerXML10metaObjectEv@Base 2.8.4 + _ZNK12QsciLexerXML11defaultFontEi@Base 2.8.4 + _ZNK12QsciLexerXML12defaultColorEi@Base 2.8.4 + _ZNK12QsciLexerXML12defaultPaperEi@Base 2.8.4 + _ZNK12QsciLexerXML13scriptsStyledEv@Base 2.8.4 + _ZNK12QsciLexerXML14defaultEolFillEi@Base 2.8.4 + _ZNK12QsciLexerXML15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK12QsciLexerXML5lexerEv@Base 2.8.4 + _ZNK12QsciLexerXML8keywordsEi@Base 2.8.4 + _ZNK12QsciLexerXML8languageEv@Base 2.8.4 + _ZNK12QsciSciPopup10metaObjectEv@Base 2.8.4 + _ZNK12StyleContext13LengthCurrentEv@Base 2.8.4 + _ZNK12StyleContext4MoreEv@Base 2.8.4 + _ZNK12StyleContext5MatchEc@Base 2.8.4 + _ZNK12StyleContext5MatchEcc@Base 2.8.4 + _ZNK13ColourDesired6AsLongEv@Base 2.8.4 + _ZNK13ColourDesired6GetRedEv@Base 2.8.4 + _ZNK13ColourDesired7GetBlueEv@Base 2.8.4 + _ZNK13ColourDesired8GetGreenEv@Base 2.8.4 + _ZNK13ColourDesiredeqERKS_@Base 2.8.4 + _ZNK13LexerRegistry7VersionEv@Base 2.9 + _ZNK13PositionCache7GetSizeEv@Base 2.8.4 + _ZNK13PropSetSimple11GetExpandedEPKcPc@Base 2.8.4 + _ZNK13PropSetSimple3GetEPKc@Base 2.8.4 + _ZNK13PropSetSimple6GetIntEPKci@Base 2.8.4 + _ZNK13QLatin1String4sizeEv@Base 2.8.4 + _ZNK13QLatin1String6latin1Ev@Base 2.8.4 + _ZNK13QsciLexerBash10braceStyleEv@Base 2.8.4 + _ZNK13QsciLexerBash10metaObjectEv@Base 2.8.4 + _ZNK13QsciLexerBash11defaultFontEi@Base 2.8.4 + _ZNK13QsciLexerBash11descriptionEi@Base 2.8.4 + _ZNK13QsciLexerBash11foldCompactEv@Base 2.8.4 + _ZNK13QsciLexerBash12defaultColorEi@Base 2.8.4 + _ZNK13QsciLexerBash12defaultPaperEi@Base 2.8.4 + _ZNK13QsciLexerBash12foldCommentsEv@Base 2.8.4 + _ZNK13QsciLexerBash14defaultEolFillEi@Base 2.8.4 + _ZNK13QsciLexerBash14wordCharactersEv@Base 2.8.4 + _ZNK13QsciLexerBash15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK13QsciLexerBash5lexerEv@Base 2.8.4 + _ZNK13QsciLexerBash8keywordsEi@Base 2.8.4 + _ZNK13QsciLexerBash8languageEv@Base 2.8.4 + _ZNK13QsciLexerDiff10metaObjectEv@Base 2.8.4 + _ZNK13QsciLexerDiff11descriptionEi@Base 2.8.4 + _ZNK13QsciLexerDiff12defaultColorEi@Base 2.8.4 + _ZNK13QsciLexerDiff14wordCharactersEv@Base 2.8.4 + _ZNK13QsciLexerDiff5lexerEv@Base 2.8.4 + _ZNK13QsciLexerDiff8languageEv@Base 2.8.4 + _ZNK13QsciLexerHTML10metaObjectEv@Base 2.8.4 + _ZNK13QsciLexerHTML11defaultFontEi@Base 2.8.4 + _ZNK13QsciLexerHTML11descriptionEi@Base 2.8.4 + _ZNK13QsciLexerHTML12defaultColorEi@Base 2.8.4 + _ZNK13QsciLexerHTML12defaultPaperEi@Base 2.8.4 + _ZNK13QsciLexerHTML14defaultEolFillEi@Base 2.8.4 + _ZNK13QsciLexerHTML14wordCharactersEv@Base 2.8.4 + _ZNK13QsciLexerHTML15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK13QsciLexerHTML21autoCompletionFillupsEv@Base 2.8.4 + _ZNK13QsciLexerHTML5lexerEv@Base 2.8.4 + _ZNK13QsciLexerHTML8keywordsEi@Base 2.8.4 + _ZNK13QsciLexerHTML8languageEv@Base 2.8.4 + _ZNK13QsciLexerJava10metaObjectEv@Base 2.8.4 + _ZNK13QsciLexerJava8keywordsEi@Base 2.8.4 + _ZNK13QsciLexerJava8languageEv@Base 2.8.4 + _ZNK13QsciLexerPerl10blockStartEPi@Base 2.8.4 + _ZNK13QsciLexerPerl10braceStyleEv@Base 2.8.4 + _ZNK13QsciLexerPerl10metaObjectEv@Base 2.8.4 + _ZNK13QsciLexerPerl11defaultFontEi@Base 2.8.4 + _ZNK13QsciLexerPerl11descriptionEi@Base 2.8.4 + _ZNK13QsciLexerPerl11foldCompactEv@Base 2.8.4 + _ZNK13QsciLexerPerl12defaultColorEi@Base 2.8.4 + _ZNK13QsciLexerPerl12defaultPaperEi@Base 2.8.4 + _ZNK13QsciLexerPerl12foldCommentsEv@Base 2.8.4 + _ZNK13QsciLexerPerl12foldPackagesEv@Base 2.8.4 + _ZNK13QsciLexerPerl13foldPODBlocksEv@Base 2.8.4 + _ZNK13QsciLexerPerl14defaultEolFillEi@Base 2.8.4 + _ZNK13QsciLexerPerl14wordCharactersEv@Base 2.8.4 + _ZNK13QsciLexerPerl15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK13QsciLexerPerl28autoCompletionWordSeparatorsEv@Base 2.8.4 + _ZNK13QsciLexerPerl5lexerEv@Base 2.8.4 + _ZNK13QsciLexerPerl8blockEndEPi@Base 2.8.4 + _ZNK13QsciLexerPerl8keywordsEi@Base 2.8.4 + _ZNK13QsciLexerPerl8languageEv@Base 2.8.4 + _ZNK13QsciLexerRuby10blockStartEPi@Base 2.8.4 + _ZNK13QsciLexerRuby10braceStyleEv@Base 2.8.4 + _ZNK13QsciLexerRuby10metaObjectEv@Base 2.8.4 + _ZNK13QsciLexerRuby11defaultFontEi@Base 2.8.4 + _ZNK13QsciLexerRuby11descriptionEi@Base 2.8.4 + _ZNK13QsciLexerRuby12defaultColorEi@Base 2.8.4 + _ZNK13QsciLexerRuby12defaultPaperEi@Base 2.8.4 + _ZNK13QsciLexerRuby14defaultEolFillEi@Base 2.8.4 + _ZNK13QsciLexerRuby15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK13QsciLexerRuby17blockStartKeywordEPi@Base 2.8.4 + _ZNK13QsciLexerRuby5lexerEv@Base 2.8.4 + _ZNK13QsciLexerRuby8blockEndEPi@Base 2.8.4 + _ZNK13QsciLexerRuby8keywordsEi@Base 2.8.4 + _ZNK13QsciLexerRuby8languageEv@Base 2.8.4 + _ZNK13QsciLexerVHDL10braceStyleEv@Base 2.8.4 + _ZNK13QsciLexerVHDL10foldAtElseEv@Base 2.8.4 + _ZNK13QsciLexerVHDL10metaObjectEv@Base 2.8.4 + _ZNK13QsciLexerVHDL11defaultFontEi@Base 2.8.4 + _ZNK13QsciLexerVHDL11descriptionEi@Base 2.8.4 + _ZNK13QsciLexerVHDL11foldAtBeginEv@Base 2.8.4 + _ZNK13QsciLexerVHDL11foldCompactEv@Base 2.8.4 + _ZNK13QsciLexerVHDL12defaultColorEi@Base 2.8.4 + _ZNK13QsciLexerVHDL12defaultPaperEi@Base 2.8.4 + _ZNK13QsciLexerVHDL12foldCommentsEv@Base 2.8.4 + _ZNK13QsciLexerVHDL14defaultEolFillEi@Base 2.8.4 + _ZNK13QsciLexerVHDL15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK13QsciLexerVHDL17foldAtParenthesisEv@Base 2.8.4 + _ZNK13QsciLexerVHDL5lexerEv@Base 2.8.4 + _ZNK13QsciLexerVHDL8keywordsEi@Base 2.8.4 + _ZNK13QsciLexerVHDL8languageEv@Base 2.8.4 + _ZNK13QsciLexerYAML10metaObjectEv@Base 2.8.4 + _ZNK13QsciLexerYAML11defaultFontEi@Base 2.8.4 + _ZNK13QsciLexerYAML11descriptionEi@Base 2.8.4 + _ZNK13QsciLexerYAML12defaultColorEi@Base 2.8.4 + _ZNK13QsciLexerYAML12defaultPaperEi@Base 2.8.4 + _ZNK13QsciLexerYAML12foldCommentsEv@Base 2.8.4 + _ZNK13QsciLexerYAML14defaultEolFillEi@Base 2.8.4 + _ZNK13QsciLexerYAML15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK13QsciLexerYAML5lexerEv@Base 2.8.4 + _ZNK13QsciLexerYAML8keywordsEi@Base 2.8.4 + _ZNK13QsciLexerYAML8languageEv@Base 2.8.4 + _ZNK13QsciListBoxQt14GetVisibleRowsEv@Base 2.8.4 + _ZNK13QsciScintilla10annotationEi@Base 2.8.4 + _ZNK13QsciScintilla10edgeColumnEv@Base 2.8.4 + _ZNK13QsciScintilla10isModifiedEv@Base 2.8.4 + _ZNK13QsciScintilla10isReadOnlyEv@Base 2.8.4 + _ZNK13QsciScintilla10lineLengthEi@Base 2.8.4 + _ZNK13QsciScintilla10marginTypeEi@Base 2.8.4 + _ZNK13QsciScintilla10markerLineEi@Base 2.8.4 + _ZNK13QsciScintilla10metaObjectEv@Base 2.8.4 + _ZNK13QsciScintilla10tabIndentsEv@Base 2.8.4 + _ZNK13QsciScintilla10textHeightEi@Base 2.8.4 + _ZNK13QsciScintilla11extraAscentEv@Base 2.8.4 + _ZNK13QsciScintilla11indentWidthEv@Base 2.8.4 + _ZNK13QsciScintilla11indentationEi@Base 2.8.4 + _ZNK13QsciScintilla11isStartCharEc@Base 2.8.4 + _ZNK13QsciScintilla11marginWidthEi@Base 2.8.4 + _ZNK13QsciScintilla11wordAtPointERK6QPoint@Base 2.8.4 + _ZNK13QsciScintilla12extraDescentEv@Base 2.8.4 + _ZNK13QsciScintilla12getCharacterERi@Base 2.8.4 + _ZNK13QsciScintilla12getSelectionEPiS0_S0_S0_@Base 2.8.4 + _ZNK13QsciScintilla12getSeparatorERi@Base 2.8.4 + _ZNK13QsciScintilla12isListActiveEv@Base 2.8.4 + _ZNK13QsciScintilla12selectedTextEv@Base 2.8.4 + _ZNK13QsciScintilla12set_shortcutEP7QActionN11QsciCommand7CommandE@Base 2.8.4 + _ZNK13QsciScintilla13caseSensitiveEv@Base 2.8.4 + _ZNK13QsciScintilla13currentIndentEv@Base 2.8.4 + _ZNK13QsciScintilla13eolVisibilityEv@Base 2.8.4 + _ZNK13QsciScintilla13marginOptionsEv@Base 2.8.4 + _ZNK13QsciScintilla13markersAtLineEi@Base 2.8.4 + _ZNK13QsciScintilla13overwriteModeEv@Base 2.8.4 + _ZNK13QsciScintilla14markerFindNextEij@Base 2.8.4 + _ZNK13QsciScintilla14selectionToEolEv@Base 2.8.4 + _ZNK13QsciScintilla14whitespaceSizeEv@Base 2.8.4 + _ZNK13QsciScintilla14wordAtPositionEi@Base 2.8.4 + _ZNK13QsciScintilla14wordCharactersEv@Base 2.8.4 + _ZNK13QsciScintilla14wrapIndentModeEv@Base 2.8.4 + _ZNK13QsciScintilla15contractedFoldsEv@Base 2.8.4 + _ZNK13QsciScintilla15hasSelectedTextEv@Base 2.8.4 + _ZNK13QsciScintilla15isCallTipActiveEv@Base 2.8.4 + _ZNK13QsciScintilla15isRedoAvailableEv@Base 2.8.4 + _ZNK13QsciScintilla15isUndoAvailableEv@Base 2.8.4 + _ZNK13QsciScintilla15isWordCharacterEc@Base 2.8.4 + _ZNK13QsciScintilla15wordAtLineIndexEii@Base 2.8.4 + _ZNK13QsciScintilla16firstVisibleLineEv@Base 2.8.4 + _ZNK13QsciScintilla16indentationWidthEv@Base 2.8.4 + _ZNK13QsciScintilla16marginMarkerMaskEi@Base 2.8.4 + _ZNK13QsciScintilla17annotationDisplayEv@Base 2.8.4 + _ZNK13QsciScintilla17getCursorPositionEPiS0_@Base 2.8.4 + _ZNK13QsciScintilla17indentationGuidesEv@Base 2.8.4 + _ZNK13QsciScintilla17marginLineNumbersEi@Base 2.8.4 + _ZNK13QsciScintilla17marginSensitivityEi@Base 2.8.4 + _ZNK13QsciScintilla18backspaceUnindentsEv@Base 2.8.4 + _ZNK13QsciScintilla18indicatorDrawUnderEi@Base 2.8.4 + _ZNK13QsciScintilla18markerFindPreviousEij@Base 2.8.4 + _ZNK13QsciScintilla19indentationsUseTabsEv@Base 2.8.4 + _ZNK13QsciScintilla20isAutoCompletionListEv@Base 2.8.4 + _ZNK13QsciScintilla20whitespaceVisibilityEv@Base 2.8.4 + _ZNK13QsciScintilla21lineIndexFromPositionEiPiS0_@Base 2.8.4 + _ZNK13QsciScintilla21positionFromLineIndexEii@Base 2.8.4 + _ZNK13QsciScintilla23adjustedCallTipPositionEi@Base 2.8.4 + _ZNK13QsciScintilla23autoCompletionUseSingleEv@Base 2.8.4 + _ZNK13QsciScintilla24autoCompletionShowSingleEv@Base 2.8.4 + _ZNK13QsciScintilla25autoCompletionReplaceWordEv@Base 2.8.4 + _ZNK13QsciScintilla28autoCompletionFillupsEnabledEv@Base 2.8.4 + _ZNK13QsciScintilla29autoCompletionCaseSensitivityEv@Base 2.8.4 + _ZNK13QsciScintilla4textEi@Base 2.8.4 + _ZNK13QsciScintilla4textEv@Base 2.8.4 + _ZNK13QsciScintilla5colorEv@Base 2.8.4 + _ZNK13QsciScintilla5lexerEv@Base 2.8.4 + _ZNK13QsciScintilla5linesEv@Base 2.8.4 + _ZNK13QsciScintilla5paperEv@Base 2.8.4 + _ZNK13QsciScintilla5writeEP9QIODevice@Base 2.8.4 + _ZNK13QsciScintilla6isUtf8Ev@Base 2.8.4 + _ZNK13QsciScintilla6lengthEv@Base 2.8.4 + _ZNK13QsciScintilla6lineAtERK6QPoint@Base 2.8.4 + _ZNK13QsciScintilla7eolModeEv@Base 2.8.4 + _ZNK13QsciScintilla7getWordERi@Base 2.8.4 + _ZNK13QsciScintilla8edgeModeEv@Base 2.8.4 + _ZNK13QsciScintilla8tabWidthEv@Base 2.8.4 + _ZNK13QsciScintilla8wrapModeEv@Base 2.8.4 + _ZNK13QsciScintilla9edgeColorEv@Base 2.8.4 + _ZNK13ScintillaBase22AutoCompleteGetCurrentEv@Base 2.8.4 + _ZNK13ScintillaBase26AutoCompleteGetCurrentTextEPc@Base 2.8.4 + _ZNK13SelectionText20LengthWithTerminatorEv@Base 2.8.4 + _ZNK13SelectionText4DataEv@Base 2.8.4 + _ZNK13SelectionText6LengthEv@Base 2.8.4 + _ZNK14AutoLineLayoutcvP10LineLayoutEv@Base 2.8.4 + _ZNK14AutoLineLayoutptEv@Base 2.8.4 + _ZNK14DecorationList15GetCurrentValueEv@Base 2.8.4 + _ZNK14DecorationList19GetCurrentIndicatorEv@Base 2.8.4 + _ZNK14DecorationList8AllOnForEi@Base 2.8.4 + _ZNK14LineAnnotation14MultipleStylesEi@Base 2.8.4 + _ZNK14LineAnnotation4TextEi@Base 2.8.4 + _ZNK14LineAnnotation5LinesEi@Base 2.8.4 + _ZNK14LineAnnotation5StyleEi@Base 2.8.4 + _ZNK14LineAnnotation6LengthEi@Base 2.8.4 + _ZNK14LineAnnotation6StylesEi@Base 2.8.4 + _ZNK14QDesktopWidget17availableGeometryERK6QPoint@Base 2.8.4 + (optional=templinst)_ZNK14QScopedPointerI10QBrushData24QBrushDataPointerDeleterEptEv@Base 2.8.4 + (optional=templinst)_ZNK14QScopedPointerI11QObjectData21QScopedPointerDeleterIS0_EEptEv@Base 2.8.4 + _ZNK14QsciCommandSet4findEN11QsciCommand7CommandE@Base 2.8.4 + _ZNK14QsciCommandSet7boundToEi@Base 2.8.4 + _ZNK14QsciLexerBatch10metaObjectEv@Base 2.8.4 + _ZNK14QsciLexerBatch11defaultFontEi@Base 2.8.4 + _ZNK14QsciLexerBatch11descriptionEi@Base 2.8.4 + _ZNK14QsciLexerBatch12defaultColorEi@Base 2.8.4 + _ZNK14QsciLexerBatch12defaultPaperEi@Base 2.8.4 + _ZNK14QsciLexerBatch13caseSensitiveEv@Base 2.8.4 + _ZNK14QsciLexerBatch14defaultEolFillEi@Base 2.8.4 + _ZNK14QsciLexerBatch14wordCharactersEv@Base 2.8.4 + _ZNK14QsciLexerBatch5lexerEv@Base 2.8.4 + _ZNK14QsciLexerBatch8keywordsEi@Base 2.8.4 + _ZNK14QsciLexerBatch8languageEv@Base 2.8.4 + _ZNK14QsciLexerCMake10foldAtElseEv@Base 2.8.4 + _ZNK14QsciLexerCMake10metaObjectEv@Base 2.8.4 + _ZNK14QsciLexerCMake11defaultFontEi@Base 2.8.4 + _ZNK14QsciLexerCMake11descriptionEi@Base 2.8.4 + _ZNK14QsciLexerCMake12defaultColorEi@Base 2.8.4 + _ZNK14QsciLexerCMake12defaultPaperEi@Base 2.8.4 + _ZNK14QsciLexerCMake15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK14QsciLexerCMake5lexerEv@Base 2.8.4 + _ZNK14QsciLexerCMake8keywordsEi@Base 2.8.4 + _ZNK14QsciLexerCMake8languageEv@Base 2.8.4 + _ZNK14QsciLexerSpice10braceStyleEv@Base 2.8.4 + _ZNK14QsciLexerSpice10metaObjectEv@Base 2.8.4 + _ZNK14QsciLexerSpice11defaultFontEi@Base 2.8.4 + _ZNK14QsciLexerSpice11descriptionEi@Base 2.8.4 + _ZNK14QsciLexerSpice12defaultColorEi@Base 2.8.4 + _ZNK14QsciLexerSpice5lexerEv@Base 2.8.4 + _ZNK14QsciLexerSpice8keywordsEi@Base 2.8.4 + _ZNK14QsciLexerSpice8languageEv@Base 2.8.4 + _ZNK14QsciSciCallTip10metaObjectEv@Base 2.8.4 + _ZNK14QsciSciListBox10metaObjectEv@Base 2.8.4 + _ZNK14QsciStyledText4textEv@Base 2.8.4 + _ZNK14QsciStyledText5applyEP17QsciScintillaBase@Base 2.8.4 + _ZNK14QsciStyledText5styleEv@Base 2.8.4 + _ZNK14SelectionRange17ContainsCharacterEi@Base 2.8.4 + _ZNK14SelectionRange3EndEv@Base 2.8.4 + _ZNK14SelectionRange5EmptyEv@Base 2.8.4 + _ZNK14SelectionRange5StartEv@Base 2.8.4 + _ZNK14SelectionRange6LengthEv@Base 2.8.4 + _ZNK14SelectionRange8ContainsE17SelectionPosition@Base 2.8.4 + _ZNK14SelectionRange8ContainsEi@Base 2.8.4 + _ZNK14SelectionRange9IntersectE16SelectionSegment@Base 2.8.4 + _ZNK14SelectionRangeeqERKS_@Base 2.8.4 + _ZNK14SelectionRangeltERKS_@Base 2.8.4 + _ZNK14StyleAndColoureqERKS_@Base 2.9 + _ZNK14WordClassifier13IncludesStyleEi@Base 2.8.4 + _ZNK14WordClassifier4BaseEv@Base 2.8.4 + _ZNK14WordClassifier5StartEv@Base 2.8.4 + _ZNK14WordClassifier6LengthEv@Base 2.8.4 + _ZNK14WordClassifier8ValueForERKSs@Base 2.8.4 + _ZNK15LineLayoutCache8GetLevelEv@Base 2.8.4 + _ZNK15MarkerHandleSet6LengthEv@Base 2.8.4 + _ZNK15MarkerHandleSet8ContainsEi@Base 2.8.4 + _ZNK15MarkerHandleSet9MarkValueEv@Base 2.8.4 + _ZNK15QListWidgetItem4textEv@Base 2.8.4 + _ZNK15QTextCharFormat14underlineColorEv@Base 2.8.4 + _ZNK15QTextCharFormat14underlineStyleEv@Base 2.8.4 + (optional=templinst)_ZNK15QVarLengthArrayIcLi1024EE9constDataEv@Base 2.8.4 + _ZNK15QsciLexerCSharp10metaObjectEv@Base 2.8.4 + _ZNK15QsciLexerCSharp11defaultFontEi@Base 2.8.4 + _ZNK15QsciLexerCSharp11descriptionEi@Base 2.8.4 + _ZNK15QsciLexerCSharp12defaultColorEi@Base 2.8.4 + _ZNK15QsciLexerCSharp12defaultPaperEi@Base 2.8.4 + _ZNK15QsciLexerCSharp14defaultEolFillEi@Base 2.8.4 + _ZNK15QsciLexerCSharp8keywordsEi@Base 2.8.4 + _ZNK15QsciLexerCSharp8languageEv@Base 2.8.4 + _ZNK15QsciLexerCustom10metaObjectEv@Base 2.8.4 + _ZNK15QsciLexerCustom15styleBitsNeededEv@Base 2.8.4 + _ZNK15QsciLexerMatlab10metaObjectEv@Base 2.8.4 + _ZNK15QsciLexerMatlab11defaultFontEi@Base 2.8.4 + _ZNK15QsciLexerMatlab11descriptionEi@Base 2.8.4 + _ZNK15QsciLexerMatlab12defaultColorEi@Base 2.8.4 + _ZNK15QsciLexerMatlab5lexerEv@Base 2.8.4 + _ZNK15QsciLexerMatlab8keywordsEi@Base 2.8.4 + _ZNK15QsciLexerMatlab8languageEv@Base 2.8.4 + _ZNK15QsciLexerOctave10metaObjectEv@Base 2.8.4 + _ZNK15QsciLexerOctave5lexerEv@Base 2.8.4 + _ZNK15QsciLexerOctave8keywordsEi@Base 2.8.4 + _ZNK15QsciLexerOctave8languageEv@Base 2.8.4 + _ZNK15QsciLexerPascal10blockStartEPi@Base 2.8.4 + _ZNK15QsciLexerPascal10braceStyleEv@Base 2.8.4 + _ZNK15QsciLexerPascal10metaObjectEv@Base 2.8.4 + _ZNK15QsciLexerPascal11defaultFontEi@Base 2.8.4 + _ZNK15QsciLexerPascal11descriptionEi@Base 2.8.4 + _ZNK15QsciLexerPascal11foldCompactEv@Base 2.8.4 + _ZNK15QsciLexerPascal12defaultColorEi@Base 2.8.4 + _ZNK15QsciLexerPascal12defaultPaperEi@Base 2.8.4 + _ZNK15QsciLexerPascal12foldCommentsEv@Base 2.8.4 + _ZNK15QsciLexerPascal14defaultEolFillEi@Base 2.8.4 + _ZNK15QsciLexerPascal15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK15QsciLexerPascal16foldPreprocessorEv@Base 2.8.4 + _ZNK15QsciLexerPascal17blockStartKeywordEPi@Base 2.8.4 + _ZNK15QsciLexerPascal17smartHighlightingEv@Base 2.8.4 + _ZNK15QsciLexerPascal28autoCompletionWordSeparatorsEv@Base 2.8.4 + _ZNK15QsciLexerPascal5lexerEv@Base 2.8.4 + _ZNK15QsciLexerPascal8blockEndEPi@Base 2.8.4 + _ZNK15QsciLexerPascal8keywordsEi@Base 2.8.4 + _ZNK15QsciLexerPascal8languageEv@Base 2.8.4 + _ZNK15QsciLexerPython10blockStartEPi@Base 2.8.4 + _ZNK15QsciLexerPython10braceStyleEv@Base 2.8.4 + _ZNK15QsciLexerPython10metaObjectEv@Base 2.8.4 + _ZNK15QsciLexerPython11defaultFontEi@Base 2.8.4 + _ZNK15QsciLexerPython11descriptionEi@Base 2.8.4 + _ZNK15QsciLexerPython12defaultColorEi@Base 2.8.4 + _ZNK15QsciLexerPython12defaultPaperEi@Base 2.8.4 + _ZNK15QsciLexerPython13blockLookbackEv@Base 2.8.4 + _ZNK15QsciLexerPython14defaultEolFillEi@Base 2.8.4 + _ZNK15QsciLexerPython15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK15QsciLexerPython20indentationGuideViewEv@Base 2.8.4 + _ZNK15QsciLexerPython28autoCompletionWordSeparatorsEv@Base 2.8.4 + _ZNK15QsciLexerPython5lexerEv@Base 2.8.4 + _ZNK15QsciLexerPython8keywordsEi@Base 2.8.4 + _ZNK15QsciLexerPython8languageEv@Base 2.8.4 + _ZNK15QsciScintillaQt10metaObjectEv@Base 2.9 + _ZNK15QsciScintillaQt13mimeSelectionERK13SelectionText@Base 2.8.4 + _ZNK16ContractionState10GetVisibleEi@Base 2.8.4 + _ZNK16ContractionState10LinesInDocEv@Base 2.8.4 + _ZNK16ContractionState11GetExpandedEi@Base 2.8.4 + _ZNK16ContractionState11HiddenLinesEv@Base 2.8.4 + _ZNK16ContractionState14ContractedNextEi@Base 2.8.4 + _ZNK16ContractionState14DisplayFromDocEi@Base 2.8.4 + _ZNK16ContractionState14DocFromDisplayEi@Base 2.8.4 + _ZNK16ContractionState14LinesDisplayedEv@Base 2.8.4 + _ZNK16ContractionState18DisplayLastFromDocEi@Base 2.9 + _ZNK16ContractionState5CheckEv@Base 2.8.4 + _ZNK16ContractionState8OneToOneEv@Base 2.8.4 + _ZNK16ContractionState9GetHeightEi@Base 2.8.4 + _ZNK16QsciAPIsPrepared8apiWordsEiRK11QStringListb@Base 2.8.4 + _ZNK16QsciAbstractAPIs10metaObjectEv@Base 2.8.4 + _ZNK16QsciAbstractAPIs5lexerEv@Base 2.8.4 + _ZNK16QsciLexerFortran10metaObjectEv@Base 2.8.4 + _ZNK16QsciLexerFortran5lexerEv@Base 2.8.4 + _ZNK16QsciLexerFortran8keywordsEi@Base 2.8.4 + _ZNK16QsciLexerFortran8languageEv@Base 2.8.4 + _ZNK16QsciLexerVerilog10braceStyleEv@Base 2.8.4 + _ZNK16QsciLexerVerilog10metaObjectEv@Base 2.8.4 + _ZNK16QsciLexerVerilog11defaultFontEi@Base 2.8.4 + _ZNK16QsciLexerVerilog11descriptionEi@Base 2.8.4 + _ZNK16QsciLexerVerilog12defaultColorEi@Base 2.8.4 + _ZNK16QsciLexerVerilog12defaultPaperEi@Base 2.8.4 + _ZNK16QsciLexerVerilog14defaultEolFillEi@Base 2.8.4 + _ZNK16QsciLexerVerilog14wordCharactersEv@Base 2.8.4 + _ZNK16QsciLexerVerilog15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK16QsciLexerVerilog5lexerEv@Base 2.8.4 + _ZNK16QsciLexerVerilog8keywordsEi@Base 2.8.4 + _ZNK16QsciLexerVerilog8languageEv@Base 2.8.4 + _ZNK16SelectionSegment5EmptyEv@Base 2.8.4 + _ZNK17FontSpecificationeqERKS_@Base 2.8.4 + _ZNK17FontSpecificationltERKS_@Base 2.8.4 + _ZNK17LexerVisualProlog7VersionEv@Base 2.8.4 + _ZNK17QContextMenuEvent7globalXEv@Base 2.8.4 + _ZNK17QContextMenuEvent7globalYEv@Base 2.8.4 + _ZNK17QContextMenuEvent9globalPosEv@Base 2.8.4 + _ZNK17QInputMethodEvent10attributesEv@Base 2.8.4 + _ZNK17QInputMethodEvent12commitStringEv@Base 2.8.4 + _ZNK17QInputMethodEvent13preeditStringEv@Base 2.8.4 + _ZNK17QInputMethodEvent16replacementStartEv@Base 2.8.4 + _ZNK17QInputMethodEvent17replacementLengthEv@Base 2.8.4 + _ZNK17QsciLexerMakefile10metaObjectEv@Base 2.8.4 + _ZNK17QsciLexerMakefile11defaultFontEi@Base 2.8.4 + _ZNK17QsciLexerMakefile11descriptionEi@Base 2.8.4 + _ZNK17QsciLexerMakefile12defaultColorEi@Base 2.8.4 + _ZNK17QsciLexerMakefile12defaultPaperEi@Base 2.8.4 + _ZNK17QsciLexerMakefile14defaultEolFillEi@Base 2.8.4 + _ZNK17QsciLexerMakefile14wordCharactersEv@Base 2.8.4 + _ZNK17QsciLexerMakefile5lexerEv@Base 2.8.4 + _ZNK17QsciLexerMakefile8languageEv@Base 2.8.4 + _ZNK17QsciScintillaBase10metaObjectEv@Base 2.8.4 + _ZNK17QsciScintillaBase10toMimeDataERK10QByteArrayb@Base 2.8.4 + _ZNK17QsciScintillaBase11bytesAsTextEPKc@Base 2.8.4 + _ZNK17QsciScintillaBase11textAsBytesERK7QString@Base 2.8.4 + _ZNK17QsciScintillaBase12fromMimeDataEPK9QMimeDataRb@Base 2.8.4 + _ZNK17QsciScintillaBase13SendScintillaEjPKc@Base 2.8.4 + _ZNK17QsciScintillaBase13SendScintillaEjPKcS1_@Base 2.8.4 + _ZNK17QsciScintillaBase13SendScintillaEjRK6QColor@Base 2.8.4 + _ZNK17QsciScintillaBase13SendScintillaEji@Base 2.8.4 + _ZNK17QsciScintillaBase13SendScintillaEjl@Base 2.8.4 + _ZNK17QsciScintillaBase13SendScintillaEjllPc@Base 2.8.4 + _ZNK17QsciScintillaBase13SendScintillaEjmP8QPainterRK5QRectll@Base 2.8.4 + _ZNK17QsciScintillaBase13SendScintillaEjmPKc@Base 2.8.4 + _ZNK17QsciScintillaBase13SendScintillaEjmPv@Base 2.8.4 + _ZNK17QsciScintillaBase13SendScintillaEjmRK6QColor@Base 2.8.4 + _ZNK17QsciScintillaBase13SendScintillaEjmRK6QImage@Base 2.8.4 + _ZNK17QsciScintillaBase13SendScintillaEjmRK7QPixmap@Base 2.8.4 + _ZNK17QsciScintillaBase13SendScintillaEjml@Base 2.8.4 + _ZNK17QsciScintillaBase16inputMethodQueryEN2Qt16InputMethodQueryE@Base 2.8.4 + _ZNK17QsciScintillaBase21canInsertFromMimeDataEPK9QMimeData@Base 2.8.4 + _ZNK17QsciScintillaBase22SendScintillaPtrResultEj@Base 2.8.4 + _ZNK17SelectionPosition12VirtualSpaceEv@Base 2.8.4 + _ZNK17SelectionPosition7IsValidEv@Base 2.8.4 + _ZNK17SelectionPosition8PositionEv@Base 2.8.4 + _ZNK17SelectionPositioneqERKS_@Base 2.8.4 + _ZNK17SelectionPositiongeERKS_@Base 2.8.4 + _ZNK17SelectionPositiongtERKS_@Base 2.8.4 + _ZNK17SelectionPositionleERKS_@Base 2.8.4 + _ZNK17SelectionPositionltERKS_@Base 2.8.4 + _ZNK18HighlightDelimiter12NeedsDrawingEi@Base 2.8.4 + _ZNK18HighlightDelimiter17IsBodyOfFoldBlockEi@Base 2.8.4 + _ZNK18HighlightDelimiter17IsHeadOfFoldBlockEi@Base 2.8.4 + _ZNK18HighlightDelimiter17IsTailOfFoldBlockEi@Base 2.8.4 + _ZNK18HighlightDelimiter22IsFoldBlockHighlightedEi@Base 2.8.4 + _ZNK18PositionCacheEntry8RetrieveEjPKcjPf@Base 2.8.4 + _ZNK18PositionCacheEntry9NewerThanERKS_@Base 2.8.4 + _ZNK18QsciLexerFortran7710braceStyleEv@Base 2.8.4 + _ZNK18QsciLexerFortran7710metaObjectEv@Base 2.8.4 + _ZNK18QsciLexerFortran7711defaultFontEi@Base 2.8.4 + _ZNK18QsciLexerFortran7711descriptionEi@Base 2.8.4 + _ZNK18QsciLexerFortran7711foldCompactEv@Base 2.8.4 + _ZNK18QsciLexerFortran7712defaultColorEi@Base 2.8.4 + _ZNK18QsciLexerFortran7712defaultPaperEi@Base 2.8.4 + _ZNK18QsciLexerFortran7714defaultEolFillEi@Base 2.8.4 + _ZNK18QsciLexerFortran7715writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK18QsciLexerFortran775lexerEv@Base 2.8.4 + _ZNK18QsciLexerFortran778keywordsEi@Base 2.8.4 + _ZNK18QsciLexerFortran778languageEv@Base 2.8.4 + (optional=templinst)_ZNK19QBasicAtomicIntegerIiE4loadEv@Base 2.8.4 + _ZNK19QsciLexerJavaScript10metaObjectEv@Base 2.8.4 + _ZNK19QsciLexerJavaScript11defaultFontEi@Base 2.8.4 + _ZNK19QsciLexerJavaScript11descriptionEi@Base 2.8.4 + _ZNK19QsciLexerJavaScript12defaultColorEi@Base 2.8.4 + _ZNK19QsciLexerJavaScript12defaultPaperEi@Base 2.8.4 + _ZNK19QsciLexerJavaScript14defaultEolFillEi@Base 2.8.4 + _ZNK19QsciLexerJavaScript8keywordsEi@Base 2.8.4 + _ZNK19QsciLexerJavaScript8languageEv@Base 2.8.4 + _ZNK19QsciLexerPostScript10braceStyleEv@Base 2.8.4 + _ZNK19QsciLexerPostScript10foldAtElseEv@Base 2.8.4 + _ZNK19QsciLexerPostScript10metaObjectEv@Base 2.8.4 + _ZNK19QsciLexerPostScript11defaultFontEi@Base 2.8.4 + _ZNK19QsciLexerPostScript11descriptionEi@Base 2.8.4 + _ZNK19QsciLexerPostScript11foldCompactEv@Base 2.8.4 + _ZNK19QsciLexerPostScript12defaultColorEi@Base 2.8.4 + _ZNK19QsciLexerPostScript12defaultPaperEi@Base 2.8.4 + _ZNK19QsciLexerPostScript15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK19QsciLexerPostScript5levelEv@Base 2.8.4 + _ZNK19QsciLexerPostScript5lexerEv@Base 2.8.4 + _ZNK19QsciLexerPostScript8keywordsEi@Base 2.8.4 + _ZNK19QsciLexerPostScript8languageEv@Base 2.8.4 + _ZNK19QsciLexerPostScript8tokenizeEv@Base 2.8.4 + _ZNK19QsciLexerProperties10metaObjectEv@Base 2.8.4 + _ZNK19QsciLexerProperties11defaultFontEi@Base 2.8.4 + _ZNK19QsciLexerProperties11descriptionEi@Base 2.8.4 + _ZNK19QsciLexerProperties12defaultColorEi@Base 2.8.4 + _ZNK19QsciLexerProperties12defaultPaperEi@Base 2.8.4 + _ZNK19QsciLexerProperties14defaultEolFillEi@Base 2.8.4 + _ZNK19QsciLexerProperties14wordCharactersEv@Base 2.8.4 + _ZNK19QsciLexerProperties15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK19QsciLexerProperties5lexerEv@Base 2.8.4 + _ZNK19QsciLexerProperties8languageEv@Base 2.8.4 + _ZNK21QsciLexerCoffeeScript10blockStartEPi@Base 2.8.4 + _ZNK21QsciLexerCoffeeScript10braceStyleEv@Base 2.8.4 + _ZNK21QsciLexerCoffeeScript10metaObjectEv@Base 2.8.4 + _ZNK21QsciLexerCoffeeScript11defaultFontEi@Base 2.8.4 + _ZNK21QsciLexerCoffeeScript11descriptionEi@Base 2.8.4 + _ZNK21QsciLexerCoffeeScript12defaultColorEi@Base 2.8.4 + _ZNK21QsciLexerCoffeeScript12defaultPaperEi@Base 2.8.4 + _ZNK21QsciLexerCoffeeScript14defaultEolFillEi@Base 2.8.4 + _ZNK21QsciLexerCoffeeScript14wordCharactersEv@Base 2.8.4 + _ZNK21QsciLexerCoffeeScript15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK21QsciLexerCoffeeScript17blockStartKeywordEPi@Base 2.8.4 + _ZNK21QsciLexerCoffeeScript28autoCompletionWordSeparatorsEv@Base 2.8.4 + _ZNK21QsciLexerCoffeeScript5lexerEv@Base 2.8.4 + _ZNK21QsciLexerCoffeeScript8blockEndEPi@Base 2.8.4 + _ZNK21QsciLexerCoffeeScript8keywordsEi@Base 2.8.4 + _ZNK21QsciLexerCoffeeScript8languageEv@Base 2.8.4 + (arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNK22SpecialRepresentations27RepresentationFromCharacterEPKcj@Base 2.9 + (arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNK22SpecialRepresentations27RepresentationFromCharacterEPKcm@Base 2.9 + (arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNK22SpecialRepresentations8ContainsEPKcj@Base 2.9 + (arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNK22SpecialRepresentations8ContainsEPKcm@Base 2.9 + _ZNK3XPM6PixmapEv@Base 2.8.4 + _ZNK3XPM9GetHeightEv@Base 2.8.4 + (optional=templinst)_ZNK4QMapI7QString5QListI5QPairIjjEEE14const_iterator3keyEv@Base 2.8.4 + (optional=templinst)_ZNK4QMapI7QString5QListI5QPairIjjEEE14const_iterator5valueEv@Base 2.8.4 + (optional=templinst)_ZNK4QMapI7QString5QListI5QPairIjjEEE14const_iteratorneERKS6_@Base 2.8.4 + (optional=templinst)_ZNK4QMapI7QString5QListI5QPairIjjEEE3endEv@Base 2.8.4 + (optional=templinst)_ZNK4QMapI7QString5QListI5QPairIjjEEE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNK4QMapI7QString5QListI5QPairIjjEEE5beginEv@Base 2.8.4 + (optional=templinst)_ZNK4QMapI7QString5QListI5QPairIjjEEE8iteratordeEv@Base 2.8.4 + (optional=templinst)_ZNK4QMapI7QStringS0_E14const_iterator3keyEv@Base 2.8.4 + (optional=templinst)_ZNK4QMapI7QStringS0_E14const_iterator5valueEv@Base 2.8.4 + (optional=templinst)_ZNK4QMapI7QStringS0_E14const_iteratorneERKS2_@Base 2.8.4 + (optional=templinst)_ZNK4QMapI7QStringS0_E8iteratordeEv@Base 2.8.4 + (optional=templinst)_ZNK4QMapIi7QPixmapE14const_iterator5valueEv@Base 2.8.4 + (optional=templinst)_ZNK4QMapIi7QPixmapE14const_iteratoreqERKS2_@Base 2.8.4 + (optional=templinst)_ZNK4QMapIi7QPixmapE14const_iteratorneERKS2_@Base 2.8.4 + (optional=templinst)_ZNK4QMapIiN9QsciLexer9StyleDataEE8iteratordeEv@Base 2.8.4 + _ZNK5QChar7isPrintEv@Base 2.8.4 + _ZNK5QChar7isSpaceEv@Base 2.8.4 + _ZNK5QFlagcviEv@Base 2.8.4 + _ZNK5QFlagcvjEv@Base 2.8.4 + _ZNK5QFont4boldEv@Base 2.8.4 + _ZNK5QFont6italicEv@Base 2.8.4 + (optional=templinst)_ZNK5QListI14QsciStyledTextE5countEv@Base 2.8.4 + (optional=templinst)_ZNK5QListI14QsciStyledTextEixEi@Base 2.8.4 + (optional=templinst)_ZNK5QListI5QPairIjjEE2atEi@Base 2.8.4 + (optional=templinst)_ZNK5QListI5QPairIjjEE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNK5QListI5QPairIjjEE5countEv@Base 2.8.4 + (optional=templinst)_ZNK5QListI5QPairIjjEE7isEmptyEv@Base 2.8.4 + (optional=templinst)_ZNK5QListI5QPairIjjEEixEi@Base 2.8.4 + (optional=templinst)_ZNK5QListI7QStringE10constBeginEv@Base 2.8.4 + (optional=templinst)_ZNK5QListI7QStringE14const_iteratordeEv@Base 2.8.4 + (optional=templinst)_ZNK5QListI7QStringE14const_iteratoreqERKS2_@Base 2.8.4 + (optional=templinst)_ZNK5QListI7QStringE14const_iteratormiES2_@Base 2.8.4 + (optional=templinst)_ZNK5QListI7QStringE14const_iteratorneERKS2_@Base 2.8.4 + (optional=templinst)_ZNK5QListI7QStringE14const_iteratorplEi@Base 2.8.4 + (optional=templinst)_ZNK5QListI7QStringE15isValidIteratorERKNS1_8iteratorE@Base 2.8.4 + (optional=templinst)_ZNK5QListI7QStringE2atEi@Base 2.8.4 + (optional=templinst)_ZNK5QListI7QStringE3midEii@Base 2.8.4 + (optional=templinst)_ZNK5QListI7QStringE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNK5QListI7QStringE5countEv@Base 2.8.4 + (optional=templinst)_ZNK5QListI7QStringE5firstEv@Base 2.8.4 + (optional=templinst)_ZNK5QListI7QStringE7indexOfERKS0_i@Base 2.8.4 + (optional=templinst)_ZNK5QListI7QStringE7isEmptyEv@Base 2.8.4 + (optional=templinst)_ZNK5QListI7QStringE8constEndEv@Base 2.8.4 + (optional=templinst)_ZNK5QListI7QStringE8iteratordeEv@Base 2.8.4 + (optional=templinst)_ZNK5QListI7QStringEixEi@Base 2.8.4 + (optional=templinst)_ZNK5QListI9QFileInfoE14const_iteratordeEv@Base 2.8.4 + (optional=templinst)_ZNK5QListI9QFileInfoE14const_iteratorneERKS2_@Base 2.8.4 + (optional=templinst)_ZNK5QListI9QFileInfoE3endEv@Base 2.8.4 + (optional=templinst)_ZNK5QListI9QFileInfoE5beginEv@Base 2.8.4 + (optional=templinst)_ZNK5QListIN17QInputMethodEvent9AttributeEE14const_iteratordeEv@Base 2.8.4 + (optional=templinst)_ZNK5QListIN17QInputMethodEvent9AttributeEE14const_iteratorneERKS3_@Base 2.8.4 + (optional=templinst)_ZNK5QListIN17QInputMethodEvent9AttributeEE3endEv@Base 2.8.4 + (optional=templinst)_ZNK5QListIN17QInputMethodEvent9AttributeEE5beginEv@Base 2.8.4 + (optional=templinst)_ZNK5QListIN9QsciMacro5MacroEE14const_iteratordeEv@Base 2.8.4 + (optional=templinst)_ZNK5QListIN9QsciMacro5MacroEE14const_iteratorneERKS3_@Base 2.8.4 + (optional=templinst)_ZNK5QListIN9QsciMacro5MacroEE3endEv@Base 2.8.4 + (optional=templinst)_ZNK5QListIN9QsciMacro5MacroEE5beginEv@Base 2.8.4 + (optional=templinst)_ZNK5QListIN9QsciMacro5MacroEE7isEmptyEv@Base 2.8.4 + (optional=templinst)_ZNK5QListIN9QsciMacro5MacroEE8iteratordeEv@Base 2.8.4 + (optional=templinst)_ZNK5QListIP11QsciCommandE2atEi@Base 2.8.4 + (optional=templinst)_ZNK5QListIP11QsciCommandE5countEv@Base 2.8.4 + (optional=templinst)_ZNK5QListIP15QListWidgetItemE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNK5QListIP17QsciScintillaBaseE7indexOfERKS1_i@Base 2.8.4 + (optional=templinst)_ZNK5QListIP17QsciScintillaBaseE7isEmptyEv@Base 2.8.4 + (optional=templinst)_ZNK5QListIP17QsciScintillaBaseE8iteratordeEv@Base 2.8.4 + (optional=templinst)_ZNK5QListIiE5countEv@Base 2.8.4 + (optional=templinst)_ZNK5QListIiE7isEmptyEv@Base 2.8.4 + (optional=templinst)_ZNK5QListIiE8iteratordeEv@Base 2.8.4 + (optional=templinst)_ZNK5QListIiEixEi@Base 2.8.4 + _ZNK5QRect3topEv@Base 2.8.4 + _ZNK5QRect4leftEv@Base 2.8.4 + _ZNK5QRect5rightEv@Base 2.8.4 + _ZNK5QRect5widthEv@Base 2.8.4 + _ZNK5QRect6bottomEv@Base 2.8.4 + _ZNK5QRect6heightEv@Base 2.8.4 + _ZNK5QRect7topLeftEv@Base 2.8.4 + _ZNK5QSize5widthEv@Base 2.8.4 + _ZNK5QSize6heightEv@Base 2.8.4 + _ZNK5Range17ContainsCharacterEi@Base 2.8.4 + _ZNK5Range4LastEv@Base 2.9 + _ZNK5Range5FirstEv@Base 2.9 + _ZNK5Range5ValidEv@Base 2.8.4 + _ZNK5RangeeqERKS_@Base 2.9 + _ZNK5Style11IsProtectedEv@Base 2.8.4 + (optional=templinst)_ZNK5qLessI7QStringEclERKS0_S3_@Base 2.8.4 + _ZNK6Editor12MaxScrollPosEv@Base 2.9 + _ZNK6Editor13IsUnicodeModeEv@Base 2.8.4 + _ZNK6Editor13LinesOnScreenEv@Base 2.9 + _ZNK6Editor13LinesToScrollEv@Base 2.9 + _ZNK6Editor13TopLineOfMainEv@Base 2.8.4 + _ZNK6Editor13ValidCodePageEi@Base 2.8.4 + _ZNK6Editor14SelectionEmptyEv@Base 2.8.4 + _ZNK6Editor15CurrentPositionEv@Base 2.8.4 + _ZNK6Editor15GetHotSpotRangeEv@Base 2.9 + _ZNK6Editor15GetMarginCursorE5Point@Base 2.8.4 + _ZNK6Editor16GetTextRectangleEv@Base 2.9 + _ZNK6Editor16LineFromLocationE5Point@Base 2.8.4 + _ZNK6Editor16PointInSelMarginE5Point@Base 2.9 + _ZNK6Editor16UserVirtualSpaceEv@Base 2.8.4 + _ZNK6Editor17PositionAfterAreaE10PRectangle@Base 2.8.4 + _ZNK6Editor17PositionIsHotspotEi@Base 2.8.4 + _ZNK6Editor18ContractedFoldNextEi@Base 2.8.4 + _ZNK6Editor18GetClientRectangleEv@Base 2.9 + _ZNK6Editor21DocumentPointFromViewE5Point@Base 2.9 + _ZNK6Editor22GetVisibleOriginInMainEv@Base 2.9 + _ZNK6Editor22RangeContainsProtectedEii@Base 2.8.4 + _ZNK6Editor23MovePositionOutsideCharE17SelectionPositionib@Base 2.8.4 + _ZNK6Editor23MovePositionOutsideCharEiib@Base 2.8.4 + _ZNK6Editor25ClampPositionIntoDocumentE17SelectionPosition@Base 2.8.4 + _ZNK6Editor8CodePageEv@Base 2.8.4 + _ZNK6Editor8WrappingEv@Base 2.8.4 + _ZNK6Editor9RangeTextEii@Base 2.8.4 + _ZNK6KeyMap4FindEii@Base 2.8.4 + _ZNK6LexerD7VersionEv@Base 2.8.4 + _ZNK6QBrush5colorEv@Base 2.8.4 + _ZNK6QColor7isValidEv@Base 2.8.4 + _ZNK6QEvent10isAcceptedEv@Base 2.8.4 + _ZNK6QEvent4typeEv@Base 2.8.4 + (optional=templinst)_ZNK6QFlagsIN10QArrayData16AllocationOptionEE8testFlagES1_@Base 2.8.4 + (optional=templinst)_ZNK6QFlagsIN2Qt10DropActionEEorES1_@Base 2.8.4 + (optional=templinst)_ZNK6QFlagsIN2Qt10WindowTypeEEcvjEv@Base 2.8.4 + (optional=templinst)_ZNK6QFlagsIN2Qt10WindowTypeEEorES1_@Base 2.8.4 + (optional=templinst)_ZNK6QFlagsIN2Qt15InputMethodHintEEorES1_@Base 2.8.4 + (optional=templinst)_ZNK6QFlagsIN2Qt16KeyboardModifierEEanES1_@Base 2.8.4 + (optional=templinst)_ZNK6QFlagsIN2Qt16KeyboardModifierEEcvjEv@Base 2.8.4 + (optional=templinst)_ZNK6QFlagsIN2Qt9MatchFlagEEorES1_@Base 2.8.4 + (optional=templinst)_ZNK6QFlagsIN9QIODevice12OpenModeFlagEEorES1_@Base 2.8.4 + _ZNK6QPoint15manhattanLengthEv@Base 2.8.4 + _ZNK6QPoint1xEv@Base 2.8.4 + _ZNK6QPoint1yEv@Base 2.8.4 + _ZNK6QTimer8isActiveEv@Base 2.8.4 + _ZNK6Window5GetIDEv@Base 2.8.4 + _ZNK6Window7CreatedEv@Base 2.8.4 + _ZNK7CallTip10NextTabPosEi@Base 2.8.4 + _ZNK7CallTip14IsTabCharacterEc@Base 2.8.4 + _ZNK7CallTip15UseStyleCallTipEv@Base 2.8.4 + _ZNK7QObject10disconnectEPKS_PKc@Base 2.8.4 + _ZNK7QObject6parentEv@Base 2.8.4 + _ZNK7QObject7connectEPKS_PKcS3_N2Qt14ConnectionTypeE@Base 2.8.4 + _ZNK7QObject8inheritsEPKc@Base 2.8.4 + _ZNK7QPointF1xEv@Base 2.8.4 + _ZNK7QPointF1yEv@Base 2.8.4 + _ZNK7QPointF7toPointEv@Base 2.8.4 + _ZNK7QString2atEi@Base 2.8.4 + _ZNK7QString3argEiii5QChar@Base 2.8.4 + _ZNK7QString4sizeEv@Base 2.8.4 + _ZNK7QString6isNullEv@Base 2.8.4 + _ZNK7QString6lengthEv@Base 2.8.4 + _ZNK7QString7isEmptyEv@Base 2.8.4 + _ZNK7QString9constDataEv@Base 2.8.4 + (optional=templinst)_ZNK7QVectorI7QPointFE10isDetachedEv@Base 2.8.4 + (optional=templinst)_ZNK7QVectorI7QPointFE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNK7QVectorI7QPointFE9constDataEv@Base 2.8.4 + (optional=templinst)_ZNK7QVectorIlE10isDetachedEv@Base 2.8.4 + (optional=templinst)_ZNK7QVectorIlE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNK7QVectorIlE7isEmptyEv@Base 2.8.4 + _ZNK7QWidget12parentWidgetEv@Base 2.8.4 + _ZNK7QWidget13testAttributeEN2Qt15WidgetAttributeE@Base 2.8.4 + _ZNK7QWidget8geometryEv@Base 2.8.4 + _ZNK7QWidget9isEnabledEv@Base 2.8.4 + _ZNK8Accessor14GetPropertyIntEPKci@Base 2.8.4 + _ZNK8Document10CountUTF16Eii@Base 2.9 + _ZNK8Document10InGoodUTF8EiRiS0_@Base 2.8.4 + _ZNK8Document10IndentSizeEv@Base 2.8.4 + _ZNK8Document10IsReadOnlyEv@Base 2.8.4 + _ZNK8Document10LinesTotalEv@Base 2.8.4 + _ZNK8Document10MarkerNextEii@Base 2.8.4 + _ZNK8Document11GapPositionEv@Base 2.8.4 + _ZNK8Document11IsSavePointEv@Base 2.8.4 + _ZNK8Document11IsWhiteLineEi@Base 2.8.4 + _ZNK8Document11IsWordEndAtEi@Base 2.8.4 + _ZNK8Document11SafeSegmentEPKcii@Base 2.8.4 + _ZNK8Document12GetCharRangeEPcii@Base 2.8.4 + _ZNK8Document12GetEndStyledEv@Base 2.8.4 + _ZNK8Document12GetLineStateEi@Base 2.8.4 + _ZNK8Document12NextPositionEii@Base 2.8.4 + _ZNK8Document13GetFoldParentEi@Base 2.8.4 + _ZNK8Document13GetStyleClockEv@Base 2.8.4 + _ZNK8Document13GetStyleRangeEPhii@Base 2.8.4 + _ZNK8Document13HasCaseFolderEv@Base 2.8.4 + _ZNK8Document13IsWordStartAtEi@Base 2.8.4 + _ZNK8Document13NextCharacterERii@Base 2.8.4 + _ZNK8Document13WordCharClassEh@Base 2.8.4 + _ZNK8Document14CodePageFamilyEv@Base 2.8.4 + _ZNK8Document14IsDBCSLeadByteEc@Base 2.8.4 + _ZNK8Document14VCHomePositionEi@Base 2.8.4 + _ZNK8Document15AnnotationLinesEi@Base 2.8.4 + _ZNK8Document15CountCharactersEii@Base 2.9 + _ZNK8Document15LineEndPositionEi@Base 2.8.4 + _ZNK8Document16ExtractCharacterEi@Base 2.9 + _ZNK8Document16IsCollectingUndoEv@Base 2.8.4 + _ZNK8Document16LineFromPositionEi@Base 2.8.4 + _ZNK8Document16MarginStyledTextEi@Base 2.8.4 + _ZNK8Document17IsLineEndPositionEi@Base 2.8.4 + _ZNK8Document18MatchesWordOptionsEbbii@Base 2.8.4 + _ZNK8Document19GetRelativePositionEii@Base 2.8.4 + _ZNK8Document19IsLineStartPositionEi@Base 2.9 + _ZNK8Document19IsPositionInLineEndEi@Base 2.8.4 + _ZNK8Document19IsWordPartSeparatorEc@Base 2.8.4 + _ZNK8Document19WatcherWithUserDataeqERKS0_@Base 2.8.4 + _ZNK8Document20AnnotationStyledTextEi@Base 2.8.4 + _ZNK8Document20GetCharacterAndWidthEiPi@Base 2.8.4 + _ZNK8Document21GetLineEndTypesActiveEv@Base 2.8.4 + _ZNK8Document21GetLineIndentPositionEi@Base 2.8.4 + _ZNK8Document21LineEndTypesSupportedEv@Base 2.8.4 + _ZNK8Document22GetLineEndTypesAllowedEv@Base 2.8.4 + _ZNK8Document23MovePositionOutsideCharEiib@Base 2.9 + _ZNK8Document24GetRelativePositionUTF16Eii@Base 2.9 + _ZNK8Document25ClampPositionIntoDocumentEi@Base 2.8.4 + _ZNK8Document6CharAtEi@Base 2.8.4 + _ZNK8Document6IsCrLfEi@Base 2.8.4 + _ZNK8Document6LengthEv@Base 2.8.4 + _ZNK8Document6ParaUpEi@Base 2.8.4 + _ZNK8Document7CanRedoEv@Base 2.8.4 + _ZNK8Document7CanUndoEv@Base 2.8.4 + _ZNK8Document7LineEndEi@Base 2.8.4 + _ZNK8Document7StyleAtEi@Base 2.8.4 + _ZNK8Document7VersionEv@Base 2.8.4 + _ZNK8Document8CodePageEv@Base 2.8.4 + _ZNK8Document8GetLevelEi@Base 2.8.4 + _ZNK8Document8IsWordAtEii@Base 2.8.4 + _ZNK8Document8ParaDownEi@Base 2.8.4 + _ZNK8Document9LineStartEi@Base 2.8.4 + _ZNK8EditView10DrawCaretsEP7SurfaceRK9EditModelRK9ViewStylePK10LineLayoutii10PRectanglei@Base 2.9 + _ZNK8EditView12LinesOverlapEv@Base 2.9 + _ZNK8EditView14DrawBackgroundEP7SurfaceRK9EditModelRK9ViewStylePK10LineLayout10PRectangle5Rangeiii14ColourOptional@Base 2.9 + _ZNK8EditView14GetNextTabstopEii@Base 2.9 + _ZNK8EditView14NextTabstopPosEiff@Base 2.9 + _ZNK8LexState10PropGetIntEPKci@Base 2.8.4 + _ZNK8LexState15PropGetExpandedEPKcPc@Base 2.8.4 + _ZNK8LexState7GetNameEv@Base 2.8.4 + _ZNK8LexState7PropGetEPKc@Base 2.8.4 + _ZNK8LexerAsm7VersionEv@Base 2.8.4 + _ZNK8LexerCPP11SymbolValue7IsMacroEv@Base 2.9 + _ZNK8LexerCPP7VersionEv@Base 2.8.4 + _ZNK8LexerCPP8TokenizeERKSs@Base 2.9 + _ZNK8LexerSQL7VersionEv@Base 2.8.4 + _ZNK8QCharRef7isPrintEv@Base 2.8.4 + _ZNK8QCharRefcv5QCharEv@Base 2.8.4 + (optional=templinst)_ZNK8QMapDataI7QString5QListI5QPairIjjEEE4rootEv@Base 2.8.4 + (optional=templinst)_ZNK8QMapDataI7QString5QListI5QPairIjjEEE8findNodeERKS0_@Base 2.8.4 + (optional=templinst)_ZNK8QMapDataI7QStringS0_E4rootEv@Base 2.8.4 + (optional=templinst)_ZNK8QMapDataI7QStringS0_E8findNodeERKS0_@Base 2.8.4 + (optional=templinst)_ZNK8QMapDataIi7QPixmapE4rootEv@Base 2.8.4 + (optional=templinst)_ZNK8QMapDataIi7QPixmapE8findNodeERKi@Base 2.8.4 + (optional=templinst)_ZNK8QMapDataIiN9QsciLexer9StyleDataEE4rootEv@Base 2.8.4 + (optional=templinst)_ZNK8QMapDataIiN9QsciLexer9StyleDataEE8findNodeERKi@Base 2.8.4 + (optional=templinst)_ZNK8QMapNodeI7QString5QListI5QPairIjjEEE12previousNodeEv@Base 2.8.4 + (optional=templinst)_ZNK8QMapNodeI7QString5QListI5QPairIjjEEE4copyEP8QMapDataIS0_S4_E@Base 2.8.4 + (optional=templinst)_ZNK8QMapNodeI7QString5QListI5QPairIjjEEE8leftNodeEv@Base 2.8.4 + (optional=templinst)_ZNK8QMapNodeI7QString5QListI5QPairIjjEEE8nextNodeEv@Base 2.8.4 + (optional=templinst)_ZNK8QMapNodeI7QString5QListI5QPairIjjEEE9rightNodeEv@Base 2.8.4 + (optional=templinst)_ZNK8QMapNodeI7QStringS0_E4copyEP8QMapDataIS0_S0_E@Base 2.8.4 + (optional=templinst)_ZNK8QMapNodeI7QStringS0_E8leftNodeEv@Base 2.8.4 + (optional=templinst)_ZNK8QMapNodeI7QStringS0_E8nextNodeEv@Base 2.8.4 + (optional=templinst)_ZNK8QMapNodeI7QStringS0_E9rightNodeEv@Base 2.8.4 + (optional=templinst)_ZNK8QMapNodeIi7QPixmapE4copyEP8QMapDataIiS0_E@Base 2.8.4 + (optional=templinst)_ZNK8QMapNodeIi7QPixmapE8leftNodeEv@Base 2.8.4 + (optional=templinst)_ZNK8QMapNodeIi7QPixmapE8nextNodeEv@Base 2.8.4 + (optional=templinst)_ZNK8QMapNodeIi7QPixmapE9rightNodeEv@Base 2.8.4 + (optional=templinst)_ZNK8QMapNodeIiN9QsciLexer9StyleDataEE4copyEP8QMapDataIiS1_E@Base 2.8.4 + (optional=templinst)_ZNK8QMapNodeIiN9QsciLexer9StyleDataEE8leftNodeEv@Base 2.8.4 + (optional=templinst)_ZNK8QMapNodeIiN9QsciLexer9StyleDataEE9rightNodeEv@Base 2.8.4 + _ZNK8QPalette15highlightedTextEv@Base 2.8.4 + _ZNK8QPalette4baseEv@Base 2.8.4 + _ZNK8QPalette4textEv@Base 2.8.4 + _ZNK8QPalette5brushENS_9ColorRoleE@Base 2.8.4 + _ZNK8QPalette5colorENS_10ColorGroupENS_9ColorRoleE@Base 2.8.4 + _ZNK8QPalette9highlightEv@Base 2.8.4 + (optional=templinst)_ZNK8QPointerI9QsciLexerE4dataEv@Base 2.8.4 + (optional=templinst)_ZNK8QPointerI9QsciLexerE6isNullEv@Base 2.8.4 + _ZNK8QPointerI9QsciLexerEcvPS0_Ev@Base 2.8.4 + (optional=templinst)_ZNK8QPointerI9QsciLexerEptEv@Base 2.8.4 + (optional=templinst)_ZNK8QVariant5valueI11QTextFormatEET_v@Base 2.8.4 + _ZNK8QsciAPIs10isPreparedERK7QString@Base 2.8.4 + _ZNK8QsciAPIs10metaObjectEv@Base 2.8.4 + _ZNK8QsciAPIs11wordIndexOfERK7QString@Base 2.8.4 + _ZNK8QsciAPIs12savePreparedERK7QString@Base 2.8.4 + _ZNK8QsciAPIs17installedAPIFilesEv@Base 2.8.4 + _ZNK8QsciAPIs19defaultPreparedNameEv@Base 2.8.4 + _ZNK8QsciAPIs8prepNameERK7QStringb@Base 2.8.4 + _ZNK8RESearch7iswordcEh@Base 2.8.4 + _ZNK8VarChain8containsEPKc@Base 2.8.4 + _ZNK8WordList17InListAbbreviatedEPKcc@Base 2.8.4 + _ZNK8WordList6InListEPKc@Base 2.8.4 + _ZNK8WordList6LengthEv@Base 2.8.4 + _ZNK8WordList6WordAtEi@Base 2.8.4 + _ZNK8WordListcvbEv@Base 2.8.4 + _ZNK8WordListneERKS_@Base 2.8.4 + _ZNK9Indicator17OverridesTextForeEv@Base 2.9 + _ZNK9Indicator4DrawEP7SurfaceRK10PRectangleS4_NS_9DrawStateEi@Base 2.9 + _ZNK9Indicator5FlagsEv@Base 2.9 + _ZNK9Indicator9IsDynamicEv@Base 2.9 + _ZNK9LexerBase7VersionEv@Base 2.8.4 + _ZNK9LexerDMIS7VersionEv@Base 2.9 + _ZNK9LexerPerl7VersionEv@Base 2.8.4 + _ZNK9LexerRust7VersionEv@Base 2.8.4 + _ZNK9LineState15GetMaxLineStateEv@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI10OptionsAsmE13PropertyNamesEv@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI10OptionsAsmE20DescribeWordListSetsEv@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI10OptionsAsmE6Option3SetEPS0_PKc@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI10OptionsSQLE13PropertyNamesEv@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI10OptionsSQLE20DescribeWordListSetsEv@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI10OptionsSQLE6Option3SetEPS0_PKc@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI11OptionsPerlE13PropertyNamesEv@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI11OptionsPerlE20DescribeWordListSetsEv@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI11OptionsPerlE6Option3SetEPS0_PKc@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI11OptionsRustE13PropertyNamesEv@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI11OptionsRustE20DescribeWordListSetsEv@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI11OptionsRustE6Option3SetEPS0_PKc@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI12OptionsBasicE13PropertyNamesEv@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI12OptionsBasicE20DescribeWordListSetsEv@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI12OptionsBasicE6Option3SetEPS0_PKc@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI14OptionsHaskellE13PropertyNamesEv@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI14OptionsHaskellE20DescribeWordListSetsEv@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI14OptionsHaskellE6Option3SetEPS0_PKc@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI15OptionsRegistryE13PropertyNamesEv@Base 2.9 + (optional=templinst)_ZNK9OptionSetI15OptionsRegistryE20DescribeWordListSetsEv@Base 2.9 + (optional=templinst)_ZNK9OptionSetI15OptionsRegistryE6Option3SetEPS0_PKc@Base 2.9 + (optional=templinst)_ZNK9OptionSetI19OptionsVisualPrologE13PropertyNamesEv@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI19OptionsVisualPrologE20DescribeWordListSetsEv@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI19OptionsVisualPrologE6Option3SetEPS0_PKc@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI8OptionsDE13PropertyNamesEv@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI8OptionsDE20DescribeWordListSetsEv@Base 2.8.4 + (optional=templinst)_ZNK9OptionSetI8OptionsDE6Option3SetEPS0_PKc@Base 2.8.4 + _ZNK9QKeyEvent3keyEv@Base 2.8.4 + _ZNK9QKeyEvent4textEv@Base 2.8.4 + _ZNK9QListData2atEi@Base 2.8.4 + _ZNK9QListData3endEv@Base 2.8.4 + _ZNK9QListData4sizeEv@Base 2.8.4 + _ZNK9QListData5beginEv@Base 2.8.4 + _ZNK9QListData7isEmptyEv@Base 2.8.4 + _ZNK9QTextLine9cursorToXEiNS_4EdgeE@Base 2.8.4 + _ZNK9QsciLexer10blockStartEPi@Base 2.8.4 + _ZNK9QsciLexer10braceStyleEv@Base 2.8.4 + _ZNK9QsciLexer10metaObjectEv@Base 2.8.4 + _ZNK9QsciLexer11defaultFontEi@Base 2.8.4 + _ZNK9QsciLexer11defaultFontEv@Base 2.8.4 + _ZNK9QsciLexer12defaultColorEi@Base 2.8.4 + _ZNK9QsciLexer12defaultColorEv@Base 2.8.4 + _ZNK9QsciLexer12defaultPaperEi@Base 2.8.4 + _ZNK9QsciLexer12defaultPaperEv@Base 2.8.4 + _ZNK9QsciLexer12defaultStyleEv@Base 2.8.4 + _ZNK9QsciLexer13blockLookbackEv@Base 2.8.4 + _ZNK9QsciLexer13caseSensitiveEv@Base 2.8.4 + _ZNK9QsciLexer13writeSettingsER9QSettingsPKc@Base 2.8.4 + _ZNK9QsciLexer14defaultEolFillEi@Base 2.8.4 + _ZNK9QsciLexer14wordCharactersEv@Base 2.8.4 + _ZNK9QsciLexer15styleBitsNeededEv@Base 2.8.4 + _ZNK9QsciLexer15writePropertiesER9QSettingsRK7QString@Base 2.8.4 + _ZNK9QsciLexer16setStyleDefaultsEv@Base 2.8.4 + _ZNK9QsciLexer17blockStartKeywordEPi@Base 2.8.4 + _ZNK9QsciLexer20indentationGuideViewEv@Base 2.8.4 + _ZNK9QsciLexer21autoCompletionFillupsEv@Base 2.8.4 + _ZNK9QsciLexer28autoCompletionWordSeparatorsEv@Base 2.8.4 + _ZNK9QsciLexer4apisEv@Base 2.8.4 + _ZNK9QsciLexer4fontEi@Base 2.8.4 + _ZNK9QsciLexer5colorEi@Base 2.8.4 + _ZNK9QsciLexer5lexerEv@Base 2.8.4 + _ZNK9QsciLexer5paperEi@Base 2.8.4 + _ZNK9QsciLexer6editorEv@Base 2.8.4 + _ZNK9QsciLexer7eolFillEi@Base 2.8.4 + _ZNK9QsciLexer7lexerIdEv@Base 2.8.4 + _ZNK9QsciLexer8blockEndEPi@Base 2.8.4 + _ZNK9QsciLexer8keywordsEi@Base 2.8.4 + _ZNK9QsciLexer9styleDataEi@Base 2.8.4 + _ZNK9QsciMacro10metaObjectEv@Base 2.8.4 + _ZNK9QsciMacro4saveEv@Base 2.8.4 + _ZNK9QsciStyle5applyEP17QsciScintillaBase@Base 2.8.4 + _ZNK9QsciStyle5styleEv@Base 2.8.4 + _ZNK9QtPrivate8RefCount10isSharableEv@Base 2.8.4 + _ZNK9QtPrivate8RefCount8isSharedEv@Base 2.8.4 + _ZNK9QtPrivate8RefCount8isStaticEv@Base 2.8.4 + _ZNK9RGBAImage14GetScaledWidthEv@Base 2.8.4 + _ZNK9RGBAImage15GetScaledHeightEv@Base 2.8.4 + _ZNK9RGBAImage6PixelsEv@Base 2.8.4 + _ZNK9RGBAImage8GetWidthEv@Base 2.8.4 + _ZNK9RGBAImage9GetHeightEv@Base 2.8.4 + _ZNK9RunStyles14FindNextChangeEii@Base 2.8.4 + _ZNK9RunStyles15RunFromPositionEi@Base 2.8.4 + _ZNK9RunStyles4FindEii@Base 2.8.4 + _ZNK9RunStyles4RunsEv@Base 2.8.4 + _ZNK9RunStyles5CheckEv@Base 2.8.4 + _ZNK9RunStyles6EndRunEi@Base 2.8.4 + _ZNK9RunStyles6LengthEv@Base 2.8.4 + _ZNK9RunStyles7AllSameEv@Base 2.8.4 + _ZNK9RunStyles7ValueAtEi@Base 2.8.4 + _ZNK9RunStyles8StartRunEi@Base 2.8.4 + _ZNK9RunStyles9AllSameAsEi@Base 2.8.4 + _ZNK9Selection10MainAnchorEv@Base 2.8.4 + _ZNK9Selection10RangesCopyEv@Base 2.8.4 + _ZNK9Selection11MoveExtendsEv@Base 2.8.4 + _ZNK9Selection13IsRectangularEv@Base 2.8.4 + _ZNK9Selection15VirtualSpaceForEi@Base 2.8.4 + _ZNK9Selection17InSelectionForEOLEi@Base 2.8.4 + _ZNK9Selection20CharacterInSelectionEi@Base 2.8.4 + _ZNK9Selection28LimitsForRectangularElseMainEv@Base 2.8.4 + _ZNK9Selection4LastEv@Base 2.8.4 + _ZNK9Selection4MainEv@Base 2.8.4 + _ZNK9Selection5CountEv@Base 2.8.4 + _ZNK9Selection5EmptyEv@Base 2.8.4 + (arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNK9Selection5RangeEj@Base 2.9 + (arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNK9Selection5RangeEm@Base 2.9 + _ZNK9Selection5StartEv@Base 2.9 + _ZNK9Selection6LengthEv@Base 2.8.4 + _ZNK9Selection6LimitsEv@Base 2.8.4 + _ZNK9Selection9MainCaretEv@Base 2.8.4 + _ZNK9Selection9RangeMainEv@Base 2.9 + _ZNK9SubStyles10ClassifierEi@Base 2.8.4 + _ZNK9SubStyles14BlockFromStyleEi@Base 2.8.4 + _ZNK9SubStyles18BlockFromBaseStyleEi@Base 2.8.4 + _ZNK9SubStyles9BaseStyleEi@Base 2.8.4 + _ZNK9UndoGroup6NeededEv@Base 2.8.4 + _ZNK9ViewStyle10BackgroundEibb@Base 2.9 + (arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNK9ViewStyle10ValidStyleEj@Base 2.9 + (arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNK9ViewStyle10ValidStyleEm@Base 2.9 + _ZNK9ViewStyle10WrapColourEv@Base 2.8.4 + _ZNK9ViewStyle16ProtectionActiveEv@Base 2.8.4 + _ZNK9ViewStyle19ExternalMarginWidthEv@Base 2.8.4 + _ZNK9ViewStyle24SelectionBackgroundDrawnEv@Base 2.9 + _ZNK9ViewStyle25WhitespaceBackgroundDrawnEv@Base 2.9 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorI13latexFoldSaveE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorI14SelectionRangeE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorI14WordClassifierE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorI18PositionCacheEntryE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorI5StyleE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorI9DrawPhaseE8max_sizeEv@Base 2.9 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorIN11SparseStateISsE5StateEE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorIN11SparseStateIjE5StateEE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorIN8Document19WatcherWithUserDataEE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorIP10LineLayoutE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorIP11LexerModuleE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorIP14SelectionRangeE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorIPcE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorISsE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIK12KeyModifiersjEEE8max_sizeEv@Base 2.9 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIK17FontSpecificationP12FontRealisedEEE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEEE8max_sizeEv@Base 2.9 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEEE8max_sizeEv@Base 2.9 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEE8max_sizeEv@Base 2.9 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsSsEEE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKSsiEEE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKi14RepresentationEEE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorISt13_Rb_tree_nodeISt4pairIKiiEEE8max_sizeEv@Base 2.9 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorIcE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx13new_allocatorIiE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIP13latexFoldSaveSt6vectorIS1_SaIS1_EEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS1_SaIS1_EEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS1_SaIS1_EEEdeEv@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNK9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS1_SaIS1_EEEmiEi@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNK9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS1_SaIS1_EEEmiEl@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNK9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS1_SaIS1_EEEplEi@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNK9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS1_SaIS1_EEEplEl@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIP14WordClassifierSt6vectorIS1_SaIS1_EEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIP14WordClassifierSt6vectorIS1_SaIS1_EEEdeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIP14WordClassifierSt6vectorIS1_SaIS1_EEEptEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIP18PositionCacheEntrySt6vectorIS1_SaIS1_EEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIP5StyleSt6vectorIS1_SaIS1_EEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIP9DrawPhaseSt6vectorIS1_SaIS1_EEE4baseEv@Base 2.9 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIP9DrawPhaseSt6vectorIS1_SaIS1_EEEdeEv@Base 2.9 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPK14SelectionRangeSt6vectorIS1_SaIS1_EEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPK14SelectionRangeSt6vectorIS1_SaIS1_EEEdeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPK14WordClassifierSt6vectorIS1_SaIS1_EEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPK14WordClassifierSt6vectorIS1_SaIS1_EEEptEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPK18PositionCacheEntrySt6vectorIS1_SaIS1_EEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEdeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEptEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPKN11SparseStateIjE5StateESt6vectorIS3_SaIS3_EEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPKP10LineLayoutSt6vectorIS2_SaIS2_EEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPKPcSt6vectorIS1_SaIS1_EEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPKPcSt6vectorIS1_SaIS1_EEEdeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPKSsSt6vectorISsSaISsEEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPKcSsE4baseEv@Base 2.9 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPKcSsEdeEv@Base 2.9 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPKiSt6vectorIiSaIiEEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEdeEv@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNK9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEmiEi@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNK9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEmiEl@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS3_SaIS3_EEEptEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS3_SaIS3_EEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS3_SaIS3_EEEdeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS3_SaIS3_EEEptEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPN8Document19WatcherWithUserDataESt6vectorIS2_SaIS2_EEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPN8Document19WatcherWithUserDataESt6vectorIS2_SaIS2_EEEdeEv@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNK9__gnu_cxx17__normal_iteratorIPN8Document19WatcherWithUserDataESt6vectorIS2_SaIS2_EEEplEi@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNK9__gnu_cxx17__normal_iteratorIPN8Document19WatcherWithUserDataESt6vectorIS2_SaIS2_EEEplEl@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPN8Document19WatcherWithUserDataESt6vectorIS2_SaIS2_EEEptEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPP10LineLayoutSt6vectorIS2_SaIS2_EEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPP11LexerModuleSt6vectorIS2_SaIS2_EEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPP11LexerModuleSt6vectorIS2_SaIS2_EEEdeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS2_SaIS2_EEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS2_SaIS2_EEEdeEv@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNK9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS2_SaIS2_EEEmiEi@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNK9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS2_SaIS2_EEEmiEl@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNK9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS2_SaIS2_EEEplEi@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNK9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS2_SaIS2_EEEplEl@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPPcSt6vectorIS1_SaIS1_EEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPPcSt6vectorIS1_SaIS1_EEEdeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEdeEv@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNK9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEmiEi@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNK9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEmiEl@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNK9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEplEi@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNK9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEplEl@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPcSsE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPcSsEdeEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEdeEv@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNK9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEmiEi@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNK9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEmiEl@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNK9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEplEi@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNK9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEplEl@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx5__ops14_Iter_less_valclINS_17__normal_iteratorIP14SelectionRangeSt6vectorIS4_SaIS4_EEEES4_EEbT_RT0_@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx5__ops14_Iter_less_valclINS_17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS6_SaIS6_EEEEKS6_EEbT_RT0_@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx5__ops14_Iter_less_valclINS_17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS6_SaIS6_EEEEKS6_EEbT_RT0_@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx5__ops14_Iter_less_valclINS_17__normal_iteratorIPiSt6vectorIiSaIiEEEEKiEEbT_RT0_@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx5__ops14_Iter_less_valclIPKiS3_EEbT_RT0_@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx5__ops14_Val_less_iterclI14SelectionRangeNS_17__normal_iteratorIPS3_St6vectorIS3_SaIS3_EEEEEEbRT_T0_@Base 2.8.4 + (optional=templinst)_ZNK9__gnu_cxx5__ops15_Iter_less_iterclINS_17__normal_iteratorIP14SelectionRangeSt6vectorIS4_SaIS4_EEEES9_EEbT_T0_@Base 2.8.4 + (optional=templinst)_ZNKSt10_Select1stISt4pairIK12KeyModifiersjEEclERKS3_@Base 2.9 + (optional=templinst)_ZNKSt10_Select1stISt4pairIK17FontSpecificationP12FontRealisedEEclERKS5_@Base 2.8.4 + (optional=templinst)_ZNKSt10_Select1stISt4pairIKSsN12LexerVerilog11SymbolValueEEEclERKS4_@Base 2.9 + (optional=templinst)_ZNKSt10_Select1stISt4pairIKSsN8LexerCPP11SymbolValueEEEclERKS4_@Base 2.9 + (optional=templinst)_ZNKSt10_Select1stISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEclERKS6_@Base 2.8.4 + (optional=templinst)_ZNKSt10_Select1stISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEclERKS6_@Base 2.8.4 + (optional=templinst)_ZNKSt10_Select1stISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEclERKS6_@Base 2.8.4 + (optional=templinst)_ZNKSt10_Select1stISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEclERKS6_@Base 2.8.4 + (optional=templinst)_ZNKSt10_Select1stISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEclERKS6_@Base 2.8.4 + (optional=templinst)_ZNKSt10_Select1stISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEclERKS6_@Base 2.8.4 + (optional=templinst)_ZNKSt10_Select1stISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEclERKS6_@Base 2.9 + (optional=templinst)_ZNKSt10_Select1stISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEclERKS6_@Base 2.8.4 + (optional=templinst)_ZNKSt10_Select1stISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEclERKS6_@Base 2.8.4 + (optional=templinst)_ZNKSt10_Select1stISt4pairIKSsSsEEclERKS2_@Base 2.8.4 + (optional=templinst)_ZNKSt10_Select1stISt4pairIKSsiEEclERKS2_@Base 2.8.4 + (optional=templinst)_ZNKSt10_Select1stISt4pairIKi14RepresentationEEclERKS3_@Base 2.8.4 + (optional=templinst)_ZNKSt10_Select1stISt4pairIKiiEEclERKS2_@Base 2.9 + (optional=templinst)_ZNKSt12_Vector_baseI13latexFoldSaveSaIS0_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt12_Vector_baseI14SelectionRangeSaIS0_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt12_Vector_baseI14WordClassifierSaIS0_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt12_Vector_baseI18PositionCacheEntrySaIS0_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt12_Vector_baseI5StyleSaIS0_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt12_Vector_baseI9DrawPhaseSaIS0_EE19_M_get_Tp_allocatorEv@Base 2.9 + (optional=templinst)_ZNKSt12_Vector_baseIN11SparseStateISsE5StateESaIS2_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt12_Vector_baseIN11SparseStateIjE5StateESaIS2_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt12_Vector_baseIN8Document19WatcherWithUserDataESaIS1_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt12_Vector_baseIP10LineLayoutSaIS1_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt12_Vector_baseIP11LexerModuleSaIS1_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt12_Vector_baseIP14SelectionRangeSaIS1_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt12_Vector_baseIPcSaIS0_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt12_Vector_baseISsSaISsEE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt12_Vector_baseIiSaIiEE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt13_Rb_tree_nodeISt4pairIK12KeyModifiersjEE9_M_valptrEv@Base 2.9 + (optional=templinst)_ZNKSt13_Rb_tree_nodeISt4pairIK17FontSpecificationP12FontRealisedEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNKSt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEE9_M_valptrEv@Base 2.9 + (optional=templinst)_ZNKSt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEE9_M_valptrEv@Base 2.9 + (optional=templinst)_ZNKSt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNKSt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNKSt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNKSt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNKSt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNKSt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNKSt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEE9_M_valptrEv@Base 2.9 + (optional=templinst)_ZNKSt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNKSt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNKSt13_Rb_tree_nodeISt4pairIKSsSsEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNKSt13_Rb_tree_nodeISt4pairIKSsiEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNKSt13_Rb_tree_nodeISt4pairIKi14RepresentationEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNKSt13_Rb_tree_nodeISt4pairIKiiEE9_M_valptrEv@Base 2.9 + (optional=templinst)_ZNKSt16reverse_iteratorIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEEE4baseEv@Base 2.8.4 + (optional=templinst)_ZNKSt16reverse_iteratorIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEEEdeEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIK12KeyModifiersjEEdeEv@Base 2.9 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIK12KeyModifiersjEEeqERKS4_@Base 2.9 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIK17FontSpecificationP12FontRealisedEEdeEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIK17FontSpecificationP12FontRealisedEEeqERKS6_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIK17FontSpecificationP12FontRealisedEEneERKS6_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIK17FontSpecificationP12FontRealisedEEptEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN12LexerVerilog11SymbolValueEEEdeEv@Base 2.9 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN12LexerVerilog11SymbolValueEEEeqERKS5_@Base 2.9 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN12LexerVerilog11SymbolValueEEEneERKS5_@Base 2.9 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN12LexerVerilog11SymbolValueEEEptEv@Base 2.9 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN8LexerCPP11SymbolValueEEEdeEv@Base 2.9 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN8LexerCPP11SymbolValueEEEeqERKS5_@Base 2.9 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN8LexerCPP11SymbolValueEEEneERKS5_@Base 2.9 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEdeEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEeqERKS7_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEneERKS7_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEptEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEdeEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEeqERKS7_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEneERKS7_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEptEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEdeEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEeqERKS7_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEneERKS7_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEptEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEdeEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEeqERKS7_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEneERKS7_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEptEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEdeEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEeqERKS7_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEneERKS7_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEptEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEdeEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEeqERKS7_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEneERKS7_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEptEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEdeEv@Base 2.9 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEeqERKS7_@Base 2.9 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEneERKS7_@Base 2.9 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEptEv@Base 2.9 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEeqERKS7_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEneERKS7_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEptEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEdeEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEeqERKS7_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEneERKS7_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEptEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsSsEEdeEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsSsEEeqERKS3_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsiEEdeEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKSsiEEeqERKS3_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKi14RepresentationEEdeEv@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKi14RepresentationEEeqERKS4_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKi14RepresentationEEneERKS4_@Base 2.8.4 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKiiEEdeEv@Base 2.9 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKiiEEeqERKS3_@Base 2.9 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKiiEEneERKS3_@Base 2.9 + (optional=templinst)_ZNKSt17_Rb_tree_iteratorISt4pairIKiiEEptEv@Base 2.9 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIK12KeyModifiersjEE13_M_const_castEv@Base 2.9 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIK12KeyModifiersjEEeqERKS4_@Base 2.9 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIK12KeyModifiersjEEptEv@Base 2.9 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIK17FontSpecificationP12FontRealisedEE13_M_const_castEv@Base 2.8.4 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIK17FontSpecificationP12FontRealisedEEneERKS6_@Base 2.8.4 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIK17FontSpecificationP12FontRealisedEEptEv@Base 2.8.4 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsN12LexerVerilog11SymbolValueEEE13_M_const_castEv@Base 2.9 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsN12LexerVerilog11SymbolValueEEEeqERKS5_@Base 2.9 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsN12LexerVerilog11SymbolValueEEEneERKS5_@Base 2.9 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsN8LexerCPP11SymbolValueEEE13_M_const_castEv@Base 2.9 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsN8LexerCPP11SymbolValueEEEeqERKS5_@Base 2.9 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsN8LexerCPP11SymbolValueEEEneERKS5_@Base 2.9 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsN8LexerCPP11SymbolValueEEEptEv@Base 2.9 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEE13_M_const_castEv@Base 2.8.4 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEE13_M_const_castEv@Base 2.8.4 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEE13_M_const_castEv@Base 2.8.4 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEE13_M_const_castEv@Base 2.8.4 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEE13_M_const_castEv@Base 2.8.4 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEE13_M_const_castEv@Base 2.8.4 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEE13_M_const_castEv@Base 2.9 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEE13_M_const_castEv@Base 2.8.4 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsSsEE13_M_const_castEv@Base 2.8.4 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsSsEEneERKS3_@Base 2.8.4 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsSsEEptEv@Base 2.8.4 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsiEE13_M_const_castEv@Base 2.8.4 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsiEEeqERKS3_@Base 2.8.4 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsiEEneERKS3_@Base 2.8.4 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKSsiEEptEv@Base 2.8.4 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKi14RepresentationEE13_M_const_castEv@Base 2.8.4 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKi14RepresentationEEeqERKS4_@Base 2.8.4 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKi14RepresentationEEneERKS4_@Base 2.8.4 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKi14RepresentationEEptEv@Base 2.9 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKiiEE13_M_const_castEv@Base 2.9 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKiiEEeqERKS3_@Base 2.9 + (optional=templinst)_ZNKSt23_Rb_tree_const_iteratorISt4pairIKiiEEneERKS3_@Base 2.9 + (optional=templinst)_ZNKSt3mapI12KeyModifiersjSt4lessIS0_ESaISt4pairIKS0_jEEE3endEv@Base 2.9 + (optional=templinst)_ZNKSt3mapI12KeyModifiersjSt4lessIS0_ESaISt4pairIKS0_jEEE4findERS4_@Base 2.9 + (optional=templinst)_ZNKSt3mapI12KeyModifiersjSt4lessIS0_ESaISt4pairIKS0_jEEE8key_compEv@Base 2.9 + (optional=templinst)_ZNKSt3mapI17FontSpecificationP12FontRealisedSt4lessIS0_ESaISt4pairIKS0_S2_EEE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt3mapISsN12LexerVerilog11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEE8key_compEv@Base 2.9 + (optional=templinst)_ZNKSt3mapISsN8LexerCPP11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEE3endEv@Base 2.9 + (optional=templinst)_ZNKSt3mapISsN8LexerCPP11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEE4findERS5_@Base 2.9 + (optional=templinst)_ZNKSt3mapISsN8LexerCPP11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEE8key_compEv@Base 2.9 + (optional=templinst)_ZNKSt3mapISsN9OptionSetI10OptionsAsmE6OptionESt4lessISsESaISt4pairIKSsS3_EEE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt3mapISsN9OptionSetI10OptionsSQLE6OptionESt4lessISsESaISt4pairIKSsS3_EEE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt3mapISsN9OptionSetI11OptionsPerlE6OptionESt4lessISsESaISt4pairIKSsS3_EEE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt3mapISsN9OptionSetI11OptionsRustE6OptionESt4lessISsESaISt4pairIKSsS3_EEE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt3mapISsN9OptionSetI12OptionsBasicE6OptionESt4lessISsESaISt4pairIKSsS3_EEE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt3mapISsN9OptionSetI14OptionsHaskellE6OptionESt4lessISsESaISt4pairIKSsS3_EEE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt3mapISsN9OptionSetI15OptionsRegistryE6OptionESt4lessISsESaISt4pairIKSsS3_EEE8key_compEv@Base 2.9 + (optional=templinst)_ZNKSt3mapISsN9OptionSetI8OptionsDE6OptionESt4lessISsESaISt4pairIKSsS3_EEE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt3mapISsSsSt4lessISsESaISt4pairIKSsSsEEE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt3mapISsiSt4lessISsESaISt4pairIKSsiEEE3endEv@Base 2.8.4 + (optional=templinst)_ZNKSt3mapISsiSt4lessISsESaISt4pairIKSsiEEE4findERS3_@Base 2.8.4 + (optional=templinst)_ZNKSt3mapISsiSt4lessISsESaISt4pairIKSsiEEE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt3mapIi14RepresentationSt4lessIiESaISt4pairIKiS0_EEE3endEv@Base 2.8.4 + (optional=templinst)_ZNKSt3mapIi14RepresentationSt4lessIiESaISt4pairIKiS0_EEE4findERS4_@Base 2.8.4 + (optional=templinst)_ZNKSt3mapIi14RepresentationSt4lessIiESaISt4pairIKiS0_EEE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt3mapIiiSt4lessIiESaISt4pairIKiiEEE8key_compEv@Base 2.9 + (optional=templinst)_ZNKSt4lessI12KeyModifiersEclERKS0_S3_@Base 2.9 + (optional=templinst)_ZNKSt4lessI17FontSpecificationEclERKS0_S3_@Base 2.8.4 + (optional=templinst)_ZNKSt4lessISsEclERKSsS2_@Base 2.8.4 + (optional=templinst)_ZNKSt4lessIiEclERKiS2_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNKSt6vectorI13latexFoldSaveSaIS0_EE12_M_check_lenEjPKc@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNKSt6vectorI13latexFoldSaveSaIS0_EE12_M_check_lenEmPKc@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorI13latexFoldSaveSaIS0_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorI13latexFoldSaveSaIS0_EE8max_sizeEv@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNKSt6vectorI14SelectionRangeSaIS0_EE12_M_check_lenEjPKc@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNKSt6vectorI14SelectionRangeSaIS0_EE12_M_check_lenEmPKc@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorI14SelectionRangeSaIS0_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorI14SelectionRangeSaIS0_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorI14SelectionRangeSaIS0_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorI14SelectionRangeSaIS0_EE5emptyEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorI14SelectionRangeSaIS0_EE8capacityEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorI14SelectionRangeSaIS0_EE8max_sizeEv@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNKSt6vectorI14SelectionRangeSaIS0_EEixEj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNKSt6vectorI14SelectionRangeSaIS0_EEixEm@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNKSt6vectorI14WordClassifierSaIS0_EE12_M_check_lenEjPKc@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNKSt6vectorI14WordClassifierSaIS0_EE12_M_check_lenEmPKc@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorI14WordClassifierSaIS0_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorI14WordClassifierSaIS0_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorI14WordClassifierSaIS0_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorI14WordClassifierSaIS0_EE8max_sizeEv@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNKSt6vectorI14WordClassifierSaIS0_EEixEj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNKSt6vectorI14WordClassifierSaIS0_EEixEm@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNKSt6vectorI18PositionCacheEntrySaIS0_EE12_M_check_lenEjPKc@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNKSt6vectorI18PositionCacheEntrySaIS0_EE12_M_check_lenEmPKc@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorI18PositionCacheEntrySaIS0_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorI18PositionCacheEntrySaIS0_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorI18PositionCacheEntrySaIS0_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorI18PositionCacheEntrySaIS0_EE5emptyEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorI18PositionCacheEntrySaIS0_EE8max_sizeEv@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNKSt6vectorI5StyleSaIS0_EE12_M_check_lenEjPKc@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNKSt6vectorI5StyleSaIS0_EE12_M_check_lenEmPKc@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorI5StyleSaIS0_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorI5StyleSaIS0_EE8max_sizeEv@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNKSt6vectorI5StyleSaIS0_EEixEj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNKSt6vectorI5StyleSaIS0_EEixEm@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNKSt6vectorI9DrawPhaseSaIS0_EE12_M_check_lenEjPKc@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNKSt6vectorI9DrawPhaseSaIS0_EE12_M_check_lenEmPKc@Base 2.9 + (optional=templinst)_ZNKSt6vectorI9DrawPhaseSaIS0_EE4sizeEv@Base 2.9 + (optional=templinst)_ZNKSt6vectorI9DrawPhaseSaIS0_EE8max_sizeEv@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNKSt6vectorIN11SparseStateISsE5StateESaIS2_EE12_M_check_lenEjPKc@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNKSt6vectorIN11SparseStateISsE5StateESaIS2_EE12_M_check_lenEmPKc@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIN11SparseStateISsE5StateESaIS2_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIN11SparseStateISsE5StateESaIS2_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIN11SparseStateISsE5StateESaIS2_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIN11SparseStateISsE5StateESaIS2_EE5emptyEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIN11SparseStateISsE5StateESaIS2_EE8max_sizeEv@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNKSt6vectorIN11SparseStateIjE5StateESaIS2_EE12_M_check_lenEjPKc@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNKSt6vectorIN11SparseStateIjE5StateESaIS2_EE12_M_check_lenEmPKc@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIN11SparseStateIjE5StateESaIS2_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIN11SparseStateIjE5StateESaIS2_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIN11SparseStateIjE5StateESaIS2_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIN11SparseStateIjE5StateESaIS2_EE5emptyEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIN11SparseStateIjE5StateESaIS2_EE8max_sizeEv@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNKSt6vectorIN8Document19WatcherWithUserDataESaIS1_EE12_M_check_lenEjPKc@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNKSt6vectorIN8Document19WatcherWithUserDataESaIS1_EE12_M_check_lenEmPKc@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIN8Document19WatcherWithUserDataESaIS1_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIN8Document19WatcherWithUserDataESaIS1_EE8max_sizeEv@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNKSt6vectorIP10LineLayoutSaIS1_EE12_M_check_lenEjPKc@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNKSt6vectorIP10LineLayoutSaIS1_EE12_M_check_lenEmPKc@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIP10LineLayoutSaIS1_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIP10LineLayoutSaIS1_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIP10LineLayoutSaIS1_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIP10LineLayoutSaIS1_EE5emptyEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIP10LineLayoutSaIS1_EE8max_sizeEv@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNKSt6vectorIP11LexerModuleSaIS1_EE12_M_check_lenEjPKc@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNKSt6vectorIP11LexerModuleSaIS1_EE12_M_check_lenEmPKc@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIP11LexerModuleSaIS1_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIP11LexerModuleSaIS1_EE8max_sizeEv@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNKSt6vectorIP14SelectionRangeSaIS1_EE12_M_check_lenEjPKc@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNKSt6vectorIP14SelectionRangeSaIS1_EE12_M_check_lenEmPKc@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIP14SelectionRangeSaIS1_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIP14SelectionRangeSaIS1_EE8max_sizeEv@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNKSt6vectorIPcSaIS0_EE12_M_check_lenEjPKc@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNKSt6vectorIPcSaIS0_EE12_M_check_lenEmPKc@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIPcSaIS0_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIPcSaIS0_EE8max_sizeEv@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNKSt6vectorISsSaISsEE12_M_check_lenEjPKc@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNKSt6vectorISsSaISsEE12_M_check_lenEmPKc@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNKSt6vectorISsSaISsEE14_M_range_checkEj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNKSt6vectorISsSaISsEE14_M_range_checkEm@Base 2.9 + (optional=templinst)_ZNKSt6vectorISsSaISsEE3endEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorISsSaISsEE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorISsSaISsEE5beginEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorISsSaISsEE5emptyEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorISsSaISsEE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIcSaIcEE4sizeEv@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNKSt6vectorIiSaIiEE12_M_check_lenEjPKc@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNKSt6vectorIiSaIiEE12_M_check_lenEmPKc@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIiSaIiEE3endEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIiSaIiEE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIiSaIiEE5beginEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIiSaIiEE5emptyEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIiSaIiEE8capacityEv@Base 2.8.4 + (optional=templinst)_ZNKSt6vectorIiSaIiEE8max_sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE13get_allocatorEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE14_M_lower_boundEPKSt13_Rb_tree_nodeIS3_ESD_RS2_@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE21_M_get_Node_allocatorEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE3endEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE4findERS2_@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE4sizeEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE6_M_endEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE8_M_beginEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE8key_compEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE13get_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE13get_allocatorEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE21_M_get_Node_allocatorEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE4sizeEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE7_M_rootEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE8_M_beginEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE8key_compEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE13get_allocatorEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE14_M_lower_boundEPKSt13_Rb_tree_nodeIS4_ESE_RS1_@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE21_M_get_Node_allocatorEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE3endEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE4findERS1_@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE4sizeEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE6_M_endEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE7_M_rootEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE8_M_beginEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE8key_compEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13get_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13get_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13get_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13get_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13get_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13get_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13get_allocatorEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE21_M_get_Node_allocatorEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE4sizeEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8key_compEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13get_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13get_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE13get_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE13get_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE14_M_lower_boundEPKSt13_Rb_tree_nodeIS2_ESC_RS1_@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE4findERS1_@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE6_M_endEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE7_M_rootEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE8_M_beginEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE13get_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE14_M_lower_boundEPKSt13_Rb_tree_nodeIS3_ESD_RS1_@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE4findERS1_@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE4sizeEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE6_M_endEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE8_M_beginEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE8key_compEv@Base 2.8.4 + (optional=templinst)_ZNKSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE13get_allocatorEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE21_M_get_Node_allocatorEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE4sizeEv@Base 2.9 + (optional=templinst)_ZNKSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE8key_compEv@Base 2.9 + (optional=templinst)_ZNSaI13latexFoldSaveEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaI13latexFoldSaveEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaI13latexFoldSaveED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaI13latexFoldSaveED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaI14SelectionRangeEC1ERKS0_@Base 2.8.4 + (optional=templinst)_ZNSaI14SelectionRangeEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaI14SelectionRangeEC2ERKS0_@Base 2.8.4 + (optional=templinst)_ZNSaI14SelectionRangeEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaI14SelectionRangeED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaI14SelectionRangeED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaI14WordClassifierEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaI14WordClassifierEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaI14WordClassifierED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaI14WordClassifierED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaI18PositionCacheEntryEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaI18PositionCacheEntryEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaI18PositionCacheEntryED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaI18PositionCacheEntryED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaI5StyleEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaI5StyleEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaI5StyleED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaI5StyleED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaI9DrawPhaseEC1Ev@Base 2.9 + (optional=templinst)_ZNSaI9DrawPhaseEC2Ev@Base 2.9 + (optional=templinst)_ZNSaI9DrawPhaseED1Ev@Base 2.9 + (optional=templinst)_ZNSaI9DrawPhaseED2Ev@Base 2.9 + (optional=templinst)_ZNSaIN11SparseStateISsE5StateEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaIN11SparseStateISsE5StateEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaIN11SparseStateISsE5StateEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaIN11SparseStateISsE5StateEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaIN11SparseStateIjE5StateEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaIN11SparseStateIjE5StateEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaIN11SparseStateIjE5StateEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaIN11SparseStateIjE5StateEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaIN8Document19WatcherWithUserDataEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaIN8Document19WatcherWithUserDataEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaIN8Document19WatcherWithUserDataEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaIN8Document19WatcherWithUserDataEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaIP10LineLayoutEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaIP10LineLayoutEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaIP10LineLayoutED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaIP10LineLayoutED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaIP11LexerModuleEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaIP11LexerModuleEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaIP11LexerModuleED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaIP11LexerModuleED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaIP14SelectionRangeEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaIP14SelectionRangeEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaIP14SelectionRangeED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaIP14SelectionRangeED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaIPcEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaIPcEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaIPcED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaIPcED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISsEC1ERKS_@Base 2.8.4 + (optional=templinst)_ZNSaISsEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISsEC2ERKS_@Base 2.8.4 + (optional=templinst)_ZNSaISsEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISsED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISsED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIK12KeyModifiersjEEEC1Ev@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIK12KeyModifiersjEEEC2Ev@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIK12KeyModifiersjEEED1Ev@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIK12KeyModifiersjEEED2Ev@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIK17FontSpecificationP12FontRealisedEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIK17FontSpecificationP12FontRealisedEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIK17FontSpecificationP12FontRealisedEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIK17FontSpecificationP12FontRealisedEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEEEC1ERKS6_@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEEEC1Ev@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEEEC2ERKS6_@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEEEC2Ev@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEEED1Ev@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEEED2Ev@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEEEC1ERKS6_@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEEEC1Ev@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEEEC2ERKS6_@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEEEC2Ev@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEEED1Ev@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEEED2Ev@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEEC1Ev@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEEC2Ev@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEED1Ev@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEED2Ev@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsSsEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsSsEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsSsEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsSsEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsiEEEC1ERKS4_@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsiEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsiEEEC2ERKS4_@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsiEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsiEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKSsiEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKi14RepresentationEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKi14RepresentationEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKi14RepresentationEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKi14RepresentationEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKiiEEEC1Ev@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKiiEEEC2Ev@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKiiEEED1Ev@Base 2.9 + (optional=templinst)_ZNSaISt13_Rb_tree_nodeISt4pairIKiiEEED2Ev@Base 2.9 + (optional=templinst)_ZNSaISt4pairIK12KeyModifiersjEEC1ISt13_Rb_tree_nodeIS2_EEERKSaIT_E@Base 2.9 + (optional=templinst)_ZNSaISt4pairIK12KeyModifiersjEEC2ISt13_Rb_tree_nodeIS2_EEERKSaIT_E@Base 2.9 + (optional=templinst)_ZNSaISt4pairIK12KeyModifiersjEED1Ev@Base 2.9 + (optional=templinst)_ZNSaISt4pairIK12KeyModifiersjEED2Ev@Base 2.9 + (optional=templinst)_ZNSaISt4pairIK17FontSpecificationP12FontRealisedEEC1ISt13_Rb_tree_nodeIS4_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIK17FontSpecificationP12FontRealisedEEC2ISt13_Rb_tree_nodeIS4_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIK17FontSpecificationP12FontRealisedEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIK17FontSpecificationP12FontRealisedEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN12LexerVerilog11SymbolValueEEEC1ISt13_Rb_tree_nodeIS3_EEERKSaIT_E@Base 2.9 + (optional=templinst)_ZNSaISt4pairIKSsN12LexerVerilog11SymbolValueEEEC2ISt13_Rb_tree_nodeIS3_EEERKSaIT_E@Base 2.9 + (optional=templinst)_ZNSaISt4pairIKSsN12LexerVerilog11SymbolValueEEED1Ev@Base 2.9 + (optional=templinst)_ZNSaISt4pairIKSsN12LexerVerilog11SymbolValueEEED2Ev@Base 2.9 + (optional=templinst)_ZNSaISt4pairIKSsN8LexerCPP11SymbolValueEEEC1ISt13_Rb_tree_nodeIS3_EEERKSaIT_E@Base 2.9 + (optional=templinst)_ZNSaISt4pairIKSsN8LexerCPP11SymbolValueEEEC2ISt13_Rb_tree_nodeIS3_EEERKSaIT_E@Base 2.9 + (optional=templinst)_ZNSaISt4pairIKSsN8LexerCPP11SymbolValueEEED1Ev@Base 2.9 + (optional=templinst)_ZNSaISt4pairIKSsN8LexerCPP11SymbolValueEEED2Ev@Base 2.9 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEC1ISt13_Rb_tree_nodeIS5_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEC2ISt13_Rb_tree_nodeIS5_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEC1ISt13_Rb_tree_nodeIS5_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEC2ISt13_Rb_tree_nodeIS5_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEC1ISt13_Rb_tree_nodeIS5_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEC2ISt13_Rb_tree_nodeIS5_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEC1ISt13_Rb_tree_nodeIS5_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEC2ISt13_Rb_tree_nodeIS5_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEC1ISt13_Rb_tree_nodeIS5_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEC2ISt13_Rb_tree_nodeIS5_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEC1ISt13_Rb_tree_nodeIS5_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEC2ISt13_Rb_tree_nodeIS5_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEC1ISt13_Rb_tree_nodeIS5_EEERKSaIT_E@Base 2.9 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEC2ISt13_Rb_tree_nodeIS5_EEERKSaIT_E@Base 2.9 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEED1Ev@Base 2.9 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEED2Ev@Base 2.9 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEC1ISt13_Rb_tree_nodeIS5_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEC2ISt13_Rb_tree_nodeIS5_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEC1ISt13_Rb_tree_nodeIS5_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEC2ISt13_Rb_tree_nodeIS5_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsSsEEC1ISt13_Rb_tree_nodeIS1_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsSsEEC2ISt13_Rb_tree_nodeIS1_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsSsEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsSsEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsiEEC1ISt13_Rb_tree_nodeIS1_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsiEEC2ISt13_Rb_tree_nodeIS1_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsiEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKSsiEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKi14RepresentationEEC1ISt13_Rb_tree_nodeIS2_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKi14RepresentationEEC2ISt13_Rb_tree_nodeIS2_EEERKSaIT_E@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKi14RepresentationEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKi14RepresentationEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSaISt4pairIKiiEEC1ISt13_Rb_tree_nodeIS1_EEERKSaIT_E@Base 2.9 + (optional=templinst)_ZNSaISt4pairIKiiEEC2ISt13_Rb_tree_nodeIS1_EEERKSaIT_E@Base 2.9 + (optional=templinst)_ZNSaISt4pairIKiiEED1Ev@Base 2.9 + (optional=templinst)_ZNSaISt4pairIKiiEED2Ev@Base 2.9 + (optional=templinst)_ZNSaIiEC1ERKS_@Base 2.8.4 + (optional=templinst)_ZNSaIiEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSaIiEC2ERKS_@Base 2.8.4 + (optional=templinst)_ZNSaIiEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSaIiED1Ev@Base 2.8.4 + (optional=templinst)_ZNSaIiED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEELb0EE7_S_baseES7_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEELb1EE7_S_baseES7_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIN9__gnu_cxx17__normal_iteratorIPK14SelectionRangeSt6vectorIS2_SaIS2_EEEELb0EE7_S_baseES8_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIN9__gnu_cxx17__normal_iteratorIPK14SelectionRangeSt6vectorIS2_SaIS2_EEEELb1EE7_S_baseES8_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIN9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEELb0EE7_S_baseESA_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIN9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEELb1EE7_S_baseESA_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIN9__gnu_cxx17__normal_iteratorIPKiSt6vectorIiSaIiEEEELb0EE7_S_baseES7_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIN9__gnu_cxx17__normal_iteratorIPKiSt6vectorIiSaIiEEEELb1EE7_S_baseES7_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIN9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEELb0EE7_S_baseES9_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIN9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEELb1EE7_S_baseES9_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIN9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS4_SaIS4_EEEELb0EE7_S_baseES9_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIN9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS4_SaIS4_EEEELb1EE7_S_baseES9_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIN9__gnu_cxx17__normal_iteratorIPN8Document19WatcherWithUserDataESt6vectorIS3_SaIS3_EEEELb0EE7_S_baseES8_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIN9__gnu_cxx17__normal_iteratorIPN8Document19WatcherWithUserDataESt6vectorIS3_SaIS3_EEEELb1EE7_S_baseES8_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEELb0EE7_S_baseES8_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEELb1EE7_S_baseES8_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEELb0EE7_S_baseES6_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEELb1EE7_S_baseES6_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEELb0EE7_S_baseES6_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEELb1EE7_S_baseES6_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIP13latexFoldSaveLb0EE7_S_baseES1_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIP14SelectionRangeLb0EE7_S_baseES1_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIP14WordClassifierLb0EE7_S_baseES1_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIP18PositionCacheEntryLb0EE7_S_baseES1_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIP5StyleLb0EE7_S_baseES1_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIP9DrawPhaseLb0EE7_S_baseES1_@Base 2.9 + (optional=templinst)_ZNSt10_Iter_baseIPN11SparseStateISsE5StateELb0EE7_S_baseES3_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIPN11SparseStateIjE5StateELb0EE7_S_baseES3_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIPN8Document19WatcherWithUserDataELb0EE7_S_baseES2_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIPP10LineLayoutLb0EE7_S_baseES2_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIPP11LexerModuleLb0EE7_S_baseES2_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIPP14SelectionRangeLb0EE7_S_baseES2_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIPP15MarkerHandleSetLb0EE7_S_baseES2_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIPPSt6vectorIiSaIiEELb0EE7_S_baseES4_@Base 2.9 + (optional=templinst)_ZNSt10_Iter_baseIPPcLb0EE7_S_baseES1_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIPSsLb0EE7_S_baseES0_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIPcLb0EE7_S_baseES0_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIPfLb0EE7_S_baseES0_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIPhLb0EE7_S_baseES0_@Base 2.9 + (optional=templinst)_ZNSt10_Iter_baseIPiLb0EE7_S_baseES0_@Base 2.8.4 + (optional=templinst)_ZNSt10_Iter_baseIPsLb0EE7_S_baseES0_@Base 2.8.4 + (optional=templinst)_ZNSt11__copy_moveILb0ELb0ESt26random_access_iterator_tagE8__copy_mIP14SelectionRangeS4_EET0_T_S6_S5_@Base 2.8.4 + (optional=templinst)_ZNSt11__copy_moveILb0ELb0ESt26random_access_iterator_tagE8__copy_mIPK14SelectionRangePS3_EET0_T_S8_S7_@Base 2.8.4 + (optional=templinst)_ZNSt11__copy_moveILb0ELb0ESt26random_access_iterator_tagE8__copy_mIPKN11SparseStateISsE5StateEPS5_EET0_T_SA_S9_@Base 2.8.4 + (optional=templinst)_ZNSt11__copy_moveILb0ELb0ESt26random_access_iterator_tagE8__copy_mIPN11SparseStateISsE5StateES6_EET0_T_S8_S7_@Base 2.8.4 + (optional=templinst)_ZNSt11__copy_moveILb0ELb0ESt26random_access_iterator_tagE8__copy_mIPN11SparseStateIjE5StateES6_EET0_T_S8_S7_@Base 2.8.4 + (optional=templinst)_ZNSt11__copy_moveILb0ELb0ESt26random_access_iterator_tagE8__copy_mIPN8Document19WatcherWithUserDataES5_EET0_T_S7_S6_@Base 2.8.4 + (optional=templinst)_ZNSt11__copy_moveILb0ELb0ESt26random_access_iterator_tagE8__copy_mIPSsS3_EET0_T_S5_S4_@Base 2.8.4 + (optional=templinst)_ZNSt11__copy_moveILb0ELb1ESt26random_access_iterator_tagE8__copy_mI9DrawPhaseEEPT_PKS4_S7_S5_@Base 2.9 + (optional=templinst)_ZNSt11__copy_moveILb0ELb1ESt26random_access_iterator_tagE8__copy_mIP10LineLayoutEEPT_PKS5_S8_S6_@Base 2.8.4 + (optional=templinst)_ZNSt11__copy_moveILb0ELb1ESt26random_access_iterator_tagE8__copy_mIP11LexerModuleEEPT_PKS5_S8_S6_@Base 2.8.4 + (optional=templinst)_ZNSt11__copy_moveILb0ELb1ESt26random_access_iterator_tagE8__copy_mIP14SelectionRangeEEPT_PKS5_S8_S6_@Base 2.8.4 + (optional=templinst)_ZNSt11__copy_moveILb0ELb1ESt26random_access_iterator_tagE8__copy_mIPcEEPT_PKS4_S7_S5_@Base 2.8.4 + (optional=templinst)_ZNSt11__copy_moveILb0ELb1ESt26random_access_iterator_tagE8__copy_mIiEEPT_PKS3_S6_S4_@Base 2.8.4 + (optional=templinst)_ZNSt11__iter_swapILb1EE9iter_swapIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS4_SaIS4_EEEES9_EEvT_T0_@Base 2.8.4 + (optional=templinst)_ZNSt11__iter_swapILb1EE9iter_swapIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS5_SaIS5_EEEESA_EEvT_T0_@Base 2.8.4 + (optional=templinst)_ZNSt11__iter_swapILb1EE9iter_swapIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEES8_EEvT_T0_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt11char_traitsIcE7compareEPKcS2_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt11char_traitsIcE7compareEPKcS2_m@Base 2.8.4 + (optional=templinst)_ZNSt12_Destroy_auxILb0EE9__destroyIP14WordClassifierEEvT_S4_@Base 2.8.4 + (optional=templinst)_ZNSt12_Destroy_auxILb0EE9__destroyIP18PositionCacheEntryEEvT_S4_@Base 2.8.4 + (optional=templinst)_ZNSt12_Destroy_auxILb0EE9__destroyIP5StyleEEvT_S4_@Base 2.8.4 + (optional=templinst)_ZNSt12_Destroy_auxILb0EE9__destroyIPN11SparseStateISsE5StateEEEvT_S6_@Base 2.8.4 + (optional=templinst)_ZNSt12_Destroy_auxILb0EE9__destroyIPSsEEvT_S3_@Base 2.8.4 + (optional=templinst)_ZNSt12_Destroy_auxILb1EE9__destroyIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS4_SaIS4_EEEEEEvT_SA_@Base 2.8.4 + (optional=templinst)_ZNSt12_Destroy_auxILb1EE9__destroyIP13latexFoldSaveEEvT_S4_@Base 2.8.4 + (optional=templinst)_ZNSt12_Destroy_auxILb1EE9__destroyIP14SelectionRangeEEvT_S4_@Base 2.8.4 + (optional=templinst)_ZNSt12_Destroy_auxILb1EE9__destroyIP9DrawPhaseEEvT_S4_@Base 2.9 + (optional=templinst)_ZNSt12_Destroy_auxILb1EE9__destroyIPN11SparseStateIjE5StateEEEvT_S6_@Base 2.8.4 + (optional=templinst)_ZNSt12_Destroy_auxILb1EE9__destroyIPN8Document19WatcherWithUserDataEEEvT_S5_@Base 2.8.4 + (optional=templinst)_ZNSt12_Destroy_auxILb1EE9__destroyIPP10LineLayoutEEvT_S5_@Base 2.8.4 + (optional=templinst)_ZNSt12_Destroy_auxILb1EE9__destroyIPP11LexerModuleEEvT_S5_@Base 2.8.4 + (optional=templinst)_ZNSt12_Destroy_auxILb1EE9__destroyIPP14SelectionRangeEEvT_S5_@Base 2.8.4 + (optional=templinst)_ZNSt12_Destroy_auxILb1EE9__destroyIPPcEEvT_S4_@Base 2.8.4 + (optional=templinst)_ZNSt12_Destroy_auxILb1EE9__destroyIPcEEvT_S3_@Base 2.8.4 + (optional=templinst)_ZNSt12_Destroy_auxILb1EE9__destroyIPiEEvT_S3_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseI13latexFoldSaveSaIS0_EE11_M_allocateEj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseI13latexFoldSaveSaIS0_EE11_M_allocateEm@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI13latexFoldSaveSaIS0_EE12_Vector_implC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI13latexFoldSaveSaIS0_EE12_Vector_implC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI13latexFoldSaveSaIS0_EE12_Vector_implD1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI13latexFoldSaveSaIS0_EE12_Vector_implD2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseI13latexFoldSaveSaIS0_EE13_M_deallocateEPS0_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseI13latexFoldSaveSaIS0_EE13_M_deallocateEPS0_m@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI13latexFoldSaveSaIS0_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI13latexFoldSaveSaIS0_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI13latexFoldSaveSaIS0_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI13latexFoldSaveSaIS0_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI13latexFoldSaveSaIS0_EED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseI14SelectionRangeSaIS0_EE11_M_allocateEj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseI14SelectionRangeSaIS0_EE11_M_allocateEm@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI14SelectionRangeSaIS0_EE12_Vector_implC1ERKS1_@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI14SelectionRangeSaIS0_EE12_Vector_implC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI14SelectionRangeSaIS0_EE12_Vector_implC2ERKS1_@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI14SelectionRangeSaIS0_EE12_Vector_implC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI14SelectionRangeSaIS0_EE12_Vector_implD1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI14SelectionRangeSaIS0_EE12_Vector_implD2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseI14SelectionRangeSaIS0_EE13_M_deallocateEPS0_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseI14SelectionRangeSaIS0_EE13_M_deallocateEPS0_m@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseI14SelectionRangeSaIS0_EE17_M_create_storageEj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseI14SelectionRangeSaIS0_EE17_M_create_storageEm@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI14SelectionRangeSaIS0_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseI14SelectionRangeSaIS0_EEC1EjRKS1_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseI14SelectionRangeSaIS0_EEC1EmRKS1_@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI14SelectionRangeSaIS0_EEC1Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseI14SelectionRangeSaIS0_EEC2EjRKS1_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseI14SelectionRangeSaIS0_EEC2EmRKS1_@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI14SelectionRangeSaIS0_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI14SelectionRangeSaIS0_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI14SelectionRangeSaIS0_EED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseI14WordClassifierSaIS0_EE11_M_allocateEj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseI14WordClassifierSaIS0_EE11_M_allocateEm@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI14WordClassifierSaIS0_EE12_Vector_implC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI14WordClassifierSaIS0_EE12_Vector_implC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI14WordClassifierSaIS0_EE12_Vector_implD1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI14WordClassifierSaIS0_EE12_Vector_implD2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseI14WordClassifierSaIS0_EE13_M_deallocateEPS0_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseI14WordClassifierSaIS0_EE13_M_deallocateEPS0_m@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI14WordClassifierSaIS0_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI14WordClassifierSaIS0_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI14WordClassifierSaIS0_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI14WordClassifierSaIS0_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI14WordClassifierSaIS0_EED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseI18PositionCacheEntrySaIS0_EE11_M_allocateEj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseI18PositionCacheEntrySaIS0_EE11_M_allocateEm@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI18PositionCacheEntrySaIS0_EE12_Vector_implC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI18PositionCacheEntrySaIS0_EE12_Vector_implC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI18PositionCacheEntrySaIS0_EE12_Vector_implD1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI18PositionCacheEntrySaIS0_EE12_Vector_implD2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseI18PositionCacheEntrySaIS0_EE13_M_deallocateEPS0_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseI18PositionCacheEntrySaIS0_EE13_M_deallocateEPS0_m@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI18PositionCacheEntrySaIS0_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI18PositionCacheEntrySaIS0_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI18PositionCacheEntrySaIS0_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI18PositionCacheEntrySaIS0_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI18PositionCacheEntrySaIS0_EED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseI5StyleSaIS0_EE11_M_allocateEj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseI5StyleSaIS0_EE11_M_allocateEm@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI5StyleSaIS0_EE12_Vector_implC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI5StyleSaIS0_EE12_Vector_implC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI5StyleSaIS0_EE12_Vector_implD1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI5StyleSaIS0_EE12_Vector_implD2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseI5StyleSaIS0_EE13_M_deallocateEPS0_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseI5StyleSaIS0_EE13_M_deallocateEPS0_m@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI5StyleSaIS0_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI5StyleSaIS0_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI5StyleSaIS0_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI5StyleSaIS0_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseI5StyleSaIS0_EED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseI9DrawPhaseSaIS0_EE11_M_allocateEj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseI9DrawPhaseSaIS0_EE11_M_allocateEm@Base 2.9 + (optional=templinst)_ZNSt12_Vector_baseI9DrawPhaseSaIS0_EE12_Vector_implC1Ev@Base 2.9 + (optional=templinst)_ZNSt12_Vector_baseI9DrawPhaseSaIS0_EE12_Vector_implC2Ev@Base 2.9 + (optional=templinst)_ZNSt12_Vector_baseI9DrawPhaseSaIS0_EE12_Vector_implD1Ev@Base 2.9 + (optional=templinst)_ZNSt12_Vector_baseI9DrawPhaseSaIS0_EE12_Vector_implD2Ev@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseI9DrawPhaseSaIS0_EE13_M_deallocateEPS0_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseI9DrawPhaseSaIS0_EE13_M_deallocateEPS0_m@Base 2.9 + (optional=templinst)_ZNSt12_Vector_baseI9DrawPhaseSaIS0_EE19_M_get_Tp_allocatorEv@Base 2.9 + (optional=templinst)_ZNSt12_Vector_baseI9DrawPhaseSaIS0_EEC1Ev@Base 2.9 + (optional=templinst)_ZNSt12_Vector_baseI9DrawPhaseSaIS0_EEC2Ev@Base 2.9 + (optional=templinst)_ZNSt12_Vector_baseI9DrawPhaseSaIS0_EED1Ev@Base 2.9 + (optional=templinst)_ZNSt12_Vector_baseI9DrawPhaseSaIS0_EED2Ev@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseIN11SparseStateISsE5StateESaIS2_EE11_M_allocateEj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseIN11SparseStateISsE5StateESaIS2_EE11_M_allocateEm@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN11SparseStateISsE5StateESaIS2_EE12_Vector_implC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN11SparseStateISsE5StateESaIS2_EE12_Vector_implC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN11SparseStateISsE5StateESaIS2_EE12_Vector_implD1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN11SparseStateISsE5StateESaIS2_EE12_Vector_implD2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseIN11SparseStateISsE5StateESaIS2_EE13_M_deallocateEPS2_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseIN11SparseStateISsE5StateESaIS2_EE13_M_deallocateEPS2_m@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN11SparseStateISsE5StateESaIS2_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN11SparseStateISsE5StateESaIS2_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN11SparseStateISsE5StateESaIS2_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN11SparseStateISsE5StateESaIS2_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN11SparseStateISsE5StateESaIS2_EED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseIN11SparseStateIjE5StateESaIS2_EE11_M_allocateEj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseIN11SparseStateIjE5StateESaIS2_EE11_M_allocateEm@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN11SparseStateIjE5StateESaIS2_EE12_Vector_implC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN11SparseStateIjE5StateESaIS2_EE12_Vector_implC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN11SparseStateIjE5StateESaIS2_EE12_Vector_implD1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN11SparseStateIjE5StateESaIS2_EE12_Vector_implD2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseIN11SparseStateIjE5StateESaIS2_EE13_M_deallocateEPS2_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseIN11SparseStateIjE5StateESaIS2_EE13_M_deallocateEPS2_m@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN11SparseStateIjE5StateESaIS2_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN11SparseStateIjE5StateESaIS2_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN11SparseStateIjE5StateESaIS2_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN11SparseStateIjE5StateESaIS2_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN11SparseStateIjE5StateESaIS2_EED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseIN8Document19WatcherWithUserDataESaIS1_EE11_M_allocateEj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseIN8Document19WatcherWithUserDataESaIS1_EE11_M_allocateEm@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN8Document19WatcherWithUserDataESaIS1_EE12_Vector_implC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN8Document19WatcherWithUserDataESaIS1_EE12_Vector_implC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN8Document19WatcherWithUserDataESaIS1_EE12_Vector_implD1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN8Document19WatcherWithUserDataESaIS1_EE12_Vector_implD2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseIN8Document19WatcherWithUserDataESaIS1_EE13_M_deallocateEPS1_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseIN8Document19WatcherWithUserDataESaIS1_EE13_M_deallocateEPS1_m@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN8Document19WatcherWithUserDataESaIS1_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN8Document19WatcherWithUserDataESaIS1_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN8Document19WatcherWithUserDataESaIS1_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN8Document19WatcherWithUserDataESaIS1_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIN8Document19WatcherWithUserDataESaIS1_EED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseIP10LineLayoutSaIS1_EE11_M_allocateEj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseIP10LineLayoutSaIS1_EE11_M_allocateEm@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP10LineLayoutSaIS1_EE12_Vector_implC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP10LineLayoutSaIS1_EE12_Vector_implC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP10LineLayoutSaIS1_EE12_Vector_implD1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP10LineLayoutSaIS1_EE12_Vector_implD2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseIP10LineLayoutSaIS1_EE13_M_deallocateEPS1_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseIP10LineLayoutSaIS1_EE13_M_deallocateEPS1_m@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP10LineLayoutSaIS1_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP10LineLayoutSaIS1_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP10LineLayoutSaIS1_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP10LineLayoutSaIS1_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP10LineLayoutSaIS1_EED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseIP11LexerModuleSaIS1_EE11_M_allocateEj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseIP11LexerModuleSaIS1_EE11_M_allocateEm@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP11LexerModuleSaIS1_EE12_Vector_implC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP11LexerModuleSaIS1_EE12_Vector_implC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP11LexerModuleSaIS1_EE12_Vector_implD1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP11LexerModuleSaIS1_EE12_Vector_implD2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseIP11LexerModuleSaIS1_EE13_M_deallocateEPS1_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseIP11LexerModuleSaIS1_EE13_M_deallocateEPS1_m@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP11LexerModuleSaIS1_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP11LexerModuleSaIS1_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP11LexerModuleSaIS1_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP11LexerModuleSaIS1_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP11LexerModuleSaIS1_EED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseIP14SelectionRangeSaIS1_EE11_M_allocateEj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseIP14SelectionRangeSaIS1_EE11_M_allocateEm@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP14SelectionRangeSaIS1_EE12_Vector_implC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP14SelectionRangeSaIS1_EE12_Vector_implC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP14SelectionRangeSaIS1_EE12_Vector_implD1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP14SelectionRangeSaIS1_EE12_Vector_implD2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseIP14SelectionRangeSaIS1_EE13_M_deallocateEPS1_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseIP14SelectionRangeSaIS1_EE13_M_deallocateEPS1_m@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP14SelectionRangeSaIS1_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP14SelectionRangeSaIS1_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP14SelectionRangeSaIS1_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP14SelectionRangeSaIS1_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIP14SelectionRangeSaIS1_EED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseIPcSaIS0_EE11_M_allocateEj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseIPcSaIS0_EE11_M_allocateEm@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIPcSaIS0_EE12_Vector_implC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIPcSaIS0_EE12_Vector_implC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIPcSaIS0_EE12_Vector_implD1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIPcSaIS0_EE12_Vector_implD2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseIPcSaIS0_EE13_M_deallocateEPS0_j@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseIPcSaIS0_EE13_M_deallocateEPS0_m@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIPcSaIS0_EE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIPcSaIS0_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIPcSaIS0_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIPcSaIS0_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIPcSaIS0_EED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseISsSaISsEE11_M_allocateEj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseISsSaISsEE11_M_allocateEm@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseISsSaISsEE12_Vector_implC1ERKS0_@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseISsSaISsEE12_Vector_implC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseISsSaISsEE12_Vector_implC2ERKS0_@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseISsSaISsEE12_Vector_implC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseISsSaISsEE12_Vector_implD1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseISsSaISsEE12_Vector_implD2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseISsSaISsEE13_M_deallocateEPSsj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseISsSaISsEE13_M_deallocateEPSsm@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseISsSaISsEE17_M_create_storageEj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseISsSaISsEE17_M_create_storageEm@Base 2.9 + (optional=templinst)_ZNSt12_Vector_baseISsSaISsEE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseISsSaISsEEC1ERKS0_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseISsSaISsEEC1EjRKS0_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseISsSaISsEEC1EmRKS0_@Base 2.9 + (optional=templinst)_ZNSt12_Vector_baseISsSaISsEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseISsSaISsEEC2ERKS0_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseISsSaISsEEC2EjRKS0_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseISsSaISsEEC2EmRKS0_@Base 2.9 + (optional=templinst)_ZNSt12_Vector_baseISsSaISsEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseISsSaISsEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseISsSaISsEED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseIcSaIcEE11_M_allocateEj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseIcSaIcEE11_M_allocateEm@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIcSaIcEE12_Vector_implC1ERKS0_@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIcSaIcEE12_Vector_implC2ERKS0_@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIcSaIcEE12_Vector_implD1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIcSaIcEE12_Vector_implD2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseIcSaIcEE13_M_deallocateEPcj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseIcSaIcEE13_M_deallocateEPcm@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseIcSaIcEE17_M_create_storageEj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseIcSaIcEE17_M_create_storageEm@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIcSaIcEE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseIcSaIcEEC1EjRKS0_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseIcSaIcEEC1EmRKS0_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseIcSaIcEEC2EjRKS0_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseIcSaIcEEC2EmRKS0_@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIcSaIcEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIcSaIcEED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseIiSaIiEE11_M_allocateEj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseIiSaIiEE11_M_allocateEm@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIiSaIiEE12_Vector_implC1ERKS0_@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIiSaIiEE12_Vector_implC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIiSaIiEE12_Vector_implC2ERKS0_@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIiSaIiEE12_Vector_implC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIiSaIiEE12_Vector_implD1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIiSaIiEE12_Vector_implD2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseIiSaIiEE13_M_deallocateEPij@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseIiSaIiEE13_M_deallocateEPim@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseIiSaIiEE17_M_create_storageEj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseIiSaIiEE17_M_create_storageEm@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIiSaIiEE19_M_get_Tp_allocatorEv@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseIiSaIiEEC1EjRKS0_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseIiSaIiEEC1EmRKS0_@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIiSaIiEEC1Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt12_Vector_baseIiSaIiEEC2EjRKS0_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt12_Vector_baseIiSaIiEEC2EmRKS0_@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIiSaIiEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIiSaIiEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt12_Vector_baseIiSaIiEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt13_Rb_tree_nodeISt4pairIK12KeyModifiersjEE9_M_valptrEv@Base 2.9 + (optional=templinst)_ZNSt13_Rb_tree_nodeISt4pairIK17FontSpecificationP12FontRealisedEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNSt13_Rb_tree_nodeISt4pairIKSsN12LexerVerilog11SymbolValueEEE9_M_valptrEv@Base 2.9 + (optional=templinst)_ZNSt13_Rb_tree_nodeISt4pairIKSsN8LexerCPP11SymbolValueEEE9_M_valptrEv@Base 2.9 + (optional=templinst)_ZNSt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNSt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNSt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNSt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNSt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNSt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNSt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEE9_M_valptrEv@Base 2.9 + (optional=templinst)_ZNSt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNSt13_Rb_tree_nodeISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNSt13_Rb_tree_nodeISt4pairIKSsSsEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNSt13_Rb_tree_nodeISt4pairIKSsiEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNSt13_Rb_tree_nodeISt4pairIKi14RepresentationEE9_M_valptrEv@Base 2.8.4 + (optional=templinst)_ZNSt13_Rb_tree_nodeISt4pairIKiiEE9_M_valptrEv@Base 2.9 + (optional=templinst)_ZNSt16reverse_iteratorIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEEEC1ES8_@Base 2.8.4 + (optional=templinst)_ZNSt16reverse_iteratorIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEEEC2ES8_@Base 2.8.4 + (optional=templinst)_ZNSt16reverse_iteratorIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEEEppEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIK12KeyModifiersjEEC1EPSt13_Rb_tree_nodeIS3_E@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIK12KeyModifiersjEEC2EPSt13_Rb_tree_nodeIS3_E@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIK12KeyModifiersjEEmmEv@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIK12KeyModifiersjEEppEv@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIK17FontSpecificationP12FontRealisedEEC1EPSt13_Rb_tree_nodeIS5_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIK17FontSpecificationP12FontRealisedEEC2EPSt13_Rb_tree_nodeIS5_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIK17FontSpecificationP12FontRealisedEEmmEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIK17FontSpecificationP12FontRealisedEEppEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN12LexerVerilog11SymbolValueEEEC1EPSt13_Rb_tree_nodeIS4_E@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN12LexerVerilog11SymbolValueEEEC1Ev@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN12LexerVerilog11SymbolValueEEEC2EPSt13_Rb_tree_nodeIS4_E@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN12LexerVerilog11SymbolValueEEEC2Ev@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN12LexerVerilog11SymbolValueEEEmmEv@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN12LexerVerilog11SymbolValueEEEppEv@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN8LexerCPP11SymbolValueEEEC1EPSt13_Rb_tree_nodeIS4_E@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN8LexerCPP11SymbolValueEEEC2EPSt13_Rb_tree_nodeIS4_E@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN8LexerCPP11SymbolValueEEEmmEv@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN8LexerCPP11SymbolValueEEEppEv@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEC1EPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEC2EPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEmmEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEppEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEC1EPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEC2EPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEmmEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEppEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEC1EPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEC2EPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEmmEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEppEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEC1EPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEC2EPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEmmEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEppEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEC1EPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEC2EPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEmmEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEppEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEC1EPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEC2EPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEmmEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEppEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEC1EPSt13_Rb_tree_nodeIS6_E@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEC2EPSt13_Rb_tree_nodeIS6_E@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEmmEv@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEppEv@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEC1EPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEC2EPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEC1EPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEC2EPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEmmEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEppEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsSsEEC1EPSt13_Rb_tree_nodeIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsSsEEC2EPSt13_Rb_tree_nodeIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsSsEEmmEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsSsEEppEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsiEEC1EPSt13_Rb_tree_nodeIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsiEEC2EPSt13_Rb_tree_nodeIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsiEEmmEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKSsiEEppEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKi14RepresentationEEC1EPSt13_Rb_tree_nodeIS3_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKi14RepresentationEEC2EPSt13_Rb_tree_nodeIS3_E@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKi14RepresentationEEmmEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKi14RepresentationEEppEv@Base 2.8.4 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKiiEEC1EPSt13_Rb_tree_nodeIS2_E@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKiiEEC2EPSt13_Rb_tree_nodeIS2_E@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKiiEEmmEv@Base 2.9 + (optional=templinst)_ZNSt17_Rb_tree_iteratorISt4pairIKiiEEppEv@Base 2.9 + _ZNSt18_Rb_tree_node_base10_S_maximumEPS_@Base 2.8.4 + _ZNSt18_Rb_tree_node_base10_S_minimumEPS_@Base 2.8.4 + (optional=templinst)_ZNSt20__copy_move_backwardILb0ELb0ESt26random_access_iterator_tagE13__copy_move_bIP13latexFoldSaveS4_EET0_T_S6_S5_@Base 2.8.4 + (optional=templinst)_ZNSt20__copy_move_backwardILb0ELb0ESt26random_access_iterator_tagE13__copy_move_bIP14SelectionRangeS4_EET0_T_S6_S5_@Base 2.8.4 + (optional=templinst)_ZNSt20__copy_move_backwardILb0ELb0ESt26random_access_iterator_tagE13__copy_move_bIP14WordClassifierS4_EET0_T_S6_S5_@Base 2.8.4 + (optional=templinst)_ZNSt20__copy_move_backwardILb0ELb0ESt26random_access_iterator_tagE13__copy_move_bIP18PositionCacheEntryS4_EET0_T_S6_S5_@Base 2.8.4 + (optional=templinst)_ZNSt20__copy_move_backwardILb0ELb0ESt26random_access_iterator_tagE13__copy_move_bIP5StyleS4_EET0_T_S6_S5_@Base 2.8.4 + (optional=templinst)_ZNSt20__copy_move_backwardILb0ELb0ESt26random_access_iterator_tagE13__copy_move_bIPN11SparseStateISsE5StateES6_EET0_T_S8_S7_@Base 2.8.4 + (optional=templinst)_ZNSt20__copy_move_backwardILb0ELb0ESt26random_access_iterator_tagE13__copy_move_bIPN11SparseStateIjE5StateES6_EET0_T_S8_S7_@Base 2.8.4 + (optional=templinst)_ZNSt20__copy_move_backwardILb0ELb0ESt26random_access_iterator_tagE13__copy_move_bIPN8Document19WatcherWithUserDataES5_EET0_T_S7_S6_@Base 2.8.4 + (optional=templinst)_ZNSt20__copy_move_backwardILb0ELb0ESt26random_access_iterator_tagE13__copy_move_bIPSsS3_EET0_T_S5_S4_@Base 2.8.4 + (optional=templinst)_ZNSt20__copy_move_backwardILb0ELb1ESt26random_access_iterator_tagE13__copy_move_bI9DrawPhaseEEPT_PKS4_S7_S5_@Base 2.9 + (optional=templinst)_ZNSt20__copy_move_backwardILb0ELb1ESt26random_access_iterator_tagE13__copy_move_bIP10LineLayoutEEPT_PKS5_S8_S6_@Base 2.8.4 + (optional=templinst)_ZNSt20__copy_move_backwardILb0ELb1ESt26random_access_iterator_tagE13__copy_move_bIP11LexerModuleEEPT_PKS5_S8_S6_@Base 2.8.4 + (optional=templinst)_ZNSt20__copy_move_backwardILb0ELb1ESt26random_access_iterator_tagE13__copy_move_bIP14SelectionRangeEEPT_PKS5_S8_S6_@Base 2.8.4 + (optional=templinst)_ZNSt20__copy_move_backwardILb0ELb1ESt26random_access_iterator_tagE13__copy_move_bIPcEEPT_PKS4_S7_S5_@Base 2.8.4 + (optional=templinst)_ZNSt20__copy_move_backwardILb0ELb1ESt26random_access_iterator_tagE13__copy_move_bIiEEPT_PKS3_S6_S4_@Base 2.8.4 + (optional=templinst)_ZNSt20__uninitialized_copyILb0EE13__uninit_copyIN9__gnu_cxx17__normal_iteratorIPK14SelectionRangeSt6vectorIS4_SaIS4_EEEEPS4_EET0_T_SD_SC_@Base 2.8.4 + (optional=templinst)_ZNSt20__uninitialized_copyILb0EE13__uninit_copyIN9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS6_SaIS6_EEEEPS6_EET0_T_SF_SE_@Base 2.8.4 + (optional=templinst)_ZNSt20__uninitialized_copyILb0EE13__uninit_copyIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEES4_EET0_T_SA_S9_@Base 2.8.4 + (optional=templinst)_ZNSt20__uninitialized_copyILb0EE13__uninit_copyIP13latexFoldSaveS3_EET0_T_S5_S4_@Base 2.8.4 + (optional=templinst)_ZNSt20__uninitialized_copyILb0EE13__uninit_copyIP14SelectionRangeS3_EET0_T_S5_S4_@Base 2.8.4 + (optional=templinst)_ZNSt20__uninitialized_copyILb0EE13__uninit_copyIP14WordClassifierS3_EET0_T_S5_S4_@Base 2.8.4 + (optional=templinst)_ZNSt20__uninitialized_copyILb0EE13__uninit_copyIP18PositionCacheEntryS3_EET0_T_S5_S4_@Base 2.8.4 + (optional=templinst)_ZNSt20__uninitialized_copyILb0EE13__uninit_copyIP5StyleS3_EET0_T_S5_S4_@Base 2.8.4 + (optional=templinst)_ZNSt20__uninitialized_copyILb0EE13__uninit_copyIPN11SparseStateISsE5StateES5_EET0_T_S7_S6_@Base 2.8.4 + (optional=templinst)_ZNSt20__uninitialized_copyILb0EE13__uninit_copyIPN11SparseStateIjE5StateES5_EET0_T_S7_S6_@Base 2.8.4 + (optional=templinst)_ZNSt20__uninitialized_copyILb0EE13__uninit_copyIPN8Document19WatcherWithUserDataES4_EET0_T_S6_S5_@Base 2.8.4 + (optional=templinst)_ZNSt20__uninitialized_copyILb0EE13__uninit_copyIPSsS2_EET0_T_S4_S3_@Base 2.8.4 + (optional=templinst)_ZNSt20__uninitialized_copyILb1EE13__uninit_copyIN9__gnu_cxx17__normal_iteratorIPKiSt6vectorIiSaIiEEEEPiEET0_T_SC_SB_@Base 2.8.4 + (optional=templinst)_ZNSt20__uninitialized_copyILb1EE13__uninit_copyIP9DrawPhaseS3_EET0_T_S5_S4_@Base 2.9 + (optional=templinst)_ZNSt20__uninitialized_copyILb1EE13__uninit_copyIPP10LineLayoutS4_EET0_T_S6_S5_@Base 2.8.4 + (optional=templinst)_ZNSt20__uninitialized_copyILb1EE13__uninit_copyIPP11LexerModuleS4_EET0_T_S6_S5_@Base 2.8.4 + (optional=templinst)_ZNSt20__uninitialized_copyILb1EE13__uninit_copyIPP14SelectionRangeS4_EET0_T_S6_S5_@Base 2.8.4 + (optional=templinst)_ZNSt20__uninitialized_copyILb1EE13__uninit_copyIPPcS3_EET0_T_S5_S4_@Base 2.8.4 + (optional=templinst)_ZNSt20__uninitialized_copyILb1EE13__uninit_copyIPiS2_EET0_T_S4_S3_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt22__uninitialized_fill_nILb0EE15__uninit_fill_nIP13latexFoldSavejS2_EEvT_T0_RKT1_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt22__uninitialized_fill_nILb0EE15__uninit_fill_nIP13latexFoldSavemS2_EEvT_T0_RKT1_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt22__uninitialized_fill_nILb0EE15__uninit_fill_nIP18PositionCacheEntryjS2_EEvT_T0_RKT1_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt22__uninitialized_fill_nILb0EE15__uninit_fill_nIP18PositionCacheEntrymS2_EEvT_T0_RKT1_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt22__uninitialized_fill_nILb0EE15__uninit_fill_nIP5StylejS2_EEvT_T0_RKT1_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt22__uninitialized_fill_nILb0EE15__uninit_fill_nIP5StylemS2_EEvT_T0_RKT1_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt22__uninitialized_fill_nILb0EE15__uninit_fill_nIPSsjSsEEvT_T0_RKT1_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt22__uninitialized_fill_nILb0EE15__uninit_fill_nIPSsmSsEEvT_T0_RKT1_@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt22__uninitialized_fill_nILb1EE15__uninit_fill_nIPP10LineLayoutjS3_EEvT_T0_RKT1_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt22__uninitialized_fill_nILb1EE15__uninit_fill_nIPP10LineLayoutmS3_EEvT_T0_RKT1_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt22__uninitialized_fill_nILb1EE15__uninit_fill_nIPcjcEEvT_T0_RKT1_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt22__uninitialized_fill_nILb1EE15__uninit_fill_nIPcmcEEvT_T0_RKT1_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt22__uninitialized_fill_nILb1EE15__uninit_fill_nIPijiEEvT_T0_RKT1_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt22__uninitialized_fill_nILb1EE15__uninit_fill_nIPimiEEvT_T0_RKT1_@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIK12KeyModifiersjEEC1EPKSt13_Rb_tree_nodeIS3_E@Base 2.9 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIK12KeyModifiersjEEC1ERKSt17_Rb_tree_iteratorIS3_E@Base 2.9 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIK12KeyModifiersjEEC2EPKSt13_Rb_tree_nodeIS3_E@Base 2.9 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIK12KeyModifiersjEEC2ERKSt17_Rb_tree_iteratorIS3_E@Base 2.9 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIK17FontSpecificationP12FontRealisedEEC1ERKSt17_Rb_tree_iteratorIS5_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIK17FontSpecificationP12FontRealisedEEC2ERKSt17_Rb_tree_iteratorIS5_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIK17FontSpecificationP12FontRealisedEEppEv@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN12LexerVerilog11SymbolValueEEEC1ERKSt17_Rb_tree_iteratorIS4_E@Base 2.9 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN12LexerVerilog11SymbolValueEEEC2ERKSt17_Rb_tree_iteratorIS4_E@Base 2.9 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN12LexerVerilog11SymbolValueEEEppEi@Base 2.9 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN8LexerCPP11SymbolValueEEEC1EPKSt13_Rb_tree_nodeIS4_E@Base 2.9 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN8LexerCPP11SymbolValueEEEC1ERKSt17_Rb_tree_iteratorIS4_E@Base 2.9 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN8LexerCPP11SymbolValueEEEC2EPKSt13_Rb_tree_nodeIS4_E@Base 2.9 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN8LexerCPP11SymbolValueEEEC2ERKSt17_Rb_tree_iteratorIS4_E@Base 2.9 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN8LexerCPP11SymbolValueEEEppEi@Base 2.9 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEC1ERKSt17_Rb_tree_iteratorIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEC2ERKSt17_Rb_tree_iteratorIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEC1ERKSt17_Rb_tree_iteratorIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEC2ERKSt17_Rb_tree_iteratorIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEC1ERKSt17_Rb_tree_iteratorIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEC2ERKSt17_Rb_tree_iteratorIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEC1ERKSt17_Rb_tree_iteratorIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEC2ERKSt17_Rb_tree_iteratorIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEC1ERKSt17_Rb_tree_iteratorIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEC2ERKSt17_Rb_tree_iteratorIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEC1ERKSt17_Rb_tree_iteratorIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEC2ERKSt17_Rb_tree_iteratorIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEC1ERKSt17_Rb_tree_iteratorIS6_E@Base 2.9 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEC2ERKSt17_Rb_tree_iteratorIS6_E@Base 2.9 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEC1ERKSt17_Rb_tree_iteratorIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEC2ERKSt17_Rb_tree_iteratorIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsSsEEC1ERKSt17_Rb_tree_iteratorIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsSsEEC2ERKSt17_Rb_tree_iteratorIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsiEEC1EPKSt13_Rb_tree_nodeIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsiEEC1ERKSt17_Rb_tree_iteratorIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsiEEC2EPKSt13_Rb_tree_nodeIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKSsiEEC2ERKSt17_Rb_tree_iteratorIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKi14RepresentationEEC1EPKSt13_Rb_tree_nodeIS3_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKi14RepresentationEEC1ERKSt17_Rb_tree_iteratorIS3_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKi14RepresentationEEC2EPKSt13_Rb_tree_nodeIS3_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKi14RepresentationEEC2ERKSt17_Rb_tree_iteratorIS3_E@Base 2.8.4 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKiiEEC1ERKSt17_Rb_tree_iteratorIS2_E@Base 2.9 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKiiEEC2ERKSt17_Rb_tree_iteratorIS2_E@Base 2.9 + (optional=templinst)_ZNSt23_Rb_tree_const_iteratorISt4pairIKiiEEppEi@Base 2.9 + (optional=templinst)_ZNSt3mapI12KeyModifiersjSt4lessIS0_ESaISt4pairIKS0_jEEE11lower_boundERS4_@Base 2.9 + (optional=templinst)_ZNSt3mapI12KeyModifiersjSt4lessIS0_ESaISt4pairIKS0_jEEE3endEv@Base 2.9 + (optional=templinst)_ZNSt3mapI12KeyModifiersjSt4lessIS0_ESaISt4pairIKS0_jEEE5clearEv@Base 2.9 + (optional=templinst)_ZNSt3mapI12KeyModifiersjSt4lessIS0_ESaISt4pairIKS0_jEEE6insertESt17_Rb_tree_iteratorIS5_ERKS5_@Base 2.9 + (optional=templinst)_ZNSt3mapI12KeyModifiersjSt4lessIS0_ESaISt4pairIKS0_jEEEC1Ev@Base 2.9 + (optional=templinst)_ZNSt3mapI12KeyModifiersjSt4lessIS0_ESaISt4pairIKS0_jEEEC2Ev@Base 2.9 + (optional=templinst)_ZNSt3mapI12KeyModifiersjSt4lessIS0_ESaISt4pairIKS0_jEEED1Ev@Base 2.9 + (optional=templinst)_ZNSt3mapI12KeyModifiersjSt4lessIS0_ESaISt4pairIKS0_jEEED2Ev@Base 2.9 + (optional=templinst)_ZNSt3mapI12KeyModifiersjSt4lessIS0_ESaISt4pairIKS0_jEEEixERS4_@Base 2.9 + (optional=templinst)_ZNSt3mapI17FontSpecificationP12FontRealisedSt4lessIS0_ESaISt4pairIKS0_S2_EEE11lower_boundERS6_@Base 2.8.4 + (optional=templinst)_ZNSt3mapI17FontSpecificationP12FontRealisedSt4lessIS0_ESaISt4pairIKS0_S2_EEE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt3mapI17FontSpecificationP12FontRealisedSt4lessIS0_ESaISt4pairIKS0_S2_EEE4findERS6_@Base 2.8.4 + (optional=templinst)_ZNSt3mapI17FontSpecificationP12FontRealisedSt4lessIS0_ESaISt4pairIKS0_S2_EEE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt3mapI17FontSpecificationP12FontRealisedSt4lessIS0_ESaISt4pairIKS0_S2_EEE5clearEv@Base 2.8.4 + (optional=templinst)_ZNSt3mapI17FontSpecificationP12FontRealisedSt4lessIS0_ESaISt4pairIKS0_S2_EEE6insertESt17_Rb_tree_iteratorIS7_ERKS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapI17FontSpecificationP12FontRealisedSt4lessIS0_ESaISt4pairIKS0_S2_EEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapI17FontSpecificationP12FontRealisedSt4lessIS0_ESaISt4pairIKS0_S2_EEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapI17FontSpecificationP12FontRealisedSt4lessIS0_ESaISt4pairIKS0_S2_EEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapI17FontSpecificationP12FontRealisedSt4lessIS0_ESaISt4pairIKS0_S2_EEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapI17FontSpecificationP12FontRealisedSt4lessIS0_ESaISt4pairIKS0_S2_EEEixERS6_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN12LexerVerilog11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEE11lower_boundERS5_@Base 2.9 + (optional=templinst)_ZNSt3mapISsN12LexerVerilog11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEE3endEv@Base 2.9 + (optional=templinst)_ZNSt3mapISsN12LexerVerilog11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEE4findERS5_@Base 2.9 + (optional=templinst)_ZNSt3mapISsN12LexerVerilog11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEE5beginEv@Base 2.9 + (optional=templinst)_ZNSt3mapISsN12LexerVerilog11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEE5clearEv@Base 2.9 + (optional=templinst)_ZNSt3mapISsN12LexerVerilog11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEE5eraseERS5_@Base 2.9 + (optional=templinst)_ZNSt3mapISsN12LexerVerilog11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEE6insertESt17_Rb_tree_iteratorIS6_ERKS6_@Base 2.9 + (optional=templinst)_ZNSt3mapISsN12LexerVerilog11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEEC1ERKS8_@Base 2.9 + (optional=templinst)_ZNSt3mapISsN12LexerVerilog11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEEC1Ev@Base 2.9 + (optional=templinst)_ZNSt3mapISsN12LexerVerilog11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEEC2ERKS8_@Base 2.9 + (optional=templinst)_ZNSt3mapISsN12LexerVerilog11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEEC2Ev@Base 2.9 + (optional=templinst)_ZNSt3mapISsN12LexerVerilog11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEED1Ev@Base 2.9 + (optional=templinst)_ZNSt3mapISsN12LexerVerilog11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEED2Ev@Base 2.9 + (optional=templinst)_ZNSt3mapISsN12LexerVerilog11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEEixERS5_@Base 2.9 + (optional=templinst)_ZNSt3mapISsN8LexerCPP11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEE11lower_boundERS5_@Base 2.9 + (optional=templinst)_ZNSt3mapISsN8LexerCPP11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEE3endEv@Base 2.9 + (optional=templinst)_ZNSt3mapISsN8LexerCPP11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEE4findERS5_@Base 2.9 + (optional=templinst)_ZNSt3mapISsN8LexerCPP11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEE5clearEv@Base 2.9 + (optional=templinst)_ZNSt3mapISsN8LexerCPP11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEE5eraseERS5_@Base 2.9 + (optional=templinst)_ZNSt3mapISsN8LexerCPP11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEE6insertESt17_Rb_tree_iteratorIS6_ERKS6_@Base 2.9 + (optional=templinst)_ZNSt3mapISsN8LexerCPP11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEEC1ERKS8_@Base 2.9 + (optional=templinst)_ZNSt3mapISsN8LexerCPP11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEEC1Ev@Base 2.9 + (optional=templinst)_ZNSt3mapISsN8LexerCPP11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEEC2ERKS8_@Base 2.9 + (optional=templinst)_ZNSt3mapISsN8LexerCPP11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEEC2Ev@Base 2.9 + (optional=templinst)_ZNSt3mapISsN8LexerCPP11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEED1Ev@Base 2.9 + (optional=templinst)_ZNSt3mapISsN8LexerCPP11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEED2Ev@Base 2.9 + (optional=templinst)_ZNSt3mapISsN8LexerCPP11SymbolValueESt4lessISsESaISt4pairIKSsS1_EEEixERS5_@Base 2.9 + (optional=templinst)_ZNSt3mapISsN9OptionSetI10OptionsAsmE6OptionESt4lessISsESaISt4pairIKSsS3_EEE11lower_boundERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI10OptionsAsmE6OptionESt4lessISsESaISt4pairIKSsS3_EEE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI10OptionsAsmE6OptionESt4lessISsESaISt4pairIKSsS3_EEE4findERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI10OptionsAsmE6OptionESt4lessISsESaISt4pairIKSsS3_EEE6insertESt17_Rb_tree_iteratorIS8_ERKS8_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI10OptionsAsmE6OptionESt4lessISsESaISt4pairIKSsS3_EEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI10OptionsAsmE6OptionESt4lessISsESaISt4pairIKSsS3_EEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI10OptionsAsmE6OptionESt4lessISsESaISt4pairIKSsS3_EEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI10OptionsAsmE6OptionESt4lessISsESaISt4pairIKSsS3_EEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI10OptionsAsmE6OptionESt4lessISsESaISt4pairIKSsS3_EEEixERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI10OptionsSQLE6OptionESt4lessISsESaISt4pairIKSsS3_EEE11lower_boundERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI10OptionsSQLE6OptionESt4lessISsESaISt4pairIKSsS3_EEE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI10OptionsSQLE6OptionESt4lessISsESaISt4pairIKSsS3_EEE4findERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI10OptionsSQLE6OptionESt4lessISsESaISt4pairIKSsS3_EEE6insertESt17_Rb_tree_iteratorIS8_ERKS8_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI10OptionsSQLE6OptionESt4lessISsESaISt4pairIKSsS3_EEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI10OptionsSQLE6OptionESt4lessISsESaISt4pairIKSsS3_EEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI10OptionsSQLE6OptionESt4lessISsESaISt4pairIKSsS3_EEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI10OptionsSQLE6OptionESt4lessISsESaISt4pairIKSsS3_EEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI10OptionsSQLE6OptionESt4lessISsESaISt4pairIKSsS3_EEEixERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI11OptionsPerlE6OptionESt4lessISsESaISt4pairIKSsS3_EEE11lower_boundERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI11OptionsPerlE6OptionESt4lessISsESaISt4pairIKSsS3_EEE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI11OptionsPerlE6OptionESt4lessISsESaISt4pairIKSsS3_EEE4findERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI11OptionsPerlE6OptionESt4lessISsESaISt4pairIKSsS3_EEE6insertESt17_Rb_tree_iteratorIS8_ERKS8_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI11OptionsPerlE6OptionESt4lessISsESaISt4pairIKSsS3_EEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI11OptionsPerlE6OptionESt4lessISsESaISt4pairIKSsS3_EEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI11OptionsPerlE6OptionESt4lessISsESaISt4pairIKSsS3_EEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI11OptionsPerlE6OptionESt4lessISsESaISt4pairIKSsS3_EEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI11OptionsPerlE6OptionESt4lessISsESaISt4pairIKSsS3_EEEixERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI11OptionsRustE6OptionESt4lessISsESaISt4pairIKSsS3_EEE11lower_boundERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI11OptionsRustE6OptionESt4lessISsESaISt4pairIKSsS3_EEE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI11OptionsRustE6OptionESt4lessISsESaISt4pairIKSsS3_EEE4findERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI11OptionsRustE6OptionESt4lessISsESaISt4pairIKSsS3_EEE6insertESt17_Rb_tree_iteratorIS8_ERKS8_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI11OptionsRustE6OptionESt4lessISsESaISt4pairIKSsS3_EEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI11OptionsRustE6OptionESt4lessISsESaISt4pairIKSsS3_EEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI11OptionsRustE6OptionESt4lessISsESaISt4pairIKSsS3_EEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI11OptionsRustE6OptionESt4lessISsESaISt4pairIKSsS3_EEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI11OptionsRustE6OptionESt4lessISsESaISt4pairIKSsS3_EEEixERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI12OptionsBasicE6OptionESt4lessISsESaISt4pairIKSsS3_EEE11lower_boundERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI12OptionsBasicE6OptionESt4lessISsESaISt4pairIKSsS3_EEE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI12OptionsBasicE6OptionESt4lessISsESaISt4pairIKSsS3_EEE4findERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI12OptionsBasicE6OptionESt4lessISsESaISt4pairIKSsS3_EEE6insertESt17_Rb_tree_iteratorIS8_ERKS8_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI12OptionsBasicE6OptionESt4lessISsESaISt4pairIKSsS3_EEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI12OptionsBasicE6OptionESt4lessISsESaISt4pairIKSsS3_EEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI12OptionsBasicE6OptionESt4lessISsESaISt4pairIKSsS3_EEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI12OptionsBasicE6OptionESt4lessISsESaISt4pairIKSsS3_EEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI12OptionsBasicE6OptionESt4lessISsESaISt4pairIKSsS3_EEEixERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI14OptionsHaskellE6OptionESt4lessISsESaISt4pairIKSsS3_EEE11lower_boundERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI14OptionsHaskellE6OptionESt4lessISsESaISt4pairIKSsS3_EEE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI14OptionsHaskellE6OptionESt4lessISsESaISt4pairIKSsS3_EEE4findERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI14OptionsHaskellE6OptionESt4lessISsESaISt4pairIKSsS3_EEE6insertESt17_Rb_tree_iteratorIS8_ERKS8_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI14OptionsHaskellE6OptionESt4lessISsESaISt4pairIKSsS3_EEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI14OptionsHaskellE6OptionESt4lessISsESaISt4pairIKSsS3_EEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI14OptionsHaskellE6OptionESt4lessISsESaISt4pairIKSsS3_EEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI14OptionsHaskellE6OptionESt4lessISsESaISt4pairIKSsS3_EEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI14OptionsHaskellE6OptionESt4lessISsESaISt4pairIKSsS3_EEEixERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI15OptionsRegistryE6OptionESt4lessISsESaISt4pairIKSsS3_EEE11lower_boundERS7_@Base 2.9 + (optional=templinst)_ZNSt3mapISsN9OptionSetI15OptionsRegistryE6OptionESt4lessISsESaISt4pairIKSsS3_EEE3endEv@Base 2.9 + (optional=templinst)_ZNSt3mapISsN9OptionSetI15OptionsRegistryE6OptionESt4lessISsESaISt4pairIKSsS3_EEE4findERS7_@Base 2.9 + (optional=templinst)_ZNSt3mapISsN9OptionSetI15OptionsRegistryE6OptionESt4lessISsESaISt4pairIKSsS3_EEE6insertESt17_Rb_tree_iteratorIS8_ERKS8_@Base 2.9 + (optional=templinst)_ZNSt3mapISsN9OptionSetI15OptionsRegistryE6OptionESt4lessISsESaISt4pairIKSsS3_EEEC1Ev@Base 2.9 + (optional=templinst)_ZNSt3mapISsN9OptionSetI15OptionsRegistryE6OptionESt4lessISsESaISt4pairIKSsS3_EEEC2Ev@Base 2.9 + (optional=templinst)_ZNSt3mapISsN9OptionSetI15OptionsRegistryE6OptionESt4lessISsESaISt4pairIKSsS3_EEED1Ev@Base 2.9 + (optional=templinst)_ZNSt3mapISsN9OptionSetI15OptionsRegistryE6OptionESt4lessISsESaISt4pairIKSsS3_EEED2Ev@Base 2.9 + (optional=templinst)_ZNSt3mapISsN9OptionSetI15OptionsRegistryE6OptionESt4lessISsESaISt4pairIKSsS3_EEEixERS7_@Base 2.9 + (optional=templinst)_ZNSt3mapISsN9OptionSetI19OptionsVisualPrologE6OptionESt4lessISsESaISt4pairIKSsS3_EEE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI19OptionsVisualPrologE6OptionESt4lessISsESaISt4pairIKSsS3_EEE4findERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI19OptionsVisualPrologE6OptionESt4lessISsESaISt4pairIKSsS3_EEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI19OptionsVisualPrologE6OptionESt4lessISsESaISt4pairIKSsS3_EEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI19OptionsVisualPrologE6OptionESt4lessISsESaISt4pairIKSsS3_EEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI19OptionsVisualPrologE6OptionESt4lessISsESaISt4pairIKSsS3_EEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI8OptionsDE6OptionESt4lessISsESaISt4pairIKSsS3_EEE11lower_boundERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI8OptionsDE6OptionESt4lessISsESaISt4pairIKSsS3_EEE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI8OptionsDE6OptionESt4lessISsESaISt4pairIKSsS3_EEE4findERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI8OptionsDE6OptionESt4lessISsESaISt4pairIKSsS3_EEE6insertESt17_Rb_tree_iteratorIS8_ERKS8_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI8OptionsDE6OptionESt4lessISsESaISt4pairIKSsS3_EEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI8OptionsDE6OptionESt4lessISsESaISt4pairIKSsS3_EEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI8OptionsDE6OptionESt4lessISsESaISt4pairIKSsS3_EEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI8OptionsDE6OptionESt4lessISsESaISt4pairIKSsS3_EEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsN9OptionSetI8OptionsDE6OptionESt4lessISsESaISt4pairIKSsS3_EEEixERS7_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsSsSt4lessISsESaISt4pairIKSsSsEEE11lower_boundERS3_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsSsSt4lessISsESaISt4pairIKSsSsEEE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsSsSt4lessISsESaISt4pairIKSsSsEEE4findERS3_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsSsSt4lessISsESaISt4pairIKSsSsEEE6insertESt17_Rb_tree_iteratorIS4_ERKS4_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsSsSt4lessISsESaISt4pairIKSsSsEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsSsSt4lessISsESaISt4pairIKSsSsEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsSsSt4lessISsESaISt4pairIKSsSsEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsSsSt4lessISsESaISt4pairIKSsSsEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsSsSt4lessISsESaISt4pairIKSsSsEEEixERS3_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsiSt4lessISsESaISt4pairIKSsiEEE11lower_boundERS3_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsiSt4lessISsESaISt4pairIKSsiEEE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsiSt4lessISsESaISt4pairIKSsiEEE5clearEv@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsiSt4lessISsESaISt4pairIKSsiEEE6insertESt17_Rb_tree_iteratorIS4_ERKS4_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsiSt4lessISsESaISt4pairIKSsiEEEC1ERKS6_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsiSt4lessISsESaISt4pairIKSsiEEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsiSt4lessISsESaISt4pairIKSsiEEEC2ERKS6_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsiSt4lessISsESaISt4pairIKSsiEEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsiSt4lessISsESaISt4pairIKSsiEEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsiSt4lessISsESaISt4pairIKSsiEEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsiSt4lessISsESaISt4pairIKSsiEEEaSERKS6_@Base 2.8.4 + (optional=templinst)_ZNSt3mapISsiSt4lessISsESaISt4pairIKSsiEEEixERS3_@Base 2.8.4 + (optional=templinst)_ZNSt3mapIi14RepresentationSt4lessIiESaISt4pairIKiS0_EEE11lower_boundERS4_@Base 2.8.4 + (optional=templinst)_ZNSt3mapIi14RepresentationSt4lessIiESaISt4pairIKiS0_EEE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt3mapIi14RepresentationSt4lessIiESaISt4pairIKiS0_EEE4findERS4_@Base 2.8.4 + (optional=templinst)_ZNSt3mapIi14RepresentationSt4lessIiESaISt4pairIKiS0_EEE5clearEv@Base 2.8.4 + (optional=templinst)_ZNSt3mapIi14RepresentationSt4lessIiESaISt4pairIKiS0_EEE5eraseESt17_Rb_tree_iteratorIS5_E@Base 2.8.4 + (optional=templinst)_ZNSt3mapIi14RepresentationSt4lessIiESaISt4pairIKiS0_EEE6insertESt17_Rb_tree_iteratorIS5_ERKS5_@Base 2.8.4 + (optional=templinst)_ZNSt3mapIi14RepresentationSt4lessIiESaISt4pairIKiS0_EEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapIi14RepresentationSt4lessIiESaISt4pairIKiS0_EEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapIi14RepresentationSt4lessIiESaISt4pairIKiS0_EEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapIi14RepresentationSt4lessIiESaISt4pairIKiS0_EEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt3mapIi14RepresentationSt4lessIiESaISt4pairIKiS0_EEEixERS4_@Base 2.8.4 + (optional=templinst)_ZNSt3mapIiiSt4lessIiESaISt4pairIKiiEEE11lower_boundERS3_@Base 2.9 + (optional=templinst)_ZNSt3mapIiiSt4lessIiESaISt4pairIKiiEEE11upper_boundERS3_@Base 2.9 + (optional=templinst)_ZNSt3mapIiiSt4lessIiESaISt4pairIKiiEEE3endEv@Base 2.9 + (optional=templinst)_ZNSt3mapIiiSt4lessIiESaISt4pairIKiiEEE4findERS3_@Base 2.9 + (optional=templinst)_ZNSt3mapIiiSt4lessIiESaISt4pairIKiiEEE5eraseESt17_Rb_tree_iteratorIS4_ES8_@Base 2.9 + (optional=templinst)_ZNSt3mapIiiSt4lessIiESaISt4pairIKiiEEE6insertESt17_Rb_tree_iteratorIS4_ERKS4_@Base 2.9 + (optional=templinst)_ZNSt3mapIiiSt4lessIiESaISt4pairIKiiEEEC1Ev@Base 2.9 + (optional=templinst)_ZNSt3mapIiiSt4lessIiESaISt4pairIKiiEEEC2Ev@Base 2.9 + (optional=templinst)_ZNSt3mapIiiSt4lessIiESaISt4pairIKiiEEED1Ev@Base 2.9 + (optional=templinst)_ZNSt3mapIiiSt4lessIiESaISt4pairIKiiEEED2Ev@Base 2.9 + (optional=templinst)_ZNSt3mapIiiSt4lessIiESaISt4pairIKiiEEEixERS3_@Base 2.9 + (optional=templinst)_ZNSt4pairIK12KeyModifiersjEC1ERS1_RKj@Base 2.9 + (optional=templinst)_ZNSt4pairIK12KeyModifiersjEC2ERS1_RKj@Base 2.9 + (optional=templinst)_ZNSt4pairIK17FontSpecificationP12FontRealisedEC1ERS1_RKS3_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIK17FontSpecificationP12FontRealisedEC2ERS1_RKS3_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN12LexerVerilog11SymbolValueEEC1ERKS3_@Base 2.9 + (optional=templinst)_ZNSt4pairIKSsN12LexerVerilog11SymbolValueEEC1ERS0_RKS2_@Base 2.9 + (optional=templinst)_ZNSt4pairIKSsN12LexerVerilog11SymbolValueEEC2ERKS3_@Base 2.9 + (optional=templinst)_ZNSt4pairIKSsN12LexerVerilog11SymbolValueEEC2ERS0_RKS2_@Base 2.9 + (optional=templinst)_ZNSt4pairIKSsN12LexerVerilog11SymbolValueEED1Ev@Base 2.9 + (optional=templinst)_ZNSt4pairIKSsN12LexerVerilog11SymbolValueEED2Ev@Base 2.9 + (optional=templinst)_ZNSt4pairIKSsN8LexerCPP11SymbolValueEEC1ERKS3_@Base 2.9 + (optional=templinst)_ZNSt4pairIKSsN8LexerCPP11SymbolValueEEC1ERS0_RKS2_@Base 2.9 + (optional=templinst)_ZNSt4pairIKSsN8LexerCPP11SymbolValueEEC2ERKS3_@Base 2.9 + (optional=templinst)_ZNSt4pairIKSsN8LexerCPP11SymbolValueEEC2ERS0_RKS2_@Base 2.9 + (optional=templinst)_ZNSt4pairIKSsN8LexerCPP11SymbolValueEED1Ev@Base 2.9 + (optional=templinst)_ZNSt4pairIKSsN8LexerCPP11SymbolValueEED2Ev@Base 2.9 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEC1ERKS5_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEC1ERS0_RKS4_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEC2ERKS5_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEC2ERS0_RKS4_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEC1ERKS5_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEC1ERS0_RKS4_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEC2ERKS5_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEC2ERS0_RKS4_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEC1ERKS5_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEC1ERS0_RKS4_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEC2ERKS5_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEC2ERS0_RKS4_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEC1ERKS5_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEC1ERS0_RKS4_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEC2ERKS5_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEC2ERS0_RKS4_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEC1ERKS5_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEC1ERS0_RKS4_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEC2ERKS5_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEC2ERS0_RKS4_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEC1ERKS5_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEC1ERS0_RKS4_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEC2ERKS5_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEC2ERS0_RKS4_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEC1ERKS5_@Base 2.9 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEC1ERS0_RKS4_@Base 2.9 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEC2ERKS5_@Base 2.9 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEC2ERS0_RKS4_@Base 2.9 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEED1Ev@Base 2.9 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEED2Ev@Base 2.9 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI8OptionsDE6OptionEEC1ERKS5_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI8OptionsDE6OptionEEC1ERS0_RKS4_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI8OptionsDE6OptionEEC2ERKS5_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI8OptionsDE6OptionEEC2ERS0_RKS4_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI8OptionsDE6OptionEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsN9OptionSetI8OptionsDE6OptionEED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsSsEC1ERKS1_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsSsEC1ERS0_S2_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsSsEC2ERKS1_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsSsEC2ERS0_S2_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsSsED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsSsED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsiEC1ERKS1_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsiEC1ERS0_RKi@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsiEC2ERKS1_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsiEC2ERS0_RKi@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsiED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKSsiED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKi14RepresentationEC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKi14RepresentationEC1ERS0_RKS1_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKi14RepresentationEC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKi14RepresentationEC2ERS0_RKS1_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKi14RepresentationED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKi14RepresentationED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt4pairIKiiEC1ERS0_S2_@Base 2.9 + (optional=templinst)_ZNSt4pairIKiiEC2ERS0_S2_@Base 2.9 + (optional=templinst)_ZNSt4pairIPSt18_Rb_tree_node_baseS1_EC1ERKS1_S4_@Base 2.8.4 + (optional=templinst)_ZNSt4pairIPSt18_Rb_tree_node_baseS1_EC2ERKS1_S4_@Base 2.8.4 + (optional=templinst)_ZNSt4pairISt17_Rb_tree_iteratorIS_IKSsN12LexerVerilog11SymbolValueEEES5_EC1ERKS5_S8_@Base 2.9 + (optional=templinst)_ZNSt4pairISt17_Rb_tree_iteratorIS_IKSsN12LexerVerilog11SymbolValueEEES5_EC2ERKS5_S8_@Base 2.9 + (optional=templinst)_ZNSt4pairISt17_Rb_tree_iteratorIS_IKSsN8LexerCPP11SymbolValueEEES5_EC1ERKS5_S8_@Base 2.9 + (optional=templinst)_ZNSt4pairISt17_Rb_tree_iteratorIS_IKSsN8LexerCPP11SymbolValueEEES5_EC2ERKS5_S8_@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt6vectorI13latexFoldSaveSaIS0_EE14_M_fill_insertEN9__gnu_cxx17__normal_iteratorIPS0_S2_EEjRKS0_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt6vectorI13latexFoldSaveSaIS0_EE14_M_fill_insertEN9__gnu_cxx17__normal_iteratorIPS0_S2_EEmRKS0_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI13latexFoldSaveSaIS0_EE15_M_erase_at_endEPS0_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI13latexFoldSaveSaIS0_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI13latexFoldSaveSaIS0_EE5beginEv@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt6vectorI13latexFoldSaveSaIS0_EE6insertEN9__gnu_cxx17__normal_iteratorIPS0_S2_EEjRKS0_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt6vectorI13latexFoldSaveSaIS0_EE6insertEN9__gnu_cxx17__normal_iteratorIPS0_S2_EEmRKS0_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt6vectorI13latexFoldSaveSaIS0_EE6resizeEjS0_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt6vectorI13latexFoldSaveSaIS0_EE6resizeEmS0_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI13latexFoldSaveSaIS0_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI13latexFoldSaveSaIS0_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI13latexFoldSaveSaIS0_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI13latexFoldSaveSaIS0_EED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt6vectorI13latexFoldSaveSaIS0_EEixEj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt6vectorI13latexFoldSaveSaIS0_EEixEm@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14SelectionRangeSaIS0_EE13_M_insert_auxEN9__gnu_cxx17__normal_iteratorIPS0_S2_EERKS0_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14SelectionRangeSaIS0_EE15_M_erase_at_endEPS0_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt6vectorI14SelectionRangeSaIS0_EE20_M_allocate_and_copyIN9__gnu_cxx17__normal_iteratorIPKS0_S2_EEEEPS0_jT_SA_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt6vectorI14SelectionRangeSaIS0_EE20_M_allocate_and_copyIN9__gnu_cxx17__normal_iteratorIPKS0_S2_EEEEPS0_mT_SA_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14SelectionRangeSaIS0_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14SelectionRangeSaIS0_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14SelectionRangeSaIS0_EE5clearEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14SelectionRangeSaIS0_EE5eraseEN9__gnu_cxx17__normal_iteratorIPS0_S2_EE@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14SelectionRangeSaIS0_EE8_M_eraseEN9__gnu_cxx17__normal_iteratorIPS0_S2_EE@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14SelectionRangeSaIS0_EE8pop_backEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14SelectionRangeSaIS0_EE9push_backERKS0_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14SelectionRangeSaIS0_EEC1ERKS2_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14SelectionRangeSaIS0_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14SelectionRangeSaIS0_EEC2ERKS2_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14SelectionRangeSaIS0_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14SelectionRangeSaIS0_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14SelectionRangeSaIS0_EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14SelectionRangeSaIS0_EEaSERKS2_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt6vectorI14SelectionRangeSaIS0_EEixEj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt6vectorI14SelectionRangeSaIS0_EEixEm@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14WordClassifierSaIS0_EE13_M_insert_auxEN9__gnu_cxx17__normal_iteratorIPS0_S2_EERKS0_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14WordClassifierSaIS0_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14WordClassifierSaIS0_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14WordClassifierSaIS0_EE9push_backERKS0_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14WordClassifierSaIS0_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14WordClassifierSaIS0_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14WordClassifierSaIS0_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI14WordClassifierSaIS0_EED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt6vectorI14WordClassifierSaIS0_EEixEj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt6vectorI14WordClassifierSaIS0_EEixEm@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt6vectorI18PositionCacheEntrySaIS0_EE14_M_fill_insertEN9__gnu_cxx17__normal_iteratorIPS0_S2_EEjRKS0_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt6vectorI18PositionCacheEntrySaIS0_EE14_M_fill_insertEN9__gnu_cxx17__normal_iteratorIPS0_S2_EEmRKS0_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI18PositionCacheEntrySaIS0_EE15_M_erase_at_endEPS0_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI18PositionCacheEntrySaIS0_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI18PositionCacheEntrySaIS0_EE5beginEv@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt6vectorI18PositionCacheEntrySaIS0_EE6insertEN9__gnu_cxx17__normal_iteratorIPS0_S2_EEjRKS0_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt6vectorI18PositionCacheEntrySaIS0_EE6insertEN9__gnu_cxx17__normal_iteratorIPS0_S2_EEmRKS0_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt6vectorI18PositionCacheEntrySaIS0_EE6resizeEjS0_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt6vectorI18PositionCacheEntrySaIS0_EE6resizeEmS0_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI18PositionCacheEntrySaIS0_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI18PositionCacheEntrySaIS0_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI18PositionCacheEntrySaIS0_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI18PositionCacheEntrySaIS0_EED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt6vectorI18PositionCacheEntrySaIS0_EEixEj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt6vectorI18PositionCacheEntrySaIS0_EEixEm@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt6vectorI5StyleSaIS0_EE14_M_fill_insertEN9__gnu_cxx17__normal_iteratorIPS0_S2_EEjRKS0_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt6vectorI5StyleSaIS0_EE14_M_fill_insertEN9__gnu_cxx17__normal_iteratorIPS0_S2_EEmRKS0_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI5StyleSaIS0_EE15_M_erase_at_endEPS0_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI5StyleSaIS0_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI5StyleSaIS0_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI5StyleSaIS0_EE5clearEv@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt6vectorI5StyleSaIS0_EE6insertEN9__gnu_cxx17__normal_iteratorIPS0_S2_EEjRKS0_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt6vectorI5StyleSaIS0_EE6insertEN9__gnu_cxx17__normal_iteratorIPS0_S2_EEmRKS0_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt6vectorI5StyleSaIS0_EE6resizeEjS0_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt6vectorI5StyleSaIS0_EE6resizeEmS0_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI5StyleSaIS0_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI5StyleSaIS0_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI5StyleSaIS0_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI5StyleSaIS0_EED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt6vectorI5StyleSaIS0_EEixEj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt6vectorI5StyleSaIS0_EEixEm@Base 2.8.4 + (optional=templinst)_ZNSt6vectorI9DrawPhaseSaIS0_EE13_M_insert_auxEN9__gnu_cxx17__normal_iteratorIPS0_S2_EERKS0_@Base 2.9 + (optional=templinst)_ZNSt6vectorI9DrawPhaseSaIS0_EE3endEv@Base 2.9 + (optional=templinst)_ZNSt6vectorI9DrawPhaseSaIS0_EE5beginEv@Base 2.9 + (optional=templinst)_ZNSt6vectorI9DrawPhaseSaIS0_EE9push_backERKS0_@Base 2.9 + (optional=templinst)_ZNSt6vectorI9DrawPhaseSaIS0_EEC1Ev@Base 2.9 + (optional=templinst)_ZNSt6vectorI9DrawPhaseSaIS0_EEC2Ev@Base 2.9 + (optional=templinst)_ZNSt6vectorI9DrawPhaseSaIS0_EED1Ev@Base 2.9 + (optional=templinst)_ZNSt6vectorI9DrawPhaseSaIS0_EED2Ev@Base 2.9 + (optional=templinst)_ZNSt6vectorIN11SparseStateISsE5StateESaIS2_EE13_M_insert_auxEN9__gnu_cxx17__normal_iteratorIPS2_S4_EERKS2_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateISsE5StateESaIS2_EE15_M_erase_at_endEPS2_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateISsE5StateESaIS2_EE15_M_range_insertIN9__gnu_cxx17__normal_iteratorIPKS2_S4_EEEEvNS7_IPS2_S4_EET_SD_St20forward_iterator_tag@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateISsE5StateESaIS2_EE18_M_insert_dispatchIN9__gnu_cxx17__normal_iteratorIPKS2_S4_EEEEvNS7_IPS2_S4_EET_SD_St12__false_type@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateISsE5StateESaIS2_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateISsE5StateESaIS2_EE4backEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateISsE5StateESaIS2_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateISsE5StateESaIS2_EE5eraseEN9__gnu_cxx17__normal_iteratorIPS2_S4_EES8_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateISsE5StateESaIS2_EE6insertIN9__gnu_cxx17__normal_iteratorIPKS2_S4_EEEEvNS7_IPS2_S4_EET_SD_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateISsE5StateESaIS2_EE8_M_eraseEN9__gnu_cxx17__normal_iteratorIPS2_S4_EES8_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateISsE5StateESaIS2_EE9push_backERKS2_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateISsE5StateESaIS2_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateISsE5StateESaIS2_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateISsE5StateESaIS2_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateISsE5StateESaIS2_EED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt6vectorIN11SparseStateISsE5StateESaIS2_EEixEj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt6vectorIN11SparseStateISsE5StateESaIS2_EEixEm@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateIjE5StateESaIS2_EE13_M_insert_auxEN9__gnu_cxx17__normal_iteratorIPS2_S4_EERKS2_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateIjE5StateESaIS2_EE15_M_erase_at_endEPS2_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateIjE5StateESaIS2_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateIjE5StateESaIS2_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateIjE5StateESaIS2_EE5eraseEN9__gnu_cxx17__normal_iteratorIPS2_S4_EES8_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateIjE5StateESaIS2_EE8_M_eraseEN9__gnu_cxx17__normal_iteratorIPS2_S4_EES8_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateIjE5StateESaIS2_EE9push_backERKS2_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateIjE5StateESaIS2_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateIjE5StateESaIS2_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateIjE5StateESaIS2_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN11SparseStateIjE5StateESaIS2_EED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt6vectorIN11SparseStateIjE5StateESaIS2_EEixEj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt6vectorIN11SparseStateIjE5StateESaIS2_EEixEm@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN8Document19WatcherWithUserDataESaIS1_EE13_M_insert_auxEN9__gnu_cxx17__normal_iteratorIPS1_S3_EERKS1_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN8Document19WatcherWithUserDataESaIS1_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN8Document19WatcherWithUserDataESaIS1_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN8Document19WatcherWithUserDataESaIS1_EE5eraseEN9__gnu_cxx17__normal_iteratorIPS1_S3_EE@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN8Document19WatcherWithUserDataESaIS1_EE8_M_eraseEN9__gnu_cxx17__normal_iteratorIPS1_S3_EE@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN8Document19WatcherWithUserDataESaIS1_EE9push_backERKS1_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN8Document19WatcherWithUserDataESaIS1_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN8Document19WatcherWithUserDataESaIS1_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN8Document19WatcherWithUserDataESaIS1_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIN8Document19WatcherWithUserDataESaIS1_EED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt6vectorIP10LineLayoutSaIS1_EE14_M_fill_insertEN9__gnu_cxx17__normal_iteratorIPS1_S3_EEjRKS1_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt6vectorIP10LineLayoutSaIS1_EE14_M_fill_insertEN9__gnu_cxx17__normal_iteratorIPS1_S3_EEmRKS1_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP10LineLayoutSaIS1_EE15_M_erase_at_endEPS1_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP10LineLayoutSaIS1_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP10LineLayoutSaIS1_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP10LineLayoutSaIS1_EE5clearEv@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt6vectorIP10LineLayoutSaIS1_EE6insertEN9__gnu_cxx17__normal_iteratorIPS1_S3_EEjRKS1_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt6vectorIP10LineLayoutSaIS1_EE6insertEN9__gnu_cxx17__normal_iteratorIPS1_S3_EEmRKS1_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt6vectorIP10LineLayoutSaIS1_EE6resizeEjS1_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt6vectorIP10LineLayoutSaIS1_EE6resizeEmS1_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP10LineLayoutSaIS1_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP10LineLayoutSaIS1_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP10LineLayoutSaIS1_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP10LineLayoutSaIS1_EED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt6vectorIP10LineLayoutSaIS1_EEixEj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt6vectorIP10LineLayoutSaIS1_EEixEm@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP11LexerModuleSaIS1_EE13_M_insert_auxEN9__gnu_cxx17__normal_iteratorIPS1_S3_EERKS1_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP11LexerModuleSaIS1_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP11LexerModuleSaIS1_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP11LexerModuleSaIS1_EE9push_backERKS1_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP11LexerModuleSaIS1_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP11LexerModuleSaIS1_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP11LexerModuleSaIS1_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP11LexerModuleSaIS1_EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP14SelectionRangeSaIS1_EE13_M_insert_auxEN9__gnu_cxx17__normal_iteratorIPS1_S3_EERKS1_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP14SelectionRangeSaIS1_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP14SelectionRangeSaIS1_EE4rendEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP14SelectionRangeSaIS1_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP14SelectionRangeSaIS1_EE6rbeginEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP14SelectionRangeSaIS1_EE9push_backERKS1_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP14SelectionRangeSaIS1_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP14SelectionRangeSaIS1_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP14SelectionRangeSaIS1_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIP14SelectionRangeSaIS1_EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIPcSaIS0_EE13_M_insert_auxEN9__gnu_cxx17__normal_iteratorIPS0_S2_EERKS0_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIPcSaIS0_EE15_M_erase_at_endEPS0_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIPcSaIS0_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIPcSaIS0_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIPcSaIS0_EE5clearEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIPcSaIS0_EE9push_backERKS0_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIPcSaIS0_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIPcSaIS0_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIPcSaIS0_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIPcSaIS0_EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorISsSaISsEE13_M_insert_auxEN9__gnu_cxx17__normal_iteratorIPSsS1_EERKSs@Base 2.8.4 + (optional=templinst)_ZNSt6vectorISsSaISsEE15_M_erase_at_endEPSs@Base 2.8.4 + (optional=templinst)_ZNSt6vectorISsSaISsEE15_M_range_insertIN9__gnu_cxx17__normal_iteratorIPSsS1_EEEEvS6_T_S7_St20forward_iterator_tag@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt6vectorISsSaISsEE18_M_fill_initializeEjRKSs@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt6vectorISsSaISsEE18_M_fill_initializeEmRKSs@Base 2.9 + (optional=templinst)_ZNSt6vectorISsSaISsEE18_M_insert_dispatchIN9__gnu_cxx17__normal_iteratorIPSsS1_EEEEvS6_T_S7_St12__false_type@Base 2.8.4 + (optional=templinst)_ZNSt6vectorISsSaISsEE19_M_range_initializeIN9__gnu_cxx17__normal_iteratorIPSsS1_EEEEvT_S7_St20forward_iterator_tag@Base 2.8.4 + (optional=templinst)_ZNSt6vectorISsSaISsEE22_M_initialize_dispatchIN9__gnu_cxx17__normal_iteratorIPSsS1_EEEEvT_S7_St12__false_type@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt6vectorISsSaISsEE2atEj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt6vectorISsSaISsEE2atEm@Base 2.9 + (optional=templinst)_ZNSt6vectorISsSaISsEE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorISsSaISsEE4backEv@Base 2.9 + (optional=templinst)_ZNSt6vectorISsSaISsEE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorISsSaISsEE5eraseEN9__gnu_cxx17__normal_iteratorIPSsS1_EE@Base 2.9 + (optional=templinst)_ZNSt6vectorISsSaISsEE5eraseEN9__gnu_cxx17__normal_iteratorIPSsS1_EES5_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorISsSaISsEE6insertEN9__gnu_cxx17__normal_iteratorIPSsS1_EERKSs@Base 2.8.4 + (optional=templinst)_ZNSt6vectorISsSaISsEE6insertIN9__gnu_cxx17__normal_iteratorIPSsS1_EEEEvS6_T_S7_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorISsSaISsEE8_M_eraseEN9__gnu_cxx17__normal_iteratorIPSsS1_EE@Base 2.9 + (optional=templinst)_ZNSt6vectorISsSaISsEE8_M_eraseEN9__gnu_cxx17__normal_iteratorIPSsS1_EES5_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorISsSaISsEE9push_backERKSs@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt6vectorISsSaISsEEC1EjRKSsRKS0_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt6vectorISsSaISsEEC1EmRKSsRKS0_@Base 2.9 + (optional=templinst)_ZNSt6vectorISsSaISsEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorISsSaISsEEC1IN9__gnu_cxx17__normal_iteratorIPSsS1_EEEET_S7_RKS0_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt6vectorISsSaISsEEC2EjRKSsRKS0_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt6vectorISsSaISsEEC2EmRKSsRKS0_@Base 2.9 + (optional=templinst)_ZNSt6vectorISsSaISsEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorISsSaISsEEC2IN9__gnu_cxx17__normal_iteratorIPSsS1_EEEET_S7_RKS0_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorISsSaISsEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorISsSaISsEED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt6vectorISsSaISsEEixEj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt6vectorISsSaISsEEixEm@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt6vectorIcSaIcEE18_M_fill_initializeEjRKc@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt6vectorIcSaIcEE18_M_fill_initializeEmRKc@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt6vectorIcSaIcEEC1EjRKcRKS0_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt6vectorIcSaIcEEC1EmRKcRKS0_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt6vectorIcSaIcEEC2EjRKcRKS0_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt6vectorIcSaIcEEC2EmRKcRKS0_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIcSaIcEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIcSaIcEED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt6vectorIcSaIcEEixEj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt6vectorIcSaIcEEixEm@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIiSaIiEE13_M_insert_auxEN9__gnu_cxx17__normal_iteratorIPiS1_EERKi@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt6vectorIiSaIiEE14_M_fill_insertEN9__gnu_cxx17__normal_iteratorIPiS1_EEjRKi@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt6vectorIiSaIiEE14_M_fill_insertEN9__gnu_cxx17__normal_iteratorIPiS1_EEmRKi@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIiSaIiEE15_M_erase_at_endEPi@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIiSaIiEE18_M_insert_dispatchIiEEvN9__gnu_cxx17__normal_iteratorIPiS1_EET_S7_St11__true_type@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt6vectorIiSaIiEE20_M_allocate_and_copyIPiEES3_jT_S4_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt6vectorIiSaIiEE20_M_allocate_and_copyIPiEES3_mT_S4_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIiSaIiEE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIiSaIiEE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIiSaIiEE5clearEv@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIiSaIiEE6insertEN9__gnu_cxx17__normal_iteratorIPiS1_EERKi@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt6vectorIiSaIiEE6insertEN9__gnu_cxx17__normal_iteratorIPiS1_EEjRKi@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt6vectorIiSaIiEE6insertEN9__gnu_cxx17__normal_iteratorIPiS1_EEmRKi@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIiSaIiEE6insertIiEEvN9__gnu_cxx17__normal_iteratorIPiS1_EET_S7_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt6vectorIiSaIiEE6resizeEji@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt6vectorIiSaIiEE6resizeEmi@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt6vectorIiSaIiEE7reserveEj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt6vectorIiSaIiEE7reserveEm@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIiSaIiEE9push_backERKi@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIiSaIiEEC1ERKS1_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIiSaIiEEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIiSaIiEEC2ERKS1_@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIiSaIiEEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIiSaIiEED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt6vectorIiSaIiEED2Ev@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt6vectorIiSaIiEEixEj@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt6vectorIiSaIiEEixEm@Base 2.8.4 + (optional=templinst)_ZNSt7__equalILb0EE5equalIPN11SparseStateISsE5StateEPKS4_EEbT_S8_T0_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE10_M_insert_EPSt18_Rb_tree_node_baseSB_RKS3_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE11_M_get_nodeEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE11_M_leftmostEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE11_M_put_nodeEPSt13_Rb_tree_nodeIS3_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE11lower_boundERS2_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE12_M_rightmostEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE13_Rb_tree_implIS7_Lb0EE13_M_initializeEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE13_Rb_tree_implIS7_Lb0EEC1Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE13_Rb_tree_implIS7_Lb0EEC2Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE13_Rb_tree_implIS7_Lb0EED1Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE13_Rb_tree_implIS7_Lb0EED2Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE14_M_create_nodeERKS3_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE14_M_lower_boundEPSt13_Rb_tree_nodeIS3_ESC_RS2_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE15_M_destroy_nodeEPSt13_Rb_tree_nodeIS3_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE17_M_insert_unique_ESt23_Rb_tree_const_iteratorIS3_ERKS3_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE21_M_get_Node_allocatorEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE24_M_get_insert_unique_posERS2_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE29_M_get_insert_hint_unique_posESt23_Rb_tree_const_iteratorIS3_ERS2_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE3endEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE5beginEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE5clearEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE6_M_endEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE6_S_keyEPKSt13_Rb_tree_nodeIS3_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE6_S_keyEPKSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE7_M_rootEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE7_S_leftEPKSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE7_S_leftEPSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE8_M_beginEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE8_M_eraseEPSt13_Rb_tree_nodeIS3_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE8_S_rightEPKSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE8_S_rightEPSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE8_S_valueEPKSt13_Rb_tree_nodeIS3_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EE8_S_valueEPKSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EEC1Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EEC2Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EED1Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI12KeyModifiersSt4pairIKS0_jESt10_Select1stIS3_ESt4lessIS0_ESaIS3_EED2Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE10_M_insert_EPSt18_Rb_tree_node_baseSD_RKS5_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE11_M_get_nodeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE11_M_leftmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE11_M_put_nodeEPSt13_Rb_tree_nodeIS5_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE11lower_boundERS2_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE12_M_rightmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE13_Rb_tree_implIS9_Lb0EE13_M_initializeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE13_Rb_tree_implIS9_Lb0EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE13_Rb_tree_implIS9_Lb0EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE13_Rb_tree_implIS9_Lb0EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE13_Rb_tree_implIS9_Lb0EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE14_M_create_nodeERKS5_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE14_M_lower_boundEPSt13_Rb_tree_nodeIS5_ESE_RS2_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE15_M_destroy_nodeEPSt13_Rb_tree_nodeIS5_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE17_M_insert_unique_ESt23_Rb_tree_const_iteratorIS5_ERKS5_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE24_M_get_insert_unique_posERS2_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE29_M_get_insert_hint_unique_posESt23_Rb_tree_const_iteratorIS5_ERS2_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE4findERS2_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE5clearEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE6_M_endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE6_S_keyEPKSt13_Rb_tree_nodeIS5_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE6_S_keyEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE7_M_rootEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE7_S_leftEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE8_M_beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE8_M_eraseEPSt13_Rb_tree_nodeIS5_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE8_S_rightEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE8_S_valueEPKSt13_Rb_tree_nodeIS5_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EE8_S_valueEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeI17FontSpecificationSt4pairIKS0_P12FontRealisedESt10_Select1stIS5_ESt4lessIS0_ESaIS5_EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE10_M_insert_EPSt18_Rb_tree_node_baseSC_RKS4_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE10_S_maximumEPSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE10_S_minimumEPSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE11_M_get_nodeEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE11_M_leftmostEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE11_M_put_nodeEPSt13_Rb_tree_nodeIS4_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE11equal_rangeERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE11lower_boundERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE12_M_erase_auxESt23_Rb_tree_const_iteratorIS4_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE12_M_erase_auxESt23_Rb_tree_const_iteratorIS4_ESC_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE12_M_rightmostEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE13_M_clone_nodeEPKSt13_Rb_tree_nodeIS4_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE13_Rb_tree_implIS8_Lb0EE13_M_initializeEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE13_Rb_tree_implIS8_Lb0EEC1ERKS8_RKSaISt13_Rb_tree_nodeIS4_EE@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE13_Rb_tree_implIS8_Lb0EEC1Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE13_Rb_tree_implIS8_Lb0EEC2ERKS8_RKSaISt13_Rb_tree_nodeIS4_EE@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE13_Rb_tree_implIS8_Lb0EEC2Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE13_Rb_tree_implIS8_Lb0EED1Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE13_Rb_tree_implIS8_Lb0EED2Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE14_M_create_nodeERKS4_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE14_M_lower_boundEPSt13_Rb_tree_nodeIS4_ESD_RS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE14_M_upper_boundEPSt13_Rb_tree_nodeIS4_ESD_RS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE15_M_destroy_nodeEPSt13_Rb_tree_nodeIS4_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE17_M_insert_unique_ESt23_Rb_tree_const_iteratorIS4_ERKS4_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE21_M_get_Node_allocatorEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE24_M_get_insert_unique_posERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE29_M_get_insert_hint_unique_posESt23_Rb_tree_const_iteratorIS4_ERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE3endEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE4findERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE5beginEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE5clearEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE5eraseERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE5eraseESt17_Rb_tree_iteratorIS4_ESC_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE5eraseESt23_Rb_tree_const_iteratorIS4_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE6_M_endEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE6_S_keyEPKSt13_Rb_tree_nodeIS4_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE6_S_keyEPKSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE7_M_copyEPKSt13_Rb_tree_nodeIS4_EPSC_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE7_M_rootEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE7_S_leftEPKSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE7_S_leftEPSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE8_M_beginEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE8_M_eraseEPSt13_Rb_tree_nodeIS4_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE8_S_rightEPKSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE8_S_rightEPSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE8_S_valueEPKSt13_Rb_tree_nodeIS4_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE8_S_valueEPKSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EEC1ERKSA_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EEC1Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EEC2ERKSA_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EEC2Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EED1Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN12LexerVerilog11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EED2Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE10_M_insert_EPSt18_Rb_tree_node_baseSC_RKS4_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE10_S_maximumEPSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE10_S_minimumEPSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE11_M_get_nodeEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE11_M_leftmostEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE11_M_put_nodeEPSt13_Rb_tree_nodeIS4_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE11equal_rangeERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE11lower_boundERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE12_M_erase_auxESt23_Rb_tree_const_iteratorIS4_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE12_M_erase_auxESt23_Rb_tree_const_iteratorIS4_ESC_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE12_M_rightmostEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE13_M_clone_nodeEPKSt13_Rb_tree_nodeIS4_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE13_Rb_tree_implIS8_Lb0EE13_M_initializeEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE13_Rb_tree_implIS8_Lb0EEC1ERKS8_RKSaISt13_Rb_tree_nodeIS4_EE@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE13_Rb_tree_implIS8_Lb0EEC1Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE13_Rb_tree_implIS8_Lb0EEC2ERKS8_RKSaISt13_Rb_tree_nodeIS4_EE@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE13_Rb_tree_implIS8_Lb0EEC2Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE13_Rb_tree_implIS8_Lb0EED1Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE13_Rb_tree_implIS8_Lb0EED2Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE14_M_create_nodeERKS4_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE14_M_lower_boundEPSt13_Rb_tree_nodeIS4_ESD_RS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE14_M_upper_boundEPSt13_Rb_tree_nodeIS4_ESD_RS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE15_M_destroy_nodeEPSt13_Rb_tree_nodeIS4_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE17_M_insert_unique_ESt23_Rb_tree_const_iteratorIS4_ERKS4_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE21_M_get_Node_allocatorEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE24_M_get_insert_unique_posERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE29_M_get_insert_hint_unique_posESt23_Rb_tree_const_iteratorIS4_ERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE3endEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE4findERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE5beginEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE5clearEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE5eraseERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE5eraseESt17_Rb_tree_iteratorIS4_ESC_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE5eraseESt23_Rb_tree_const_iteratorIS4_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE6_M_endEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE6_S_keyEPKSt13_Rb_tree_nodeIS4_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE6_S_keyEPKSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE7_M_copyEPKSt13_Rb_tree_nodeIS4_EPSC_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE7_M_rootEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE7_S_leftEPKSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE7_S_leftEPSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE8_M_beginEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE8_M_eraseEPSt13_Rb_tree_nodeIS4_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE8_S_rightEPKSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE8_S_rightEPSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE8_S_valueEPKSt13_Rb_tree_nodeIS4_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EE8_S_valueEPKSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EEC1ERKSA_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EEC1Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EEC2ERKSA_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EEC2Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EED1Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN8LexerCPP11SymbolValueEESt10_Select1stIS4_ESt4lessISsESaIS4_EED2Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE10_M_insert_EPSt18_Rb_tree_node_baseSE_RKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_get_nodeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_leftmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_put_nodeEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11lower_boundERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE12_M_rightmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EE13_M_initializeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE14_M_create_nodeERKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE14_M_lower_boundEPSt13_Rb_tree_nodeIS6_ESF_RS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE15_M_destroy_nodeEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE17_M_insert_unique_ESt23_Rb_tree_const_iteratorIS6_ERKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE24_M_get_insert_unique_posERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE29_M_get_insert_hint_unique_posESt23_Rb_tree_const_iteratorIS6_ERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE4findERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_M_endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_S_keyEPKSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_S_keyEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE7_S_leftEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_M_beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_M_eraseEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_rightEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_valueEPKSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_valueEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE10_M_insert_EPSt18_Rb_tree_node_baseSE_RKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_get_nodeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_leftmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_put_nodeEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11lower_boundERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE12_M_rightmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EE13_M_initializeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE14_M_create_nodeERKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE14_M_lower_boundEPSt13_Rb_tree_nodeIS6_ESF_RS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE15_M_destroy_nodeEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE17_M_insert_unique_ESt23_Rb_tree_const_iteratorIS6_ERKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE24_M_get_insert_unique_posERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE29_M_get_insert_hint_unique_posESt23_Rb_tree_const_iteratorIS6_ERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE4findERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_M_endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_S_keyEPKSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_S_keyEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE7_S_leftEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_M_beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_M_eraseEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_rightEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_valueEPKSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_valueEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE10_M_insert_EPSt18_Rb_tree_node_baseSE_RKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_get_nodeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_leftmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_put_nodeEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11lower_boundERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE12_M_rightmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EE13_M_initializeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE14_M_create_nodeERKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE14_M_lower_boundEPSt13_Rb_tree_nodeIS6_ESF_RS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE15_M_destroy_nodeEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE17_M_insert_unique_ESt23_Rb_tree_const_iteratorIS6_ERKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE24_M_get_insert_unique_posERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE29_M_get_insert_hint_unique_posESt23_Rb_tree_const_iteratorIS6_ERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE4findERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_M_endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_S_keyEPKSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_S_keyEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE7_S_leftEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_M_beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_M_eraseEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_rightEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_valueEPKSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_valueEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE10_M_insert_EPSt18_Rb_tree_node_baseSE_RKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_get_nodeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_leftmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_put_nodeEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11lower_boundERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE12_M_rightmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EE13_M_initializeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE14_M_create_nodeERKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE14_M_lower_boundEPSt13_Rb_tree_nodeIS6_ESF_RS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE15_M_destroy_nodeEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE17_M_insert_unique_ESt23_Rb_tree_const_iteratorIS6_ERKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE24_M_get_insert_unique_posERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE29_M_get_insert_hint_unique_posESt23_Rb_tree_const_iteratorIS6_ERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE4findERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_M_endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_S_keyEPKSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_S_keyEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE7_S_leftEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_M_beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_M_eraseEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_rightEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_valueEPKSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_valueEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE10_M_insert_EPSt18_Rb_tree_node_baseSE_RKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_get_nodeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_leftmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_put_nodeEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11lower_boundERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE12_M_rightmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EE13_M_initializeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE14_M_create_nodeERKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE14_M_lower_boundEPSt13_Rb_tree_nodeIS6_ESF_RS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE15_M_destroy_nodeEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE17_M_insert_unique_ESt23_Rb_tree_const_iteratorIS6_ERKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE24_M_get_insert_unique_posERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE29_M_get_insert_hint_unique_posESt23_Rb_tree_const_iteratorIS6_ERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE4findERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_M_endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_S_keyEPKSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_S_keyEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE7_S_leftEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_M_beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_M_eraseEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_rightEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_valueEPKSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_valueEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE10_M_insert_EPSt18_Rb_tree_node_baseSE_RKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_get_nodeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_leftmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_put_nodeEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11lower_boundERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE12_M_rightmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EE13_M_initializeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE14_M_create_nodeERKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE14_M_lower_boundEPSt13_Rb_tree_nodeIS6_ESF_RS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE15_M_destroy_nodeEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE17_M_insert_unique_ESt23_Rb_tree_const_iteratorIS6_ERKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE24_M_get_insert_unique_posERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE29_M_get_insert_hint_unique_posESt23_Rb_tree_const_iteratorIS6_ERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE4findERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_M_endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_S_keyEPKSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_S_keyEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE7_S_leftEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_M_beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_M_eraseEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_rightEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_valueEPKSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_valueEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE10_M_insert_EPSt18_Rb_tree_node_baseSE_RKS6_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_get_nodeEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_leftmostEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_put_nodeEPSt13_Rb_tree_nodeIS6_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11lower_boundERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE12_M_rightmostEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EE13_M_initializeEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EEC1Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EEC2Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EED1Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EED2Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE14_M_create_nodeERKS6_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE14_M_lower_boundEPSt13_Rb_tree_nodeIS6_ESF_RS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE15_M_destroy_nodeEPSt13_Rb_tree_nodeIS6_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE17_M_insert_unique_ESt23_Rb_tree_const_iteratorIS6_ERKS6_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE21_M_get_Node_allocatorEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE24_M_get_insert_unique_posERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE29_M_get_insert_hint_unique_posESt23_Rb_tree_const_iteratorIS6_ERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE3endEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE4findERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE5beginEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_M_endEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_S_keyEPKSt13_Rb_tree_nodeIS6_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_S_keyEPKSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE7_S_leftEPSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_M_beginEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_M_eraseEPSt13_Rb_tree_nodeIS6_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_rightEPSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_valueEPKSt13_Rb_tree_nodeIS6_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_valueEPKSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EEC1Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EEC2Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EED1Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EED2Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_put_nodeEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EE13_M_initializeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE14_M_lower_boundEPSt13_Rb_tree_nodeIS6_ESF_RS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE15_M_destroy_nodeEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE4findERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_M_endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_S_keyEPKSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_S_keyEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE7_S_leftEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_M_beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_M_eraseEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_rightEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_valueEPKSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_valueEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE10_M_insert_EPSt18_Rb_tree_node_baseSE_RKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_get_nodeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_leftmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11_M_put_nodeEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE11lower_boundERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE12_M_rightmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EE13_M_initializeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE13_Rb_tree_implISA_Lb0EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE14_M_create_nodeERKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE14_M_lower_boundEPSt13_Rb_tree_nodeIS6_ESF_RS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE15_M_destroy_nodeEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE17_M_insert_unique_ESt23_Rb_tree_const_iteratorIS6_ERKS6_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE24_M_get_insert_unique_posERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE29_M_get_insert_hint_unique_posESt23_Rb_tree_const_iteratorIS6_ERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE4findERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_M_endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_S_keyEPKSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE6_S_keyEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE7_S_leftEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_M_beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_M_eraseEPSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_rightEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_valueEPKSt13_Rb_tree_nodeIS6_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EE8_S_valueEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsN9OptionSetI8OptionsDE6OptionEESt10_Select1stIS6_ESt4lessISsESaIS6_EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE10_M_insert_EPSt18_Rb_tree_node_baseSA_RKS2_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE11_M_get_nodeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE11_M_leftmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE11_M_put_nodeEPSt13_Rb_tree_nodeIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE11lower_boundERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE12_M_rightmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE13_Rb_tree_implIS6_Lb0EE13_M_initializeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE13_Rb_tree_implIS6_Lb0EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE13_Rb_tree_implIS6_Lb0EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE13_Rb_tree_implIS6_Lb0EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE13_Rb_tree_implIS6_Lb0EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE14_M_create_nodeERKS2_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE14_M_lower_boundEPSt13_Rb_tree_nodeIS2_ESB_RS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE15_M_destroy_nodeEPSt13_Rb_tree_nodeIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE17_M_insert_unique_ESt23_Rb_tree_const_iteratorIS2_ERKS2_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE24_M_get_insert_unique_posERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE29_M_get_insert_hint_unique_posESt23_Rb_tree_const_iteratorIS2_ERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE4findERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE6_M_endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE6_S_keyEPKSt13_Rb_tree_nodeIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE6_S_keyEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE7_S_leftEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE8_M_beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE8_M_eraseEPSt13_Rb_tree_nodeIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE8_S_rightEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE8_S_valueEPKSt13_Rb_tree_nodeIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE8_S_valueEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE10_M_insert_EPSt18_Rb_tree_node_baseSA_RKS2_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE10_S_maximumEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE10_S_minimumEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE11_M_get_nodeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE11_M_leftmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE11_M_put_nodeEPSt13_Rb_tree_nodeIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE11lower_boundERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE12_M_rightmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE13_M_clone_nodeEPKSt13_Rb_tree_nodeIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE13_Rb_tree_implIS6_Lb0EE13_M_initializeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE13_Rb_tree_implIS6_Lb0EEC1ERKS6_RKSaISt13_Rb_tree_nodeIS2_EE@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE13_Rb_tree_implIS6_Lb0EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE13_Rb_tree_implIS6_Lb0EEC2ERKS6_RKSaISt13_Rb_tree_nodeIS2_EE@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE13_Rb_tree_implIS6_Lb0EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE13_Rb_tree_implIS6_Lb0EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE13_Rb_tree_implIS6_Lb0EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE14_M_create_nodeERKS2_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE14_M_lower_boundEPSt13_Rb_tree_nodeIS2_ESB_RS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE15_M_destroy_nodeEPSt13_Rb_tree_nodeIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE17_M_insert_unique_ESt23_Rb_tree_const_iteratorIS2_ERKS2_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE24_M_get_insert_unique_posERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE29_M_get_insert_hint_unique_posESt23_Rb_tree_const_iteratorIS2_ERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE5clearEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE6_M_endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE6_S_keyEPKSt13_Rb_tree_nodeIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE6_S_keyEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE7_M_copyEPKSt13_Rb_tree_nodeIS2_EPSA_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE7_M_rootEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE7_S_leftEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE7_S_leftEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE8_M_beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE8_M_eraseEPSt13_Rb_tree_nodeIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE8_S_rightEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE8_S_rightEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE8_S_valueEPKSt13_Rb_tree_nodeIS2_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EE8_S_valueEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EEC1ERKS8_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EEC2ERKS8_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeISsSt4pairIKSsiESt10_Select1stIS2_ESt4lessISsESaIS2_EEaSERKS8_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE10_M_insert_EPSt18_Rb_tree_node_baseSB_RKS3_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE11_M_get_nodeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE11_M_leftmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE11_M_put_nodeEPSt13_Rb_tree_nodeIS3_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE11lower_boundERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE12_M_erase_auxESt23_Rb_tree_const_iteratorIS3_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE12_M_rightmostEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE13_Rb_tree_implIS7_Lb0EE13_M_initializeEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE13_Rb_tree_implIS7_Lb0EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE13_Rb_tree_implIS7_Lb0EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE13_Rb_tree_implIS7_Lb0EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE13_Rb_tree_implIS7_Lb0EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE14_M_create_nodeERKS3_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE14_M_lower_boundEPSt13_Rb_tree_nodeIS3_ESC_RS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE15_M_destroy_nodeEPSt13_Rb_tree_nodeIS3_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE17_M_insert_unique_ESt23_Rb_tree_const_iteratorIS3_ERKS3_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE21_M_get_Node_allocatorEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE24_M_get_insert_unique_posERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE29_M_get_insert_hint_unique_posESt23_Rb_tree_const_iteratorIS3_ERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE3endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE4findERS1_@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE5beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE5clearEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE5eraseESt17_Rb_tree_iteratorIS3_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE6_M_endEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE6_S_keyEPKSt13_Rb_tree_nodeIS3_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE6_S_keyEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE7_M_rootEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE7_S_leftEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE7_S_leftEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE8_M_beginEv@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE8_M_eraseEPSt13_Rb_tree_nodeIS3_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE8_S_rightEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE8_S_rightEPSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE8_S_valueEPKSt13_Rb_tree_nodeIS3_E@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EE8_S_valueEPKSt18_Rb_tree_node_base@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EEC1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EEC2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EED1Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKi14RepresentationESt10_Select1stIS3_ESt4lessIiESaIS3_EED2Ev@Base 2.8.4 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE10_M_insert_EPSt18_Rb_tree_node_baseSA_RKS2_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE11_M_get_nodeEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE11_M_leftmostEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE11_M_put_nodeEPSt13_Rb_tree_nodeIS2_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE11lower_boundERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE11upper_boundERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE12_M_erase_auxESt23_Rb_tree_const_iteratorIS2_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE12_M_erase_auxESt23_Rb_tree_const_iteratorIS2_ESA_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE12_M_rightmostEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE13_Rb_tree_implIS6_Lb0EE13_M_initializeEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE13_Rb_tree_implIS6_Lb0EEC1Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE13_Rb_tree_implIS6_Lb0EEC2Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE13_Rb_tree_implIS6_Lb0EED1Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE13_Rb_tree_implIS6_Lb0EED2Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE14_M_create_nodeERKS2_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE14_M_lower_boundEPSt13_Rb_tree_nodeIS2_ESB_RS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE14_M_upper_boundEPSt13_Rb_tree_nodeIS2_ESB_RS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE15_M_destroy_nodeEPSt13_Rb_tree_nodeIS2_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE17_M_insert_unique_ESt23_Rb_tree_const_iteratorIS2_ERKS2_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE21_M_get_Node_allocatorEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE24_M_get_insert_unique_posERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE29_M_get_insert_hint_unique_posESt23_Rb_tree_const_iteratorIS2_ERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE3endEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE4findERS1_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE5beginEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE5clearEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE5eraseESt17_Rb_tree_iteratorIS2_ESA_@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE5eraseESt23_Rb_tree_const_iteratorIS2_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE6_M_endEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE6_S_keyEPKSt13_Rb_tree_nodeIS2_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE6_S_keyEPKSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE7_M_rootEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE7_S_leftEPSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE8_M_beginEv@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE8_M_eraseEPSt13_Rb_tree_nodeIS2_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE8_S_rightEPSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE8_S_valueEPKSt13_Rb_tree_nodeIS2_E@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EE8_S_valueEPKSt18_Rb_tree_node_base@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EEC1Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EEC2Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EED1Ev@Base 2.9 + (optional=templinst)_ZNSt8_Rb_treeIiSt4pairIKiiESt10_Select1stIS2_ESt4lessIiESaIS2_EED2Ev@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt8iteratorISt26random_access_iterator_tagP14SelectionRangeiPS2_RS2_EC1Ev@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZNSt8iteratorISt26random_access_iterator_tagP14SelectionRangeiPS2_RS2_EC2Ev@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt8iteratorISt26random_access_iterator_tagP14SelectionRangelPS2_RS2_EC1Ev@Base 2.8.4 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZNSt8iteratorISt26random_access_iterator_tagP14SelectionRangelPS2_RS2_EC2Ev@Base 2.8.4 + (optional=templinst)_ZSt10_ConstructI13latexFoldSaveS0_EvPT_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt10_ConstructI14SelectionRangeS0_EvPT_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt10_ConstructI14WordClassifierS0_EvPT_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt10_ConstructI18PositionCacheEntryS0_EvPT_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt10_ConstructI5StyleS0_EvPT_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt10_ConstructIN11SparseStateISsE5StateES2_EvPT_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt10_ConstructIN11SparseStateIjE5StateES2_EvPT_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt10_ConstructIN8Document19WatcherWithUserDataES1_EvPT_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt10_ConstructISsSsEvPT_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt10__distanceIN9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEEENSt15iterator_traitsIT_E15difference_typeESC_SC_St26random_access_iterator_tag@Base 2.8.4 + (optional=templinst)_ZSt10__distanceIN9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEEENSt15iterator_traitsIT_E15difference_typeESB_SB_St26random_access_iterator_tag@Base 2.8.4 + (optional=templinst)_ZSt10__distanceIN9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS4_SaIS4_EEEEENSt15iterator_traitsIT_E15difference_typeESB_SB_St26random_access_iterator_tag@Base 2.8.4 + (optional=templinst)_ZSt10__distanceIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEEENSt15iterator_traitsIT_E15difference_typeES8_S8_St26random_access_iterator_tag@Base 2.8.4 + (optional=templinst)_ZSt10__distanceIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEEENSt15iterator_traitsIT_E15difference_typeES8_S8_St26random_access_iterator_tag@Base 2.8.4 + (optional=templinst)_ZSt10__distanceIPKiENSt15iterator_traitsIT_E15difference_typeES3_S3_St26random_access_iterator_tag@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt10__fill_n_aIPP10LineLayoutjS1_EN9__gnu_cxx11__enable_ifIXsrSt11__is_scalarIT1_E7__valueET_E6__typeES8_T0_RKS6_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt10__fill_n_aIPP10LineLayoutmS1_EN9__gnu_cxx11__enable_ifIXsrSt11__is_scalarIT1_E7__valueET_E6__typeES8_T0_RKS6_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt10__fill_n_aIPijiEN9__gnu_cxx11__enable_ifIXsrSt11__is_scalarIT1_E7__valueET_E6__typeES6_T0_RKS4_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt10__fill_n_aIPimiEN9__gnu_cxx11__enable_ifIXsrSt11__is_scalarIT1_E7__valueET_E6__typeES6_T0_RKS4_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt10__fill_n_aIjcEN9__gnu_cxx11__enable_ifIXsrSt9__is_byteIT0_E7__valueEPS3_E6__typeES5_T_RKS3_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt10__fill_n_aImcEN9__gnu_cxx11__enable_ifIXsrSt9__is_byteIT0_E7__valueEPS3_E6__typeES5_T_RKS3_@Base 2.8.4 + (optional=templinst)_ZSt10__pop_heapIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEENS0_5__ops15_Iter_less_iterEEvT_SA_SA_T0_@Base 2.8.4 + (optional=templinst)_ZSt10__pop_heapIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEENS0_5__ops15_Iter_comp_iterIPFbPKS2_SC_EEEEvT_SG_SG_T0_@Base 2.8.4 + (optional=templinst)_ZSt10__pop_heapIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEENS0_5__ops15_Iter_comp_iterI6SorterEEEvT_SB_SB_T0_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofI13latexFoldSaveEPT_RS1_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofI14SelectionRangeEPT_RS1_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofI14WordClassifierEPT_RS1_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofI18PositionCacheEntryEPT_RS1_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofI5StyleEPT_RS1_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofIKSt4pairIK12KeyModifiersjEEPT_RS5_@Base 2.9 + (optional=templinst)_ZSt11__addressofIKSt4pairIK17FontSpecificationP12FontRealisedEEPT_RS7_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofIKSt4pairIKSsN12LexerVerilog11SymbolValueEEEPT_RS6_@Base 2.9 + (optional=templinst)_ZSt11__addressofIKSt4pairIKSsN8LexerCPP11SymbolValueEEEPT_RS6_@Base 2.9 + (optional=templinst)_ZSt11__addressofIKSt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEPT_RS8_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofIKSt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEPT_RS8_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofIKSt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEPT_RS8_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofIKSt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEPT_RS8_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofIKSt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEPT_RS8_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofIKSt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEPT_RS8_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofIKSt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEPT_RS8_@Base 2.9 + (optional=templinst)_ZSt11__addressofIKSt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEPT_RS8_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofIKSt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEPT_RS8_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofIKSt4pairIKSsSsEEPT_RS4_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofIKSt4pairIKSsiEEPT_RS4_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofIKSt4pairIKi14RepresentationEEPT_RS5_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofIKSt4pairIKiiEEPT_RS4_@Base 2.9 + (optional=templinst)_ZSt11__addressofIN11SparseStateISsE5StateEEPT_RS3_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofIN11SparseStateIjE5StateEEPT_RS3_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofIN8Document19WatcherWithUserDataEEPT_RS2_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofISsEPT_RS0_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofISt4pairIK12KeyModifiersjEEPT_RS4_@Base 2.9 + (optional=templinst)_ZSt11__addressofISt4pairIK17FontSpecificationP12FontRealisedEEPT_RS6_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofISt4pairIKSsN12LexerVerilog11SymbolValueEEEPT_RS5_@Base 2.9 + (optional=templinst)_ZSt11__addressofISt4pairIKSsN8LexerCPP11SymbolValueEEEPT_RS5_@Base 2.9 + (optional=templinst)_ZSt11__addressofISt4pairIKSsN9OptionSetI10OptionsAsmE6OptionEEEPT_RS7_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofISt4pairIKSsN9OptionSetI10OptionsSQLE6OptionEEEPT_RS7_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofISt4pairIKSsN9OptionSetI11OptionsPerlE6OptionEEEPT_RS7_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofISt4pairIKSsN9OptionSetI11OptionsRustE6OptionEEEPT_RS7_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofISt4pairIKSsN9OptionSetI12OptionsBasicE6OptionEEEPT_RS7_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofISt4pairIKSsN9OptionSetI14OptionsHaskellE6OptionEEEPT_RS7_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofISt4pairIKSsN9OptionSetI15OptionsRegistryE6OptionEEEPT_RS7_@Base 2.9 + (optional=templinst)_ZSt11__addressofISt4pairIKSsN9OptionSetI19OptionsVisualPrologE6OptionEEEPT_RS7_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofISt4pairIKSsN9OptionSetI8OptionsDE6OptionEEEPT_RS7_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofISt4pairIKSsSsEEPT_RS3_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofISt4pairIKSsiEEPT_RS3_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofISt4pairIKi14RepresentationEEPT_RS4_@Base 2.8.4 + (optional=templinst)_ZSt11__addressofISt4pairIKiiEEPT_RS3_@Base 2.9 + (optional=templinst)_ZSt11__equal_auxIPN11SparseStateISsE5StateEPKS2_EbT_S6_T0_@Base 2.8.4 + (optional=templinst)_ZSt11__make_heapIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEENS0_5__ops15_Iter_less_iterEEvT_SA_T0_@Base 2.8.4 + (optional=templinst)_ZSt11__make_heapIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEENS0_5__ops15_Iter_comp_iterIPFbPKS2_SC_EEEEvT_SG_T0_@Base 2.8.4 + (optional=templinst)_ZSt11__make_heapIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEENS0_5__ops15_Iter_comp_iterI6SorterEEEvT_SB_T0_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt11__push_heapIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEEiS2_NS0_5__ops14_Iter_less_valEEvT_T0_SB_T1_T2_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt11__push_heapIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEElS2_NS0_5__ops14_Iter_less_valEEvT_T0_SB_T1_T2_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt11__push_heapIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEEiS3_NS0_5__ops14_Iter_comp_valIPFbPKS2_SC_EEEEvT_T0_SH_T1_T2_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt11__push_heapIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEElS3_NS0_5__ops14_Iter_comp_valIPFbPKS2_SC_EEEEvT_T0_SH_T1_T2_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt11__push_heapIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEEiiNS0_5__ops14_Iter_comp_valI6SorterEEEvT_T0_SC_T1_T2_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt11__push_heapIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEEliNS0_5__ops14_Iter_comp_valI6SorterEEEvT_T0_SC_T1_T2_@Base 2.8.4 + (optional=templinst)_ZSt11__remove_ifIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEENS0_5__ops10_Iter_predIPFbRKSsEEEET_SE_SE_T0_@Base 2.9 + (optional=templinst)_ZSt11__sort_heapIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEENS0_5__ops15_Iter_less_iterEEvT_SA_T0_@Base 2.8.4 + (optional=templinst)_ZSt11__sort_heapIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEENS0_5__ops15_Iter_comp_iterIPFbPKS2_SC_EEEEvT_SG_T0_@Base 2.8.4 + (optional=templinst)_ZSt11__sort_heapIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEENS0_5__ops15_Iter_comp_iterI6SorterEEEvT_SB_T0_@Base 2.8.4 + (optional=templinst)_ZSt11lower_boundIN9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEES4_ET_SA_SA_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt11lower_boundIN9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS4_SaIS4_EEEES4_ET_SA_SA_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt11lower_boundIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEEiET_S7_S7_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt11lower_boundIPKiiET_S2_S2_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEEENSt11_Miter_baseIT_E13iterator_typeES9_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIN9__gnu_cxx17__normal_iteratorIPK14SelectionRangeSt6vectorIS2_SaIS2_EEEEENSt11_Miter_baseIT_E13iterator_typeESA_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIN9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEEENSt11_Miter_baseIT_E13iterator_typeESC_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIN9__gnu_cxx17__normal_iteratorIPKiSt6vectorIiSaIiEEEEENSt11_Miter_baseIT_E13iterator_typeES9_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIN9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEEENSt11_Miter_baseIT_E13iterator_typeESB_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIN9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS4_SaIS4_EEEEENSt11_Miter_baseIT_E13iterator_typeESB_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIN9__gnu_cxx17__normal_iteratorIPN8Document19WatcherWithUserDataESt6vectorIS3_SaIS3_EEEEENSt11_Miter_baseIT_E13iterator_typeESA_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEEENSt11_Miter_baseIT_E13iterator_typeESA_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEEENSt11_Miter_baseIT_E13iterator_typeES8_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEEENSt11_Miter_baseIT_E13iterator_typeES8_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIP13latexFoldSaveENSt11_Miter_baseIT_E13iterator_typeES3_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIP14SelectionRangeENSt11_Miter_baseIT_E13iterator_typeES3_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIP14WordClassifierENSt11_Miter_baseIT_E13iterator_typeES3_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIP18PositionCacheEntryENSt11_Miter_baseIT_E13iterator_typeES3_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIP5StyleENSt11_Miter_baseIT_E13iterator_typeES3_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIP9DrawPhaseENSt11_Miter_baseIT_E13iterator_typeES3_@Base 2.9 + (optional=templinst)_ZSt12__miter_baseIPN11SparseStateISsE5StateEENSt11_Miter_baseIT_E13iterator_typeES5_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIPN11SparseStateIjE5StateEENSt11_Miter_baseIT_E13iterator_typeES5_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIPN8Document19WatcherWithUserDataEENSt11_Miter_baseIT_E13iterator_typeES4_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIPP10LineLayoutENSt11_Miter_baseIT_E13iterator_typeES4_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIPP11LexerModuleENSt11_Miter_baseIT_E13iterator_typeES4_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIPP14SelectionRangeENSt11_Miter_baseIT_E13iterator_typeES4_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIPPcENSt11_Miter_baseIT_E13iterator_typeES3_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIPSsENSt11_Miter_baseIT_E13iterator_typeES2_@Base 2.8.4 + (optional=templinst)_ZSt12__miter_baseIPiENSt11_Miter_baseIT_E13iterator_typeES2_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEEENSt11_Niter_baseIT_E13iterator_typeES9_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIN9__gnu_cxx17__normal_iteratorIPK14SelectionRangeSt6vectorIS2_SaIS2_EEEEENSt11_Niter_baseIT_E13iterator_typeESA_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIN9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEEENSt11_Niter_baseIT_E13iterator_typeESC_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIN9__gnu_cxx17__normal_iteratorIPKiSt6vectorIiSaIiEEEEENSt11_Niter_baseIT_E13iterator_typeES9_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIN9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEEENSt11_Niter_baseIT_E13iterator_typeESB_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIN9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS4_SaIS4_EEEEENSt11_Niter_baseIT_E13iterator_typeESB_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIN9__gnu_cxx17__normal_iteratorIPN8Document19WatcherWithUserDataESt6vectorIS3_SaIS3_EEEEENSt11_Niter_baseIT_E13iterator_typeESA_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEEENSt11_Niter_baseIT_E13iterator_typeESA_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEEENSt11_Niter_baseIT_E13iterator_typeES8_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEEENSt11_Niter_baseIT_E13iterator_typeES8_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIP13latexFoldSaveENSt11_Niter_baseIT_E13iterator_typeES3_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIP14SelectionRangeENSt11_Niter_baseIT_E13iterator_typeES3_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIP14WordClassifierENSt11_Niter_baseIT_E13iterator_typeES3_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIP18PositionCacheEntryENSt11_Niter_baseIT_E13iterator_typeES3_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIP5StyleENSt11_Niter_baseIT_E13iterator_typeES3_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIP9DrawPhaseENSt11_Niter_baseIT_E13iterator_typeES3_@Base 2.9 + (optional=templinst)_ZSt12__niter_baseIPN11SparseStateISsE5StateEENSt11_Niter_baseIT_E13iterator_typeES5_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIPN11SparseStateIjE5StateEENSt11_Niter_baseIT_E13iterator_typeES5_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIPN8Document19WatcherWithUserDataEENSt11_Niter_baseIT_E13iterator_typeES4_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIPP10LineLayoutENSt11_Niter_baseIT_E13iterator_typeES4_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIPP11LexerModuleENSt11_Niter_baseIT_E13iterator_typeES4_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIPP14SelectionRangeENSt11_Niter_baseIT_E13iterator_typeES4_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIPP15MarkerHandleSetENSt11_Niter_baseIT_E13iterator_typeES4_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIPPSt6vectorIiSaIiEEENSt11_Niter_baseIT_E13iterator_typeES6_@Base 2.9 + (optional=templinst)_ZSt12__niter_baseIPPcENSt11_Niter_baseIT_E13iterator_typeES3_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIPSsENSt11_Niter_baseIT_E13iterator_typeES2_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIPcENSt11_Niter_baseIT_E13iterator_typeES2_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIPfENSt11_Niter_baseIT_E13iterator_typeES2_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIPhENSt11_Niter_baseIT_E13iterator_typeES2_@Base 2.9 + (optional=templinst)_ZSt12__niter_baseIPiENSt11_Niter_baseIT_E13iterator_typeES2_@Base 2.8.4 + (optional=templinst)_ZSt12__niter_baseIPsENSt11_Niter_baseIT_E13iterator_typeES2_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt13__adjust_heapIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEEiS2_NS0_5__ops15_Iter_less_iterEEvT_T0_SB_T1_T2_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt13__adjust_heapIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEElS2_NS0_5__ops15_Iter_less_iterEEvT_T0_SB_T1_T2_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt13__adjust_heapIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEEiS3_NS0_5__ops15_Iter_comp_iterIPFbPKS2_SC_EEEEvT_T0_SH_T1_T2_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt13__adjust_heapIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEElS3_NS0_5__ops15_Iter_comp_iterIPFbPKS2_SC_EEEEvT_T0_SH_T1_T2_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt13__adjust_heapIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEEiiNS0_5__ops15_Iter_comp_iterI6SorterEEEvT_T0_SC_T1_T2_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt13__adjust_heapIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEEliNS0_5__ops15_Iter_comp_iterI6SorterEEEvT_T0_SC_T1_T2_@Base 2.8.4 + (optional=templinst)_ZSt13__copy_move_aILb0EP14SelectionRangeS1_ET1_T0_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt13__copy_move_aILb0EP9DrawPhaseS1_ET1_T0_S3_S2_@Base 2.9 + (optional=templinst)_ZSt13__copy_move_aILb0EPK14SelectionRangePS0_ET1_T0_S5_S4_@Base 2.8.4 + (optional=templinst)_ZSt13__copy_move_aILb0EPKN11SparseStateISsE5StateEPS2_ET1_T0_S7_S6_@Base 2.8.4 + (optional=templinst)_ZSt13__copy_move_aILb0EPKiPiET1_T0_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt13__copy_move_aILb0EPN11SparseStateISsE5StateES3_ET1_T0_S5_S4_@Base 2.8.4 + (optional=templinst)_ZSt13__copy_move_aILb0EPN11SparseStateIjE5StateES3_ET1_T0_S5_S4_@Base 2.8.4 + (optional=templinst)_ZSt13__copy_move_aILb0EPN8Document19WatcherWithUserDataES2_ET1_T0_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt13__copy_move_aILb0EPP10LineLayoutS2_ET1_T0_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt13__copy_move_aILb0EPP11LexerModuleS2_ET1_T0_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt13__copy_move_aILb0EPP14SelectionRangeS2_ET1_T0_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt13__copy_move_aILb0EPPcS1_ET1_T0_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt13__copy_move_aILb0EPSsS0_ET1_T0_S2_S1_@Base 2.8.4 + (optional=templinst)_ZSt13__copy_move_aILb0EPiS0_ET1_T0_S2_S1_@Base 2.8.4 + (optional=templinst)_ZSt13__heap_selectIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEENS0_5__ops15_Iter_less_iterEEvT_SA_SA_T0_@Base 2.8.4 + (optional=templinst)_ZSt13__heap_selectIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEENS0_5__ops15_Iter_comp_iterIPFbPKS2_SC_EEEEvT_SG_SG_T0_@Base 2.8.4 + (optional=templinst)_ZSt13__heap_selectIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEENS0_5__ops15_Iter_comp_iterI6SorterEEEvT_SB_SB_T0_@Base 2.8.4 + (optional=templinst)_ZSt13__lower_boundIN9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEES4_NS0_5__ops14_Iter_less_valEET_SC_SC_RKT0_T1_@Base 2.8.4 + (optional=templinst)_ZSt13__lower_boundIN9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS4_SaIS4_EEEES4_NS0_5__ops14_Iter_less_valEET_SC_SC_RKT0_T1_@Base 2.8.4 + (optional=templinst)_ZSt13__lower_boundIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEEiNS0_5__ops14_Iter_less_valEET_S9_S9_RKT0_T1_@Base 2.8.4 + (optional=templinst)_ZSt13__lower_boundIPKiiN9__gnu_cxx5__ops14_Iter_less_valEET_S5_S5_RKT0_T1_@Base 2.8.4 + (optional=templinst)_ZSt13copy_backwardIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEES7_ET0_T_S9_S8_@Base 2.8.4 + (optional=templinst)_ZSt13copy_backwardIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEES8_ET0_T_SA_S9_@Base 2.8.4 + (optional=templinst)_ZSt13copy_backwardIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEES6_ET0_T_S8_S7_@Base 2.8.4 + (optional=templinst)_ZSt13copy_backwardIP13latexFoldSaveS1_ET0_T_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt13copy_backwardIP14SelectionRangeS1_ET0_T_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt13copy_backwardIP14WordClassifierS1_ET0_T_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt13copy_backwardIP18PositionCacheEntryS1_ET0_T_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt13copy_backwardIP5StyleS1_ET0_T_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt13copy_backwardIP9DrawPhaseS1_ET0_T_S3_S2_@Base 2.9 + (optional=templinst)_ZSt13copy_backwardIPN11SparseStateISsE5StateES3_ET0_T_S5_S4_@Base 2.8.4 + (optional=templinst)_ZSt13copy_backwardIPN11SparseStateIjE5StateES3_ET0_T_S5_S4_@Base 2.8.4 + (optional=templinst)_ZSt13copy_backwardIPN8Document19WatcherWithUserDataES2_ET0_T_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt13copy_backwardIPP10LineLayoutS2_ET0_T_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt13copy_backwardIPP11LexerModuleS2_ET0_T_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt13copy_backwardIPP14SelectionRangeS2_ET0_T_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt13copy_backwardIPPcS1_ET0_T_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt13copy_backwardIPSsS0_ET0_T_S2_S1_@Base 2.8.4 + (optional=templinst)_ZSt13copy_backwardIPiS0_ET0_T_S2_S1_@Base 2.8.4 + (optional=templinst)_ZSt14__copy_move_a2ILb0EN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEES7_ET1_T0_S9_S8_@Base 2.8.4 + (optional=templinst)_ZSt14__copy_move_a2ILb0EN9__gnu_cxx17__normal_iteratorIPK14SelectionRangeSt6vectorIS2_SaIS2_EEEENS1_IPS2_S7_EEET1_T0_SC_SB_@Base 2.8.4 + (optional=templinst)_ZSt14__copy_move_a2ILb0EN9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEENS1_IPS4_S9_EEET1_T0_SE_SD_@Base 2.8.4 + (optional=templinst)_ZSt14__copy_move_a2ILb0EN9__gnu_cxx17__normal_iteratorIPKiSt6vectorIiSaIiEEEEPiET1_T0_SA_S9_@Base 2.8.4 + (optional=templinst)_ZSt14__copy_move_a2ILb0EN9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEES9_ET1_T0_SB_SA_@Base 2.8.4 + (optional=templinst)_ZSt14__copy_move_a2ILb0EN9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS4_SaIS4_EEEES9_ET1_T0_SB_SA_@Base 2.8.4 + (optional=templinst)_ZSt14__copy_move_a2ILb0EN9__gnu_cxx17__normal_iteratorIPN8Document19WatcherWithUserDataESt6vectorIS3_SaIS3_EEEES8_ET1_T0_SA_S9_@Base 2.8.4 + (optional=templinst)_ZSt14__copy_move_a2ILb0EN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEES6_ET1_T0_S8_S7_@Base 2.8.4 + (optional=templinst)_ZSt14__copy_move_a2ILb0EP14SelectionRangeS1_ET1_T0_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt14__copy_move_a2ILb0EP9DrawPhaseS1_ET1_T0_S3_S2_@Base 2.9 + (optional=templinst)_ZSt14__copy_move_a2ILb0EPP10LineLayoutS2_ET1_T0_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt14__copy_move_a2ILb0EPP11LexerModuleS2_ET1_T0_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt14__copy_move_a2ILb0EPP14SelectionRangeS2_ET1_T0_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt14__copy_move_a2ILb0EPPcS1_ET1_T0_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt14__copy_move_a2ILb0EPiS0_ET1_T0_S2_S1_@Base 2.8.4 + (optional=templinst)_ZSt14__partial_sortIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEENS0_5__ops15_Iter_less_iterEEvT_SA_SA_T0_@Base 2.8.4 + (optional=templinst)_ZSt14__partial_sortIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEENS0_5__ops15_Iter_comp_iterIPFbPKS2_SC_EEEEvT_SG_SG_T0_@Base 2.8.4 + (optional=templinst)_ZSt14__partial_sortIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEENS0_5__ops15_Iter_comp_iterI6SorterEEEvT_SB_SB_T0_@Base 2.8.4 + (optional=templinst)_ZSt16__insertion_sortIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEENS0_5__ops15_Iter_less_iterEEvT_SA_T0_@Base 2.8.4 + (optional=templinst)_ZSt16__insertion_sortIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEENS0_5__ops15_Iter_comp_iterIPFbPKS2_SC_EEEEvT_SG_T0_@Base 2.8.4 + (optional=templinst)_ZSt16__insertion_sortIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEENS0_5__ops15_Iter_comp_iterI6SorterEEEvT_SB_T0_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt16__introsort_loopIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEEiNS0_5__ops15_Iter_less_iterEEvT_SA_T0_T1_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt16__introsort_loopIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEElNS0_5__ops15_Iter_less_iterEEvT_SA_T0_T1_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt16__introsort_loopIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEEiNS0_5__ops15_Iter_comp_iterIPFbPKS2_SC_EEEEvT_SG_T0_T1_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt16__introsort_loopIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEElNS0_5__ops15_Iter_comp_iterIPFbPKS2_SC_EEEEvT_SG_T0_T1_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt16__introsort_loopIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEEiNS0_5__ops15_Iter_comp_iterI6SorterEEEvT_SB_T0_T1_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt16__introsort_loopIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEElNS0_5__ops15_Iter_comp_iterI6SorterEEEvT_SB_T0_T1_@Base 2.8.4 + (optional=templinst)_ZSt18uninitialized_copyIN9__gnu_cxx17__normal_iteratorIPK14SelectionRangeSt6vectorIS2_SaIS2_EEEEPS2_ET0_T_SB_SA_@Base 2.8.4 + (optional=templinst)_ZSt18uninitialized_copyIN9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEEPS4_ET0_T_SD_SC_@Base 2.8.4 + (optional=templinst)_ZSt18uninitialized_copyIN9__gnu_cxx17__normal_iteratorIPKiSt6vectorIiSaIiEEEEPiET0_T_SA_S9_@Base 2.8.4 + (optional=templinst)_ZSt18uninitialized_copyIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEES2_ET0_T_S8_S7_@Base 2.8.4 + (optional=templinst)_ZSt18uninitialized_copyIP13latexFoldSaveS1_ET0_T_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt18uninitialized_copyIP14SelectionRangeS1_ET0_T_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt18uninitialized_copyIP14WordClassifierS1_ET0_T_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt18uninitialized_copyIP18PositionCacheEntryS1_ET0_T_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt18uninitialized_copyIP5StyleS1_ET0_T_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt18uninitialized_copyIP9DrawPhaseS1_ET0_T_S3_S2_@Base 2.9 + (optional=templinst)_ZSt18uninitialized_copyIPN11SparseStateISsE5StateES3_ET0_T_S5_S4_@Base 2.8.4 + (optional=templinst)_ZSt18uninitialized_copyIPN11SparseStateIjE5StateES3_ET0_T_S5_S4_@Base 2.8.4 + (optional=templinst)_ZSt18uninitialized_copyIPN8Document19WatcherWithUserDataES2_ET0_T_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt18uninitialized_copyIPP10LineLayoutS2_ET0_T_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt18uninitialized_copyIPP11LexerModuleS2_ET0_T_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt18uninitialized_copyIPP14SelectionRangeS2_ET0_T_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt18uninitialized_copyIPPcS1_ET0_T_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt18uninitialized_copyIPSsS0_ET0_T_S2_S1_@Base 2.8.4 + (optional=templinst)_ZSt18uninitialized_copyIPiS0_ET0_T_S2_S1_@Base 2.8.4 + (optional=templinst)_ZSt19__iterator_categoryIN9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEEENSt15iterator_traitsIT_E17iterator_categoryERKSC_@Base 2.8.4 + (optional=templinst)_ZSt19__iterator_categoryIN9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEEENSt15iterator_traitsIT_E17iterator_categoryERKSB_@Base 2.8.4 + (optional=templinst)_ZSt19__iterator_categoryIN9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS4_SaIS4_EEEEENSt15iterator_traitsIT_E17iterator_categoryERKSB_@Base 2.8.4 + (optional=templinst)_ZSt19__iterator_categoryIN9__gnu_cxx17__normal_iteratorIPN8Document19WatcherWithUserDataESt6vectorIS3_SaIS3_EEEEENSt15iterator_traitsIT_E17iterator_categoryERKSA_@Base 2.8.4 + (optional=templinst)_ZSt19__iterator_categoryIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEEENSt15iterator_traitsIT_E17iterator_categoryERKS8_@Base 2.8.4 + (optional=templinst)_ZSt19__iterator_categoryIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEEENSt15iterator_traitsIT_E17iterator_categoryERKS8_@Base 2.8.4 + (optional=templinst)_ZSt19__iterator_categoryIPKiENSt15iterator_traitsIT_E17iterator_categoryERKS3_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt20uninitialized_fill_nIP13latexFoldSavejS0_EvT_T0_RKT1_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt20uninitialized_fill_nIP13latexFoldSavemS0_EvT_T0_RKT1_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt20uninitialized_fill_nIP18PositionCacheEntryjS0_EvT_T0_RKT1_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt20uninitialized_fill_nIP18PositionCacheEntrymS0_EvT_T0_RKT1_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt20uninitialized_fill_nIP5StylejS0_EvT_T0_RKT1_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt20uninitialized_fill_nIP5StylemS0_EvT_T0_RKT1_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt20uninitialized_fill_nIPP10LineLayoutjS1_EvT_T0_RKT1_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt20uninitialized_fill_nIPP10LineLayoutmS1_EvT_T0_RKT1_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt20uninitialized_fill_nIPSsjSsEvT_T0_RKT1_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt20uninitialized_fill_nIPSsmSsEvT_T0_RKT1_@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt20uninitialized_fill_nIPcjcEvT_T0_RKT1_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt20uninitialized_fill_nIPcmcEvT_T0_RKT1_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt20uninitialized_fill_nIPijiEvT_T0_RKT1_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt20uninitialized_fill_nIPimiEvT_T0_RKT1_@Base 2.8.4 + (optional=templinst)_ZSt21__unguarded_partitionIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEENS0_5__ops15_Iter_less_iterEET_SA_SA_SA_T0_@Base 2.8.4 + (optional=templinst)_ZSt21__unguarded_partitionIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEENS0_5__ops15_Iter_comp_iterIPFbPKS2_SC_EEEET_SG_SG_SG_T0_@Base 2.8.4 + (optional=templinst)_ZSt21__unguarded_partitionIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEENS0_5__ops15_Iter_comp_iterI6SorterEEET_SB_SB_SB_T0_@Base 2.8.4 + (optional=templinst)_ZSt22__copy_move_backward_aILb0EP13latexFoldSaveS1_ET1_T0_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt22__copy_move_backward_aILb0EP14SelectionRangeS1_ET1_T0_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt22__copy_move_backward_aILb0EP14WordClassifierS1_ET1_T0_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt22__copy_move_backward_aILb0EP18PositionCacheEntryS1_ET1_T0_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt22__copy_move_backward_aILb0EP5StyleS1_ET1_T0_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt22__copy_move_backward_aILb0EP9DrawPhaseS1_ET1_T0_S3_S2_@Base 2.9 + (optional=templinst)_ZSt22__copy_move_backward_aILb0EPN11SparseStateISsE5StateES3_ET1_T0_S5_S4_@Base 2.8.4 + (optional=templinst)_ZSt22__copy_move_backward_aILb0EPN11SparseStateIjE5StateES3_ET1_T0_S5_S4_@Base 2.8.4 + (optional=templinst)_ZSt22__copy_move_backward_aILb0EPN8Document19WatcherWithUserDataES2_ET1_T0_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt22__copy_move_backward_aILb0EPP10LineLayoutS2_ET1_T0_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt22__copy_move_backward_aILb0EPP11LexerModuleS2_ET1_T0_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt22__copy_move_backward_aILb0EPP14SelectionRangeS2_ET1_T0_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt22__copy_move_backward_aILb0EPPcS1_ET1_T0_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt22__copy_move_backward_aILb0EPSsS0_ET1_T0_S2_S1_@Base 2.8.4 + (optional=templinst)_ZSt22__copy_move_backward_aILb0EPiS0_ET1_T0_S2_S1_@Base 2.8.4 + (optional=templinst)_ZSt22__final_insertion_sortIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEENS0_5__ops15_Iter_less_iterEEvT_SA_T0_@Base 2.8.4 + (optional=templinst)_ZSt22__final_insertion_sortIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEENS0_5__ops15_Iter_comp_iterIPFbPKS2_SC_EEEEvT_SG_T0_@Base 2.8.4 + (optional=templinst)_ZSt22__final_insertion_sortIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEENS0_5__ops15_Iter_comp_iterI6SorterEEEvT_SB_T0_@Base 2.8.4 + (optional=templinst)_ZSt22__move_median_to_firstIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEENS0_5__ops15_Iter_less_iterEEvT_SA_SA_SA_T0_@Base 2.8.4 + (optional=templinst)_ZSt22__move_median_to_firstIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEENS0_5__ops15_Iter_comp_iterIPFbPKS2_SC_EEEEvT_SG_SG_SG_T0_@Base 2.8.4 + (optional=templinst)_ZSt22__move_median_to_firstIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEENS0_5__ops15_Iter_comp_iterI6SorterEEEvT_SB_SB_SB_T0_@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_copy_aIN9__gnu_cxx17__normal_iteratorIPK14SelectionRangeSt6vectorIS2_SaIS2_EEEEPS2_S2_ET0_T_SB_SA_RSaIT1_E@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_copy_aIN9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEEPS4_S4_ET0_T_SD_SC_RSaIT1_E@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_copy_aIN9__gnu_cxx17__normal_iteratorIPKiSt6vectorIiSaIiEEEEPiiET0_T_SA_S9_RSaIT1_E@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_copy_aIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEES2_SsET0_T_S8_S7_RSaIT1_E@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_copy_aIP13latexFoldSaveS1_S0_ET0_T_S3_S2_RSaIT1_E@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_copy_aIP14SelectionRangeS1_S0_ET0_T_S3_S2_RSaIT1_E@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_copy_aIP14WordClassifierS1_S0_ET0_T_S3_S2_RSaIT1_E@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_copy_aIP18PositionCacheEntryS1_S0_ET0_T_S3_S2_RSaIT1_E@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_copy_aIP5StyleS1_S0_ET0_T_S3_S2_RSaIT1_E@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_copy_aIP9DrawPhaseS1_S0_ET0_T_S3_S2_RSaIT1_E@Base 2.9 + (optional=templinst)_ZSt22__uninitialized_copy_aIPN11SparseStateISsE5StateES3_S2_ET0_T_S5_S4_RSaIT1_E@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_copy_aIPN11SparseStateIjE5StateES3_S2_ET0_T_S5_S4_RSaIT1_E@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_copy_aIPN8Document19WatcherWithUserDataES2_S1_ET0_T_S4_S3_RSaIT1_E@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_copy_aIPP10LineLayoutS2_S1_ET0_T_S4_S3_RSaIT1_E@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_copy_aIPP11LexerModuleS2_S1_ET0_T_S4_S3_RSaIT1_E@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_copy_aIPP14SelectionRangeS2_S1_ET0_T_S4_S3_RSaIT1_E@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_copy_aIPPcS1_S0_ET0_T_S3_S2_RSaIT1_E@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_copy_aIPSsS0_SsET0_T_S2_S1_RSaIT1_E@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_copy_aIPiS0_iET0_T_S2_S1_RSaIT1_E@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_move_aIP13latexFoldSaveS1_SaIS0_EET0_T_S4_S3_RT1_@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_move_aIP18PositionCacheEntryS1_SaIS0_EET0_T_S4_S3_RT1_@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_move_aIP5StyleS1_SaIS0_EET0_T_S4_S3_RT1_@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_move_aIPN11SparseStateISsE5StateES3_SaIS2_EET0_T_S6_S5_RT1_@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_move_aIPP10LineLayoutS2_SaIS1_EET0_T_S5_S4_RT1_@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_move_aIPSsS0_SaISsEET0_T_S3_S2_RT1_@Base 2.8.4 + (optional=templinst)_ZSt22__uninitialized_move_aIPiS0_SaIiEET0_T_S3_S2_RT1_@Base 2.8.4 + (optional=templinst)_ZSt23__copy_move_backward_a2ILb0EN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEES7_ET1_T0_S9_S8_@Base 2.8.4 + (optional=templinst)_ZSt23__copy_move_backward_a2ILb0EN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEES8_ET1_T0_SA_S9_@Base 2.8.4 + (optional=templinst)_ZSt23__copy_move_backward_a2ILb0EN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEES6_ET1_T0_S8_S7_@Base 2.8.4 + (optional=templinst)_ZSt23__copy_move_backward_a2ILb0EP13latexFoldSaveS1_ET1_T0_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt23__copy_move_backward_a2ILb0EP14SelectionRangeS1_ET1_T0_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt23__copy_move_backward_a2ILb0EP14WordClassifierS1_ET1_T0_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt23__copy_move_backward_a2ILb0EP18PositionCacheEntryS1_ET1_T0_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt23__copy_move_backward_a2ILb0EP5StyleS1_ET1_T0_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt23__copy_move_backward_a2ILb0EP9DrawPhaseS1_ET1_T0_S3_S2_@Base 2.9 + (optional=templinst)_ZSt23__copy_move_backward_a2ILb0EPN11SparseStateISsE5StateES3_ET1_T0_S5_S4_@Base 2.8.4 + (optional=templinst)_ZSt23__copy_move_backward_a2ILb0EPN11SparseStateIjE5StateES3_ET1_T0_S5_S4_@Base 2.8.4 + (optional=templinst)_ZSt23__copy_move_backward_a2ILb0EPN8Document19WatcherWithUserDataES2_ET1_T0_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt23__copy_move_backward_a2ILb0EPP10LineLayoutS2_ET1_T0_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt23__copy_move_backward_a2ILb0EPP11LexerModuleS2_ET1_T0_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt23__copy_move_backward_a2ILb0EPP14SelectionRangeS2_ET1_T0_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt23__copy_move_backward_a2ILb0EPPcS1_ET1_T0_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt23__copy_move_backward_a2ILb0EPSsS0_ET1_T0_S2_S1_@Base 2.8.4 + (optional=templinst)_ZSt23__copy_move_backward_a2ILb0EPiS0_ET1_T0_S2_S1_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt24__uninitialized_fill_n_aIP13latexFoldSavejS0_S0_EvT_T0_RKT1_RSaIT2_E@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt24__uninitialized_fill_n_aIP13latexFoldSavemS0_S0_EvT_T0_RKT1_RSaIT2_E@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt24__uninitialized_fill_n_aIP18PositionCacheEntryjS0_S0_EvT_T0_RKT1_RSaIT2_E@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt24__uninitialized_fill_n_aIP18PositionCacheEntrymS0_S0_EvT_T0_RKT1_RSaIT2_E@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt24__uninitialized_fill_n_aIP5StylejS0_S0_EvT_T0_RKT1_RSaIT2_E@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt24__uninitialized_fill_n_aIP5StylemS0_S0_EvT_T0_RKT1_RSaIT2_E@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt24__uninitialized_fill_n_aIPP10LineLayoutjS1_S1_EvT_T0_RKT1_RSaIT2_E@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt24__uninitialized_fill_n_aIPP10LineLayoutmS1_S1_EvT_T0_RKT1_RSaIT2_E@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt24__uninitialized_fill_n_aIPSsjSsSsEvT_T0_RKT1_RSaIT2_E@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt24__uninitialized_fill_n_aIPSsmSsSsEvT_T0_RKT1_RSaIT2_E@Base 2.9 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt24__uninitialized_fill_n_aIPcjccEvT_T0_RKT1_RSaIT2_E@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt24__uninitialized_fill_n_aIPcmccEvT_T0_RKT1_RSaIT2_E@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt24__uninitialized_fill_n_aIPijiiEvT_T0_RKT1_RSaIT2_E@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt24__uninitialized_fill_n_aIPimiiEvT_T0_RKT1_RSaIT2_E@Base 2.8.4 + (optional=templinst)_ZSt25__unguarded_linear_insertIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEENS0_5__ops14_Val_less_iterEEvT_T0_@Base 2.8.4 + (optional=templinst)_ZSt25__unguarded_linear_insertIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEENS0_5__ops14_Val_comp_iterIPFbPKS2_SC_EEEEvT_T0_@Base 2.8.4 + (optional=templinst)_ZSt25__unguarded_linear_insertIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEENS0_5__ops14_Val_comp_iterI6SorterEEEvT_T0_@Base 2.8.4 + (optional=templinst)_ZSt26__unguarded_insertion_sortIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEENS0_5__ops15_Iter_less_iterEEvT_SA_T0_@Base 2.8.4 + (optional=templinst)_ZSt26__unguarded_insertion_sortIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEENS0_5__ops15_Iter_comp_iterIPFbPKS2_SC_EEEEvT_SG_T0_@Base 2.8.4 + (optional=templinst)_ZSt26__unguarded_insertion_sortIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEENS0_5__ops15_Iter_comp_iterI6SorterEEEvT_SB_T0_@Base 2.8.4 + (optional=templinst)_ZSt27__unguarded_partition_pivotIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEENS0_5__ops15_Iter_less_iterEET_SA_SA_T0_@Base 2.8.4 + (optional=templinst)_ZSt27__unguarded_partition_pivotIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEENS0_5__ops15_Iter_comp_iterIPFbPKS2_SC_EEEET_SG_SG_T0_@Base 2.8.4 + (optional=templinst)_ZSt27__unguarded_partition_pivotIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEENS0_5__ops15_Iter_comp_iterI6SorterEEET_SB_SB_T0_@Base 2.8.4 + (optional=templinst)_ZSt34__uninitialized_move_if_noexcept_aIP13latexFoldSaveS1_SaIS0_EET0_T_S4_S3_RT1_@Base 2.8.4 + (optional=templinst)_ZSt34__uninitialized_move_if_noexcept_aIP14SelectionRangeS1_SaIS0_EET0_T_S4_S3_RT1_@Base 2.8.4 + (optional=templinst)_ZSt34__uninitialized_move_if_noexcept_aIP14WordClassifierS1_SaIS0_EET0_T_S4_S3_RT1_@Base 2.8.4 + (optional=templinst)_ZSt34__uninitialized_move_if_noexcept_aIP18PositionCacheEntryS1_SaIS0_EET0_T_S4_S3_RT1_@Base 2.8.4 + (optional=templinst)_ZSt34__uninitialized_move_if_noexcept_aIP5StyleS1_SaIS0_EET0_T_S4_S3_RT1_@Base 2.8.4 + (optional=templinst)_ZSt34__uninitialized_move_if_noexcept_aIP9DrawPhaseS1_SaIS0_EET0_T_S4_S3_RT1_@Base 2.9 + (optional=templinst)_ZSt34__uninitialized_move_if_noexcept_aIPN11SparseStateISsE5StateES3_SaIS2_EET0_T_S6_S5_RT1_@Base 2.8.4 + (optional=templinst)_ZSt34__uninitialized_move_if_noexcept_aIPN11SparseStateIjE5StateES3_SaIS2_EET0_T_S6_S5_RT1_@Base 2.8.4 + (optional=templinst)_ZSt34__uninitialized_move_if_noexcept_aIPN8Document19WatcherWithUserDataES2_SaIS1_EET0_T_S5_S4_RT1_@Base 2.8.4 + (optional=templinst)_ZSt34__uninitialized_move_if_noexcept_aIPP10LineLayoutS2_SaIS1_EET0_T_S5_S4_RT1_@Base 2.8.4 + (optional=templinst)_ZSt34__uninitialized_move_if_noexcept_aIPP11LexerModuleS2_SaIS1_EET0_T_S5_S4_RT1_@Base 2.8.4 + (optional=templinst)_ZSt34__uninitialized_move_if_noexcept_aIPP14SelectionRangeS2_SaIS1_EET0_T_S5_S4_RT1_@Base 2.8.4 + (optional=templinst)_ZSt34__uninitialized_move_if_noexcept_aIPPcS1_SaIS0_EET0_T_S4_S3_RT1_@Base 2.8.4 + (optional=templinst)_ZSt34__uninitialized_move_if_noexcept_aIPSsS0_SaISsEET0_T_S3_S2_RT1_@Base 2.8.4 + (optional=templinst)_ZSt34__uninitialized_move_if_noexcept_aIPiS0_SaIiEET0_T_S3_S2_RT1_@Base 2.8.4 + _ZSt3absf@Base 2.9 + (optional=templinst)_ZSt3maxIiERKT_S2_S2_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt3maxIjERKT_S2_S2_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt3maxImERKT_S2_S2_@Base 2.8.4 + (optional=templinst)_ZSt3minIiERKT_S2_S2_@Base 2.8.4 + (arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt4__lgi@Base 2.9 + (arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt4__lgl@Base 2.9 + (optional=templinst)_ZSt4copyIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEES7_ET0_T_S9_S8_@Base 2.8.4 + (optional=templinst)_ZSt4copyIN9__gnu_cxx17__normal_iteratorIPK14SelectionRangeSt6vectorIS2_SaIS2_EEEENS1_IPS2_S7_EEET0_T_SC_SB_@Base 2.8.4 + (optional=templinst)_ZSt4copyIN9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEENS1_IPS4_S9_EEET0_T_SE_SD_@Base 2.8.4 + (optional=templinst)_ZSt4copyIN9__gnu_cxx17__normal_iteratorIPKiSt6vectorIiSaIiEEEEPiET0_T_SA_S9_@Base 2.8.4 + (optional=templinst)_ZSt4copyIN9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEES9_ET0_T_SB_SA_@Base 2.8.4 + (optional=templinst)_ZSt4copyIN9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS4_SaIS4_EEEES9_ET0_T_SB_SA_@Base 2.8.4 + (optional=templinst)_ZSt4copyIN9__gnu_cxx17__normal_iteratorIPN8Document19WatcherWithUserDataESt6vectorIS3_SaIS3_EEEES8_ET0_T_SA_S9_@Base 2.8.4 + (optional=templinst)_ZSt4copyIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEES6_ET0_T_S8_S7_@Base 2.8.4 + (optional=templinst)_ZSt4copyIP14SelectionRangeS1_ET0_T_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt4copyIP9DrawPhaseS1_ET0_T_S3_S2_@Base 2.9 + (optional=templinst)_ZSt4copyIPP10LineLayoutS2_ET0_T_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt4copyIPP11LexerModuleS2_ET0_T_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt4copyIPP14SelectionRangeS2_ET0_T_S4_S3_@Base 2.8.4 + (optional=templinst)_ZSt4copyIPPcS1_ET0_T_S3_S2_@Base 2.8.4 + (optional=templinst)_ZSt4copyIPiS0_ET0_T_S2_S1_@Base 2.8.4 + (optional=templinst)_ZSt4fillIP13latexFoldSaveS0_EvT_S2_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt4fillIP18PositionCacheEntryS0_EvT_S2_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt4fillIP5StyleS0_EvT_S2_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt4fillIPP10LineLayoutS1_EvT_S3_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt4fillIPP15MarkerHandleSetS1_EvT_S3_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt4fillIPPSt6vectorIiSaIiEES3_EvT_S5_RKT0_@Base 2.9 + (optional=templinst)_ZSt4fillIPPcS0_EvT_S2_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt4fillIPccEvT_S1_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt4fillIPciEvT_S1_RKT0_@Base 2.9 + (optional=templinst)_ZSt4fillIPffEvT_S1_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt4fillIPhiEvT_S1_RKT0_@Base 2.9 + (optional=templinst)_ZSt4fillIPiiEvT_S1_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt4fillIPsiEvT_S1_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt4findIN9__gnu_cxx17__normal_iteratorIPN8Document19WatcherWithUserDataESt6vectorIS3_SaIS3_EEEES3_ET_S9_S9_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt4findIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEEA2_cET_S8_S8_RKT0_@Base 2.8.4 + (optional=templinst)_ZSt4sortIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEEEvT_S8_@Base 2.8.4 + (optional=templinst)_ZSt4sortIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEEPFbPKS2_SA_EEvT_SD_T0_@Base 2.8.4 + (optional=templinst)_ZSt4sortIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEE6SorterEvT_S8_T0_@Base 2.8.4 + (optional=templinst)_ZSt4swapI14SelectionRangeEvRT_S2_@Base 2.8.4 + (optional=templinst)_ZSt4swapIP14SelectionRangeEvRT_S3_@Base 2.8.4 + (optional=templinst)_ZSt4swapIP8QMapDataI7QString5QListI5QPairIjjEEEEvRT_S9_@Base 2.8.4 + (optional=templinst)_ZSt4swapIP8QMapDataI7QStringS1_EEvRT_S5_@Base 2.8.4 + (optional=templinst)_ZSt4swapIP8QMapDataIi7QPixmapEEvRT_S5_@Base 2.8.4 + (optional=templinst)_ZSt4swapIPN9QListData4DataEEvRT_S4_@Base 2.8.4 + (optional=templinst)_ZSt4swapIiEvRT_S1_@Base 2.8.4 + (optional=templinst)_ZSt5equalIN9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEENS1_IPKS4_S8_EEEbT_SD_T0_@Base 2.8.4 + (optional=templinst)_ZSt6__sortIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEENS0_5__ops15_Iter_less_iterEEvT_SA_T0_@Base 2.8.4 + (optional=templinst)_ZSt6__sortIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEENS0_5__ops15_Iter_comp_iterIPFbPKS2_SC_EEEEvT_SG_T0_@Base 2.8.4 + (optional=templinst)_ZSt6__sortIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEENS0_5__ops15_Iter_comp_iterI6SorterEEEvT_SB_T0_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt6fill_nIPP10LineLayoutjS1_ET_S3_T0_RKT1_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt6fill_nIPP10LineLayoutmS1_ET_S3_T0_RKT1_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt6fill_nIPcjcET_S1_T0_RKT1_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt6fill_nIPcmcET_S1_T0_RKT1_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt6fill_nIPijiET_S1_T0_RKT1_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt6fill_nIPimiET_S1_T0_RKT1_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt7advanceIN9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEEjEvRT_T0_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt7advanceIN9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEEmEvRT_T0_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt7advanceIN9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEEiEvRT_T0_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt7advanceIN9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEElEvRT_T0_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt7advanceIN9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS4_SaIS4_EEEEiEvRT_T0_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt7advanceIN9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS4_SaIS4_EEEElEvRT_T0_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt7advanceIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEEjEvRT_T0_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt7advanceIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEEmEvRT_T0_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt7advanceIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEEiEvRT_T0_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt7advanceIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEElEvRT_T0_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt7advanceIPKiiEvRT_T0_@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt7advanceIPKilEvRT_T0_@Base 2.8.4 + (optional=templinst)_ZSt7replaceIN9__gnu_cxx17__normal_iteratorIPcSsEEcEvT_S4_RKT0_S7_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyI14WordClassifierEvPT_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyI18PositionCacheEntryEvPT_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyI5StyleEvPT_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIN11SparseStateISsE5StateEEvPT_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEEEvT_S8_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEES2_EvT_S8_RSaIT0_E@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIP13latexFoldSaveEvT_S2_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIP13latexFoldSaveS0_EvT_S2_RSaIT0_E@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIP14SelectionRangeEvT_S2_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIP14SelectionRangeS0_EvT_S2_RSaIT0_E@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIP14WordClassifierEvT_S2_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIP14WordClassifierS0_EvT_S2_RSaIT0_E@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIP18PositionCacheEntryEvT_S2_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIP18PositionCacheEntryS0_EvT_S2_RSaIT0_E@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIP5StyleEvT_S2_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIP5StyleS0_EvT_S2_RSaIT0_E@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIP9DrawPhaseEvT_S2_@Base 2.9 + (optional=templinst)_ZSt8_DestroyIP9DrawPhaseS0_EvT_S2_RSaIT0_E@Base 2.9 + (optional=templinst)_ZSt8_DestroyIPN11SparseStateISsE5StateEEvT_S4_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIPN11SparseStateISsE5StateES2_EvT_S4_RSaIT0_E@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIPN11SparseStateIjE5StateEEvT_S4_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIPN11SparseStateIjE5StateES2_EvT_S4_RSaIT0_E@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIPN8Document19WatcherWithUserDataEEvT_S3_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIPN8Document19WatcherWithUserDataES1_EvT_S3_RSaIT0_E@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIPP10LineLayoutEvT_S3_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIPP10LineLayoutS1_EvT_S3_RSaIT0_E@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIPP11LexerModuleEvT_S3_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIPP11LexerModuleS1_EvT_S3_RSaIT0_E@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIPP14SelectionRangeEvT_S3_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIPP14SelectionRangeS1_EvT_S3_RSaIT0_E@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIPPcEvT_S2_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIPPcS0_EvT_S2_RSaIT0_E@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIPSsEvT_S1_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIPSsSsEvT_S1_RSaIT0_E@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIPcEvT_S1_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIPccEvT_S1_RSaIT0_E@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIPiEvT_S1_@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyIPiiEvT_S1_RSaIT0_E@Base 2.8.4 + (optional=templinst)_ZSt8_DestroyISsEvPT_@Base 2.8.4 + (optional=templinst)_ZSt8__fill_aIP13latexFoldSaveS0_EN9__gnu_cxx11__enable_ifIXntsrSt11__is_scalarIT0_E7__valueEvE6__typeET_S9_RKS5_@Base 2.8.4 + (optional=templinst)_ZSt8__fill_aIP18PositionCacheEntryS0_EN9__gnu_cxx11__enable_ifIXntsrSt11__is_scalarIT0_E7__valueEvE6__typeET_S9_RKS5_@Base 2.8.4 + (optional=templinst)_ZSt8__fill_aIP5StyleS0_EN9__gnu_cxx11__enable_ifIXntsrSt11__is_scalarIT0_E7__valueEvE6__typeET_S9_RKS5_@Base 2.8.4 + (optional=templinst)_ZSt8__fill_aIPP10LineLayoutS1_EN9__gnu_cxx11__enable_ifIXsrSt11__is_scalarIT0_E7__valueEvE6__typeET_SA_RKS6_@Base 2.8.4 + (optional=templinst)_ZSt8__fill_aIPP15MarkerHandleSetS1_EN9__gnu_cxx11__enable_ifIXsrSt11__is_scalarIT0_E7__valueEvE6__typeET_SA_RKS6_@Base 2.8.4 + (optional=templinst)_ZSt8__fill_aIPPSt6vectorIiSaIiEES3_EN9__gnu_cxx11__enable_ifIXsrSt11__is_scalarIT0_E7__valueEvE6__typeET_SC_RKS8_@Base 2.9 + (optional=templinst)_ZSt8__fill_aIPPcS0_EN9__gnu_cxx11__enable_ifIXsrSt11__is_scalarIT0_E7__valueEvE6__typeET_S9_RKS5_@Base 2.8.4 + (optional=templinst)_ZSt8__fill_aIPciEN9__gnu_cxx11__enable_ifIXsrSt11__is_scalarIT0_E7__valueEvE6__typeET_S8_RKS4_@Base 2.9 + (optional=templinst)_ZSt8__fill_aIPffEN9__gnu_cxx11__enable_ifIXsrSt11__is_scalarIT0_E7__valueEvE6__typeET_S8_RKS4_@Base 2.8.4 + (optional=templinst)_ZSt8__fill_aIPhiEN9__gnu_cxx11__enable_ifIXsrSt11__is_scalarIT0_E7__valueEvE6__typeET_S8_RKS4_@Base 2.9 + (optional=templinst)_ZSt8__fill_aIPiiEN9__gnu_cxx11__enable_ifIXsrSt11__is_scalarIT0_E7__valueEvE6__typeET_S8_RKS4_@Base 2.8.4 + (optional=templinst)_ZSt8__fill_aIPsiEN9__gnu_cxx11__enable_ifIXsrSt11__is_scalarIT0_E7__valueEvE6__typeET_S8_RKS4_@Base 2.8.4 + (optional=templinst)_ZSt8__fill_aIcEN9__gnu_cxx11__enable_ifIXsrSt9__is_byteIT_E7__valueEvE6__typeEPS3_S7_RKS3_@Base 2.8.4 + (optional=templinst)_ZSt8distanceIN9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEEENSt15iterator_traitsIT_E15difference_typeESC_SC_@Base 2.8.4 + (optional=templinst)_ZSt8distanceIN9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEEENSt15iterator_traitsIT_E15difference_typeESB_SB_@Base 2.8.4 + (optional=templinst)_ZSt8distanceIN9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS4_SaIS4_EEEEENSt15iterator_traitsIT_E15difference_typeESB_SB_@Base 2.8.4 + (optional=templinst)_ZSt8distanceIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEEENSt15iterator_traitsIT_E15difference_typeES8_S8_@Base 2.8.4 + (optional=templinst)_ZSt8distanceIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEEENSt15iterator_traitsIT_E15difference_typeES8_S8_@Base 2.8.4 + (optional=templinst)_ZSt8distanceIPKiENSt15iterator_traitsIT_E15difference_typeES3_S3_@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt9__advanceIN9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEEiEvRT_T0_St26random_access_iterator_tag@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt9__advanceIN9__gnu_cxx17__normal_iteratorIPKN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEElEvRT_T0_St26random_access_iterator_tag@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt9__advanceIN9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEEiEvRT_T0_St26random_access_iterator_tag@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt9__advanceIN9__gnu_cxx17__normal_iteratorIPN11SparseStateISsE5StateESt6vectorIS4_SaIS4_EEEElEvRT_T0_St26random_access_iterator_tag@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt9__advanceIN9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS4_SaIS4_EEEEiEvRT_T0_St26random_access_iterator_tag@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt9__advanceIN9__gnu_cxx17__normal_iteratorIPN11SparseStateIjE5StateESt6vectorIS4_SaIS4_EEEElEvRT_T0_St26random_access_iterator_tag@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt9__advanceIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEEiEvRT_T0_St26random_access_iterator_tag@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt9__advanceIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEElEvRT_T0_St26random_access_iterator_tag@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt9__advanceIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEEiEvRT_T0_St26random_access_iterator_tag@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt9__advanceIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEElEvRT_T0_St26random_access_iterator_tag@Base 2.8.4 + (optional=templinst|arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZSt9__advanceIPKiiEvRT_T0_St26random_access_iterator_tag@Base 2.9 + (optional=templinst|arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZSt9__advanceIPKilEvRT_T0_St26random_access_iterator_tag@Base 2.8.4 + (optional=templinst)_ZSt9__find_ifIN9__gnu_cxx17__normal_iteratorIPN8Document19WatcherWithUserDataESt6vectorIS3_SaIS3_EEEENS0_5__ops16_Iter_equals_valIKS3_EEET_SD_SD_T0_@Base 2.8.4 + (optional=templinst)_ZSt9__find_ifIN9__gnu_cxx17__normal_iteratorIPN8Document19WatcherWithUserDataESt6vectorIS3_SaIS3_EEEENS0_5__ops16_Iter_equals_valIKS3_EEET_SD_SD_T0_St26random_access_iterator_tag@Base 2.8.4 + (optional=templinst)_ZSt9__find_ifIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEENS0_5__ops10_Iter_predIPFbRKSsEEEET_SE_SE_T0_@Base 2.9 + (optional=templinst)_ZSt9__find_ifIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEENS0_5__ops10_Iter_predIPFbRKSsEEEET_SE_SE_T0_St26random_access_iterator_tag@Base 2.9 + (optional=templinst)_ZSt9__find_ifIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEENS0_5__ops16_Iter_equals_valIA2_KcEEET_SC_SC_T0_@Base 2.8.4 + (optional=templinst)_ZSt9__find_ifIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEENS0_5__ops16_Iter_equals_valIA2_KcEEET_SC_SC_T0_St26random_access_iterator_tag@Base 2.8.4 + (optional=templinst)_ZSt9iter_swapIN9__gnu_cxx17__normal_iteratorIP14SelectionRangeSt6vectorIS2_SaIS2_EEEES7_EvT_T0_@Base 2.8.4 + (optional=templinst)_ZSt9iter_swapIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEES8_EvT_T0_@Base 2.8.4 + (optional=templinst)_ZSt9iter_swapIN9__gnu_cxx17__normal_iteratorIPiSt6vectorIiSaIiEEEES6_EvT_T0_@Base 2.8.4 + (optional=templinst)_ZSt9remove_ifIN9__gnu_cxx17__normal_iteratorIPSsSt6vectorISsSaISsEEEEPFbRKSsEET_SB_SB_T0_@Base 2.9 + (optional=templinst)_ZSteqIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEEEbRKSt16reverse_iteratorIT_ESD_@Base 2.8.4 + (optional=templinst)_ZSteqIcEN9__gnu_cxx11__enable_ifIXsrSt9__is_charIT_E7__valueEbE6__typeERKSbIS3_St11char_traitsIS3_ESaIS3_EESC_@Base 2.8.4 + (optional=templinst)_ZSteqIcSt11char_traitsIcESaIcEEbRKSbIT_T0_T1_EPKS3_@Base 2.8.4 + (optional=templinst)_ZStltIcSt11char_traitsIcESaIcEEbRKSbIT_T0_T1_ES8_@Base 2.8.4 + (optional=templinst)_ZStneIN9__gnu_cxx17__normal_iteratorIPP14SelectionRangeSt6vectorIS3_SaIS3_EEEEEbRKSt16reverse_iteratorIT_ESD_@Base 2.8.4 + (optional=templinst)_ZStneIcSt11char_traitsIcESaIcEEbRKSbIT_T0_T1_EPKS3_@Base 2.8.4 + (optional=templinst)_ZStneIcSt11char_traitsIcESaIcEEbRKSbIT_T0_T1_ES8_@Base 2.8.4 + _ZTI10CaseFolder@Base 2.8.4 + _ZTI10DocWatcher@Base 2.8.4 + _ZTI10LexerBasic@Base 2.8.4 + _ZTI10LexerLaTeX@Base 2.8.4 + _ZTI10LineLayout@Base 2.8.4 + _ZTI10LineLevels@Base 2.8.4 + _ZTI10OptionSetD@Base 2.8.4 + _ZTI10QsciLexerD@Base 2.8.4 + _ZTI10RegexError@Base 2.9 + _ZTI11LexerModule@Base 2.8.4 + _ZTI11LexerSimple@Base 2.8.4 + _ZTI11LineMarkers@Base 2.8.4 + _ZTI11QsciLexerPO@Base 2.8.4 + _ZTI11QsciPrinter@Base 2.8.4 + _ZTI11SurfaceImpl@Base 2.8.4 + _ZTI12BuiltinRegex@Base 2.8.4 + _ZTI12FontRealised@Base 2.8.4 + _ZTI12LexInterface@Base 2.8.4 + _ZTI12LexerHaskell@Base 2.8.4 + _ZTI12LexerVerilog@Base 2.9 + _ZTI12LineTabstops@Base 2.9 + _ZTI12OptionSetAsm@Base 2.8.4 + _ZTI12OptionSetSQL@Base 2.8.4 + _ZTI12QsciDocument@Base 2.8.4 + _ZTI12QsciLexerAVS@Base 2.8.4 + _ZTI12QsciLexerCPP@Base 2.8.4 + _ZTI12QsciLexerCSS@Base 2.8.4 + _ZTI12QsciLexerIDL@Base 2.8.4 + _ZTI12QsciLexerLua@Base 2.8.4 + _ZTI12QsciLexerPOV@Base 2.8.4 + _ZTI12QsciLexerSQL@Base 2.8.4 + _ZTI12QsciLexerTCL@Base 2.8.4 + _ZTI12QsciLexerTeX@Base 2.8.4 + _ZTI12QsciLexerXML@Base 2.8.4 + _ZTI12QsciSciPopup@Base 2.8.4 + _ZTI13LexerRegistry@Base 2.9 + _ZTI13OptionSetPerl@Base 2.8.4 + _ZTI13OptionSetRust@Base 2.8.4 + _ZTI13PropSetSimple@Base 2.8.4 + _ZTI13QsciLexerBash@Base 2.8.4 + _ZTI13QsciLexerDiff@Base 2.8.4 + _ZTI13QsciLexerHTML@Base 2.8.4 + _ZTI13QsciLexerJava@Base 2.8.4 + _ZTI13QsciLexerPerl@Base 2.8.4 + _ZTI13QsciLexerRuby@Base 2.8.4 + _ZTI13QsciLexerVHDL@Base 2.8.4 + _ZTI13QsciLexerYAML@Base 2.8.4 + _ZTI13QsciListBoxQt@Base 2.8.4 + _ZTI13QsciScintilla@Base 2.8.4 + _ZTI13ScintillaBase@Base 2.8.4 + _ZTI14DynamicLibrary@Base 2.8.4 + _ZTI14ICaseConverter@Base 2.8.4 + _ZTI14LineAnnotation@Base 2.8.4 + _ZTI14OptionSetBasic@Base 2.8.4 + _ZTI14QsciAPIsWorker@Base 2.8.4 + _ZTI14QsciLexerBatch@Base 2.8.4 + _ZTI14QsciLexerCMake@Base 2.8.4 + _ZTI14QsciLexerSpice@Base 2.8.4 + _ZTI14QsciSciCallTip@Base 2.8.4 + _ZTI14QsciSciListBox@Base 2.8.4 + _ZTI15CaseFolderASCII@Base 2.8.4 + _ZTI15CaseFolderTable@Base 2.8.4 + _ZTI15LineLayoutCache@Base 2.8.4 + _ZTI15QsciLexerCSharp@Base 2.8.4 + _ZTI15QsciLexerCustom@Base 2.8.4 + _ZTI15QsciLexerMatlab@Base 2.8.4 + _ZTI15QsciLexerOctave@Base 2.8.4 + _ZTI15QsciLexerPascal@Base 2.8.4 + _ZTI15QsciLexerPython@Base 2.8.4 + _ZTI15QsciScintillaQt@Base 2.8.4 + _ZTI15RegexSearchBase@Base 2.8.4 + _ZTI16CharacterIndexer@Base 2.8.4 + _ZTI16ContractionState@Base 2.8.4 + _ZTI16FontMeasurements@Base 2.8.4 + _ZTI16OptionSetHaskell@Base 2.8.4 + _ZTI16QsciAbstractAPIs@Base 2.8.4 + _ZTI16QsciLexerFortran@Base 2.8.4 + _ZTI16QsciLexerVerilog@Base 2.8.4 + _ZTI17CaseFolderUnicode@Base 2.8.4 + _ZTI17LexerNoExceptions@Base 2.8.4 + _ZTI17LexerVisualProlog@Base 2.8.4 + _ZTI17OptionSetRegistry@Base 2.9 + _ZTI17QsciLexerMakefile@Base 2.8.4 + _ZTI17QsciScintillaBase@Base 2.8.4 + _ZTI18DynamicLibraryImpl@Base 2.8.4 + _ZTI18QsciLexerFortran77@Base 2.8.4 + _ZTI19ExternalLexerModule@Base 2.8.4 + _ZTI19ILexerWithSubStyles@Base 2.8.4 + _ZTI19QsciLexerJavaScript@Base 2.8.4 + _ZTI19QsciLexerPostScript@Base 2.8.4 + _ZTI19QsciLexerProperties@Base 2.8.4 + _ZTI20IDocumentWithLineEnd@Base 2.8.4 + _ZTI21OptionSetVisualProlog@Base 2.8.4 + _ZTI21QsciLexerCoffeeScript@Base 2.8.4 + _ZTI4Font@Base 2.8.4 + _ZTI6Editor@Base 2.8.4 + _ZTI6ILexer@Base 2.8.4 + _ZTI6LexerD@Base 2.8.4 + _ZTI6Window@Base 2.8.4 + _ZTI7ILoader@Base 2.8.4 + _ZTI7ListBox@Base 2.8.4 + _ZTI7PerLine@Base 2.8.4 + _ZTI7Surface@Base 2.8.4 + _ZTI8Document@Base 2.8.4 + _ZTI8EditView@Base 2.9 + _ZTI8LexState@Base 2.8.4 + _ZTI8LexerAsm@Base 2.8.4 + _ZTI8LexerCPP@Base 2.8.4 + _ZTI8LexerSQL@Base 2.8.4 + _ZTI8QsciAPIs@Base 2.8.4 + _ZTI9EditModel@Base 2.9 + _ZTI9FontAlias@Base 2.8.4 + _ZTI9IDocument@Base 2.8.4 + _ZTI9LexerBase@Base 2.8.4 + _ZTI9LexerDMIS@Base 2.9 + _ZTI9LexerPerl@Base 2.8.4 + _ZTI9LexerRust@Base 2.8.4 + _ZTI9LineState@Base 2.8.4 + _ZTI9OptionSetI10OptionsAsmE@Base 2.8.4 + _ZTI9OptionSetI10OptionsSQLE@Base 2.8.4 + _ZTI9OptionSetI11OptionsPerlE@Base 2.8.4 + _ZTI9OptionSetI11OptionsRustE@Base 2.8.4 + _ZTI9OptionSetI12OptionsBasicE@Base 2.8.4 + _ZTI9OptionSetI14OptionsHaskellE@Base 2.8.4 + _ZTI9OptionSetI15OptionsRegistryE@Base 2.9 + _ZTI9OptionSetI19OptionsVisualPrologE@Base 2.8.4 + _ZTI9OptionSetI8OptionsDE@Base 2.8.4 + _ZTI9QsciLexer@Base 2.8.4 + _ZTI9QsciMacro@Base 2.8.4 + _ZTI9RGBAImage@Base 2.8.4 + _ZTS10CaseFolder@Base 2.8.4 + _ZTS10DocWatcher@Base 2.8.4 + _ZTS10LexerBasic@Base 2.8.4 + _ZTS10LexerLaTeX@Base 2.8.4 + _ZTS10LineLayout@Base 2.8.4 + _ZTS10LineLevels@Base 2.8.4 + _ZTS10OptionSetD@Base 2.8.4 + _ZTS10QsciLexerD@Base 2.8.4 + _ZTS10RegexError@Base 2.9 + _ZTS11LexerModule@Base 2.8.4 + _ZTS11LexerSimple@Base 2.8.4 + _ZTS11LineMarkers@Base 2.8.4 + _ZTS11QsciLexerPO@Base 2.8.4 + _ZTS11QsciPrinter@Base 2.8.4 + _ZTS11SurfaceImpl@Base 2.8.4 + _ZTS12BuiltinRegex@Base 2.8.4 + _ZTS12FontRealised@Base 2.8.4 + _ZTS12LexInterface@Base 2.8.4 + _ZTS12LexerHaskell@Base 2.8.4 + _ZTS12LexerVerilog@Base 2.9 + _ZTS12LineTabstops@Base 2.9 + _ZTS12OptionSetAsm@Base 2.8.4 + _ZTS12OptionSetSQL@Base 2.8.4 + _ZTS12QsciDocument@Base 2.8.4 + _ZTS12QsciLexerAVS@Base 2.8.4 + _ZTS12QsciLexerCPP@Base 2.8.4 + _ZTS12QsciLexerCSS@Base 2.8.4 + _ZTS12QsciLexerIDL@Base 2.8.4 + _ZTS12QsciLexerLua@Base 2.8.4 + _ZTS12QsciLexerPOV@Base 2.8.4 + _ZTS12QsciLexerSQL@Base 2.8.4 + _ZTS12QsciLexerTCL@Base 2.8.4 + _ZTS12QsciLexerTeX@Base 2.8.4 + _ZTS12QsciLexerXML@Base 2.8.4 + _ZTS12QsciSciPopup@Base 2.8.4 + _ZTS13LexerRegistry@Base 2.9 + _ZTS13OptionSetPerl@Base 2.8.4 + _ZTS13OptionSetRust@Base 2.8.4 + _ZTS13PropSetSimple@Base 2.8.4 + _ZTS13QsciLexerBash@Base 2.8.4 + _ZTS13QsciLexerDiff@Base 2.8.4 + _ZTS13QsciLexerHTML@Base 2.8.4 + _ZTS13QsciLexerJava@Base 2.8.4 + _ZTS13QsciLexerPerl@Base 2.8.4 + _ZTS13QsciLexerRuby@Base 2.8.4 + _ZTS13QsciLexerVHDL@Base 2.8.4 + _ZTS13QsciLexerYAML@Base 2.8.4 + _ZTS13QsciListBoxQt@Base 2.8.4 + _ZTS13QsciScintilla@Base 2.8.4 + _ZTS13ScintillaBase@Base 2.8.4 + _ZTS14DynamicLibrary@Base 2.8.4 + _ZTS14ICaseConverter@Base 2.8.4 + _ZTS14LineAnnotation@Base 2.8.4 + _ZTS14OptionSetBasic@Base 2.8.4 + _ZTS14QsciAPIsWorker@Base 2.8.4 + _ZTS14QsciLexerBatch@Base 2.8.4 + _ZTS14QsciLexerCMake@Base 2.8.4 + _ZTS14QsciLexerSpice@Base 2.8.4 + _ZTS14QsciSciCallTip@Base 2.8.4 + _ZTS14QsciSciListBox@Base 2.8.4 + _ZTS15CaseFolderASCII@Base 2.8.4 + _ZTS15CaseFolderTable@Base 2.8.4 + _ZTS15LineLayoutCache@Base 2.8.4 + _ZTS15QsciLexerCSharp@Base 2.8.4 + _ZTS15QsciLexerCustom@Base 2.8.4 + _ZTS15QsciLexerMatlab@Base 2.8.4 + _ZTS15QsciLexerOctave@Base 2.8.4 + _ZTS15QsciLexerPascal@Base 2.8.4 + _ZTS15QsciLexerPython@Base 2.8.4 + _ZTS15QsciScintillaQt@Base 2.8.4 + _ZTS15RegexSearchBase@Base 2.8.4 + _ZTS16CharacterIndexer@Base 2.8.4 + _ZTS16ContractionState@Base 2.8.4 + _ZTS16FontMeasurements@Base 2.8.4 + _ZTS16OptionSetHaskell@Base 2.8.4 + _ZTS16QsciAbstractAPIs@Base 2.8.4 + _ZTS16QsciLexerFortran@Base 2.8.4 + _ZTS16QsciLexerVerilog@Base 2.8.4 + _ZTS17CaseFolderUnicode@Base 2.8.4 + _ZTS17LexerNoExceptions@Base 2.8.4 + _ZTS17LexerVisualProlog@Base 2.8.4 + _ZTS17OptionSetRegistry@Base 2.9 + _ZTS17QsciLexerMakefile@Base 2.8.4 + _ZTS17QsciScintillaBase@Base 2.8.4 + _ZTS18DynamicLibraryImpl@Base 2.8.4 + _ZTS18QsciLexerFortran77@Base 2.8.4 + _ZTS19ExternalLexerModule@Base 2.8.4 + _ZTS19ILexerWithSubStyles@Base 2.8.4 + _ZTS19QsciLexerJavaScript@Base 2.8.4 + _ZTS19QsciLexerPostScript@Base 2.8.4 + _ZTS19QsciLexerProperties@Base 2.8.4 + _ZTS20IDocumentWithLineEnd@Base 2.8.4 + _ZTS21OptionSetVisualProlog@Base 2.8.4 + _ZTS21QsciLexerCoffeeScript@Base 2.8.4 + _ZTS4Font@Base 2.8.4 + _ZTS6Editor@Base 2.8.4 + _ZTS6ILexer@Base 2.8.4 + _ZTS6LexerD@Base 2.8.4 + _ZTS6Window@Base 2.8.4 + _ZTS7ILoader@Base 2.8.4 + _ZTS7ListBox@Base 2.8.4 + _ZTS7PerLine@Base 2.8.4 + _ZTS7Surface@Base 2.8.4 + _ZTS8Document@Base 2.8.4 + _ZTS8EditView@Base 2.9 + _ZTS8LexState@Base 2.8.4 + _ZTS8LexerAsm@Base 2.8.4 + _ZTS8LexerCPP@Base 2.8.4 + _ZTS8LexerSQL@Base 2.8.4 + _ZTS8QsciAPIs@Base 2.8.4 + _ZTS9EditModel@Base 2.9 + _ZTS9FontAlias@Base 2.8.4 + _ZTS9IDocument@Base 2.8.4 + _ZTS9LexerBase@Base 2.8.4 + _ZTS9LexerDMIS@Base 2.9 + _ZTS9LexerPerl@Base 2.8.4 + _ZTS9LexerRust@Base 2.8.4 + _ZTS9LineState@Base 2.8.4 + _ZTS9OptionSetI10OptionsAsmE@Base 2.8.4 + _ZTS9OptionSetI10OptionsSQLE@Base 2.8.4 + _ZTS9OptionSetI11OptionsPerlE@Base 2.8.4 + _ZTS9OptionSetI11OptionsRustE@Base 2.8.4 + _ZTS9OptionSetI12OptionsBasicE@Base 2.8.4 + _ZTS9OptionSetI14OptionsHaskellE@Base 2.8.4 + _ZTS9OptionSetI15OptionsRegistryE@Base 2.9 + _ZTS9OptionSetI19OptionsVisualPrologE@Base 2.8.4 + _ZTS9OptionSetI8OptionsDE@Base 2.8.4 + _ZTS9QsciLexer@Base 2.8.4 + _ZTS9QsciMacro@Base 2.8.4 + _ZTS9RGBAImage@Base 2.8.4 + _ZTV10CaseFolder@Base 2.8.4 + _ZTV10DocWatcher@Base 2.8.4 + _ZTV10LexerBasic@Base 2.8.4 + _ZTV10LexerLaTeX@Base 2.8.4 + _ZTV10LineLayout@Base 2.8.4 + _ZTV10LineLevels@Base 2.8.4 + _ZTV10OptionSetD@Base 2.8.4 + _ZTV10QsciLexerD@Base 2.8.4 + _ZTV11LexerModule@Base 2.8.4 + _ZTV11LexerSimple@Base 2.8.4 + _ZTV11LineMarkers@Base 2.8.4 + _ZTV11QsciLexerPO@Base 2.8.4 + _ZTV11QsciPrinter@Base 2.8.4 + _ZTV11SurfaceImpl@Base 2.8.4 + _ZTV12BuiltinRegex@Base 2.8.4 + _ZTV12FontRealised@Base 2.8.4 + _ZTV12LexInterface@Base 2.8.4 + _ZTV12LexerHaskell@Base 2.8.4 + _ZTV12LexerVerilog@Base 2.9 + _ZTV12LineTabstops@Base 2.9 + _ZTV12OptionSetAsm@Base 2.8.4 + _ZTV12OptionSetSQL@Base 2.8.4 + _ZTV12QsciDocument@Base 2.8.4 + _ZTV12QsciLexerAVS@Base 2.8.4 + _ZTV12QsciLexerCPP@Base 2.8.4 + _ZTV12QsciLexerCSS@Base 2.8.4 + _ZTV12QsciLexerIDL@Base 2.8.4 + _ZTV12QsciLexerLua@Base 2.8.4 + _ZTV12QsciLexerPOV@Base 2.8.4 + _ZTV12QsciLexerSQL@Base 2.8.4 + _ZTV12QsciLexerTCL@Base 2.8.4 + _ZTV12QsciLexerTeX@Base 2.8.4 + _ZTV12QsciLexerXML@Base 2.8.4 + _ZTV12QsciSciPopup@Base 2.8.4 + _ZTV13LexerRegistry@Base 2.9 + _ZTV13OptionSetPerl@Base 2.8.4 + _ZTV13OptionSetRust@Base 2.8.4 + _ZTV13PropSetSimple@Base 2.8.4 + _ZTV13QsciLexerBash@Base 2.8.4 + _ZTV13QsciLexerDiff@Base 2.8.4 + _ZTV13QsciLexerHTML@Base 2.8.4 + _ZTV13QsciLexerJava@Base 2.8.4 + _ZTV13QsciLexerPerl@Base 2.8.4 + _ZTV13QsciLexerRuby@Base 2.8.4 + _ZTV13QsciLexerVHDL@Base 2.8.4 + _ZTV13QsciLexerYAML@Base 2.8.4 + _ZTV13QsciListBoxQt@Base 2.8.4 + _ZTV13QsciScintilla@Base 2.8.4 + _ZTV13ScintillaBase@Base 2.8.4 + _ZTV14DynamicLibrary@Base 2.8.4 + _ZTV14ICaseConverter@Base 2.8.4 + _ZTV14LineAnnotation@Base 2.8.4 + _ZTV14OptionSetBasic@Base 2.8.4 + _ZTV14QsciAPIsWorker@Base 2.8.4 + _ZTV14QsciLexerBatch@Base 2.8.4 + _ZTV14QsciLexerCMake@Base 2.8.4 + _ZTV14QsciLexerSpice@Base 2.8.4 + _ZTV14QsciSciCallTip@Base 2.8.4 + _ZTV14QsciSciListBox@Base 2.8.4 + _ZTV15CaseFolderASCII@Base 2.8.4 + _ZTV15CaseFolderTable@Base 2.8.4 + _ZTV15LineLayoutCache@Base 2.8.4 + _ZTV15QsciLexerCSharp@Base 2.8.4 + _ZTV15QsciLexerCustom@Base 2.8.4 + _ZTV15QsciLexerMatlab@Base 2.8.4 + _ZTV15QsciLexerOctave@Base 2.8.4 + _ZTV15QsciLexerPascal@Base 2.8.4 + _ZTV15QsciLexerPython@Base 2.8.4 + _ZTV15QsciScintillaQt@Base 2.8.4 + _ZTV15RegexSearchBase@Base 2.8.4 + _ZTV16CharacterIndexer@Base 2.8.4 + _ZTV16ContractionState@Base 2.8.4 + _ZTV16OptionSetHaskell@Base 2.8.4 + _ZTV16QsciAbstractAPIs@Base 2.8.4 + _ZTV16QsciLexerFortran@Base 2.8.4 + _ZTV16QsciLexerVerilog@Base 2.8.4 + _ZTV17CaseFolderUnicode@Base 2.8.4 + _ZTV17LexerNoExceptions@Base 2.8.4 + _ZTV17LexerVisualProlog@Base 2.8.4 + _ZTV17OptionSetRegistry@Base 2.9 + _ZTV17QsciLexerMakefile@Base 2.8.4 + _ZTV17QsciScintillaBase@Base 2.8.4 + _ZTV18DynamicLibraryImpl@Base 2.8.4 + _ZTV18QsciLexerFortran77@Base 2.8.4 + _ZTV19ExternalLexerModule@Base 2.8.4 + _ZTV19ILexerWithSubStyles@Base 2.8.4 + _ZTV19QsciLexerJavaScript@Base 2.8.4 + _ZTV19QsciLexerPostScript@Base 2.8.4 + _ZTV19QsciLexerProperties@Base 2.8.4 + _ZTV20IDocumentWithLineEnd@Base 2.8.4 + _ZTV21OptionSetVisualProlog@Base 2.8.4 + _ZTV21QsciLexerCoffeeScript@Base 2.8.4 + _ZTV4Font@Base 2.8.4 + _ZTV6Editor@Base 2.8.4 + _ZTV6ILexer@Base 2.8.4 + _ZTV6LexerD@Base 2.8.4 + _ZTV6Window@Base 2.8.4 + _ZTV7ILoader@Base 2.8.4 + _ZTV7ListBox@Base 2.8.4 + _ZTV7PerLine@Base 2.8.4 + _ZTV7Surface@Base 2.8.4 + _ZTV8Document@Base 2.8.4 + _ZTV8EditView@Base 2.9 + _ZTV8LexState@Base 2.8.4 + _ZTV8LexerAsm@Base 2.8.4 + _ZTV8LexerCPP@Base 2.8.4 + _ZTV8LexerSQL@Base 2.8.4 + _ZTV8QsciAPIs@Base 2.8.4 + _ZTV9EditModel@Base 2.9 + _ZTV9FontAlias@Base 2.8.4 + _ZTV9IDocument@Base 2.8.4 + _ZTV9LexerBase@Base 2.8.4 + _ZTV9LexerDMIS@Base 2.9 + _ZTV9LexerPerl@Base 2.8.4 + _ZTV9LexerRust@Base 2.8.4 + _ZTV9LineState@Base 2.8.4 + _ZTV9OptionSetI10OptionsAsmE@Base 2.8.4 + _ZTV9OptionSetI10OptionsSQLE@Base 2.8.4 + _ZTV9OptionSetI11OptionsPerlE@Base 2.8.4 + _ZTV9OptionSetI11OptionsRustE@Base 2.8.4 + _ZTV9OptionSetI12OptionsBasicE@Base 2.8.4 + _ZTV9OptionSetI14OptionsHaskellE@Base 2.8.4 + _ZTV9OptionSetI15OptionsRegistryE@Base 2.9 + _ZTV9OptionSetI19OptionsVisualPrologE@Base 2.8.4 + _ZTV9OptionSetI8OptionsDE@Base 2.8.4 + _ZTV9QsciLexer@Base 2.8.4 + _ZTV9QsciMacro@Base 2.8.4 + _ZTV9RGBAImage@Base 2.8.4 + _ZdlPvS_@Base 2.8.4 + _Zeq5QCharS_@Base 2.8.4 + (optional=templinst)_ZlsI5QPairIjjEER11QDataStreamS3_RK5QListIT_E@Base 2.8.4 + (optional=templinst)_ZlsI7QString5QListI5QPairIjjEEER11QDataStreamS6_RK4QMapIT_T0_E@Base 2.8.4 + (optional=templinst)_ZlsI7QStringER11QDataStreamS2_RK5QListIT_E@Base 2.8.4 + (optional=templinst)_ZlsIjjER11QDataStreamS1_RK5QPairIT_T0_E@Base 2.8.4 + _ZlsR11QDataStreamRK11QStringList@Base 2.8.4 + _ZmiRK6QPointS1_@Base 2.8.4 + _Zne5QCharS_@Base 2.8.4 + _ZneRK7QStringS1_@Base 2.8.4 + (arch=!amd64 !arm64 !kfreebsd-amd64 !ppc64 !ppc64el !s390x)_ZnwjPv@Base 2.9 + (arch=amd64 arm64 kfreebsd-amd64 ppc64 ppc64el s390x)_ZnwmPv@Base 2.9 + _ZorN2Qt10DropActionES0_@Base 2.8.4 + _ZorN2Qt10WindowTypeES0_@Base 2.8.4 + _ZorN2Qt15InputMethodHintES0_@Base 2.8.4 + _ZorN2Qt9MatchFlagES0_@Base 2.8.4 + _ZorN9QIODevice12OpenModeFlagES0_@Base 2.8.4 + _ZplRK7QStringPKc@Base 2.8.4 + _ZplRK7QStringS1_@Base 2.8.4 + _ZplRK7QStringc@Base 2.8.4 + (optional=templinst)_ZrsI5QPairIjjEER11QDataStreamS3_R5QListIT_E@Base 2.8.4 + (optional=templinst)_ZrsI7QString5QListI5QPairIjjEEER11QDataStreamS6_R4QMapIT_T0_E@Base 2.8.4 + (optional=templinst)_ZrsI7QStringER11QDataStreamS2_R5QListIT_E@Base 2.8.4 + (optional=templinst)_ZrsIjjER11QDataStreamS1_R5QPairIT_T0_E@Base 2.8.4 + _ZrsR11QDataStreamR11QStringList@Base 2.8.4 + lmA68k@Base 2.8.4 + lmAPDL@Base 2.8.4 + lmASY@Base 2.8.4 + lmAU3@Base 2.8.4 + lmAVE@Base 2.8.4 + lmAVS@Base 2.8.4 + lmAbaqus@Base 2.8.4 + lmAda@Base 2.8.4 + lmAs@Base 2.9 + lmAsm@Base 2.8.4 + lmAsn1@Base 2.8.4 + lmBaan@Base 2.8.4 + lmBash@Base 2.8.4 + lmBatch@Base 2.8.4 + lmBibTeX@Base 2.9 + lmBlitzBasic@Base 2.8.4 + lmBullant@Base 2.8.4 + lmCOBOL@Base 2.8.4 + lmCPP@Base 2.8.4 + lmCPPNoCase@Base 2.8.4 + lmCaml@Base 2.8.4 + lmClw@Base 2.8.4 + lmClwNoCase@Base 2.8.4 + lmCmake@Base 2.8.4 + lmCoffeeScript@Base 2.8.4 + lmConf@Base 2.8.4 + lmCsound@Base 2.8.4 + lmCss@Base 2.8.4 + lmD@Base 2.8.4 + lmDMAP@Base 2.9 + lmDMIS@Base 2.9 + lmDiff@Base 2.8.4 + lmECL@Base 2.8.4 + lmESCRIPT@Base 2.8.4 + lmEiffel@Base 2.8.4 + lmEiffelkw@Base 2.8.4 + lmErlang@Base 2.8.4 + lmErrorList@Base 2.8.4 + lmF77@Base 2.8.4 + lmFlagShip@Base 2.8.4 + lmForth@Base 2.8.4 + lmFortran@Base 2.8.4 + lmFreeBasic@Base 2.8.4 + lmGAP@Base 2.8.4 + lmGui4Cli@Base 2.8.4 + lmHTML@Base 2.8.4 + lmHaskell@Base 2.8.4 + lmIHex@Base 2.9 + lmInno@Base 2.8.4 + lmKVIrc@Base 2.8.4 + lmKix@Base 2.8.4 + lmLISP@Base 2.8.4 + lmLatex@Base 2.8.4 + lmLiterateHaskell@Base 2.8.4 + lmLot@Base 2.8.4 + lmLout@Base 2.8.4 + lmLua@Base 2.8.4 + lmMETAPOST@Base 2.8.4 + lmMMIXAL@Base 2.8.4 + lmMSSQL@Base 2.8.4 + lmMagikSF@Base 2.8.4 + lmMake@Base 2.8.4 + lmMarkdown@Base 2.8.4 + lmMatlab@Base 2.8.4 + lmModula@Base 2.8.4 + lmMySQL@Base 2.8.4 + lmNimrod@Base 2.8.4 + lmNncrontab@Base 2.8.4 + lmNsis@Base 2.8.4 + lmNull@Base 2.8.4 + lmOScript@Base 2.8.4 + lmOctave@Base 2.8.4 + lmOpal@Base 2.8.4 + lmPB@Base 2.8.4 + lmPHPSCRIPT@Base 2.8.4 + lmPLM@Base 2.8.4 + lmPO@Base 2.8.4 + lmPOV@Base 2.8.4 + lmPS@Base 2.8.4 + lmPascal@Base 2.8.4 + lmPerl@Base 2.8.4 + lmPowerPro@Base 2.8.4 + lmPowerShell@Base 2.8.4 + lmProgress@Base 2.8.4 + lmProps@Base 2.8.4 + lmPureBasic@Base 2.8.4 + lmPython@Base 2.8.4 + lmR@Base 2.8.4 + lmREBOL@Base 2.8.4 + lmRegistry@Base 2.9 + lmRuby@Base 2.8.4 + lmRust@Base 2.8.4 + lmSML@Base 2.8.4 + lmSQL@Base 2.8.4 + lmSTTXT@Base 2.8.4 + lmScriptol@Base 2.8.4 + lmSmalltalk@Base 2.8.4 + lmSorc@Base 2.8.4 + lmSpecman@Base 2.8.4 + lmSpice@Base 2.8.4 + lmSrec@Base 2.9 + lmTACL@Base 2.8.4 + lmTADS3@Base 2.8.4 + lmTAL@Base 2.8.4 + lmTCL@Base 2.8.4 + lmTCMD@Base 2.8.4 + lmTEHex@Base 2.9 + lmTeX@Base 2.8.4 + lmTxt2tags@Base 2.8.4 + lmVB@Base 2.8.4 + lmVBScript@Base 2.8.4 + lmVHDL@Base 2.8.4 + lmVerilog@Base 2.8.4 + lmVisualProlog@Base 2.8.4 + lmXML@Base 2.8.4 + lmYAML@Base 2.8.4 + minder@Base 2.8.4 + (c++)"non-virtual thunk to Document::AddData(char*, int)@Base" 2.8.4 + (c++)"non-virtual thunk to Document::BufferPointer()@Base" 2.8.4 + (c++)"non-virtual thunk to Document::ChangeLexerState(int, int)@Base" 2.8.4 + (c++)"non-virtual thunk to Document::CodePage() const@Base" 2.8.4 + (c++)"non-virtual thunk to Document::ConvertToDocument()@Base" 2.8.4 + (c++)"non-virtual thunk to Document::DecorationFillRange(int, int, int)@Base" 2.8.4 + (c++)"non-virtual thunk to Document::DecorationSetCurrentIndicator(int)@Base" 2.8.4 + (c++)"non-virtual thunk to Document::GetCharRange(char*, int, int) const@Base" 2.8.4 + (c++)"non-virtual thunk to Document::GetCharacterAndWidth(int, int*) const@Base" 2.8.4 + (c++)"non-virtual thunk to Document::GetLevel(int) const@Base" 2.8.4 + (c++)"non-virtual thunk to Document::GetLineIndentation(int)@Base" 2.8.4 + (c++)"non-virtual thunk to Document::GetLineState(int) const@Base" 2.8.4 + (c++)"non-virtual thunk to Document::GetRelativePosition(int, int) const@Base" 2.8.4 + (c++)"non-virtual thunk to Document::IsDBCSLeadByte(char) const@Base" 2.8.4 + (c++)"non-virtual thunk to Document::Length() const@Base" 2.8.4 + (c++)"non-virtual thunk to Document::LineEnd(int) const@Base" 2.8.4 + (c++)"non-virtual thunk to Document::LineFromPosition(int) const@Base" 2.8.4 + (c++)"non-virtual thunk to Document::LineStart(int) const@Base" 2.8.4 + (c++)"non-virtual thunk to Document::Release()@Base" 2.8.4 + (c++)"non-virtual thunk to Document::SetErrorStatus(int)@Base" 2.8.4 + (c++)"non-virtual thunk to Document::SetLevel(int, int)@Base" 2.8.4 + (c++)"non-virtual thunk to Document::SetLineState(int, int)@Base" 2.8.4 + (c++)"non-virtual thunk to Document::SetStyleFor(int, char)@Base" 2.8.4 + (c++)"non-virtual thunk to Document::SetStyles(int, char const*)@Base" 2.8.4 + (c++)"non-virtual thunk to Document::StartStyling(int, char)@Base" 2.8.4 + (c++)"non-virtual thunk to Document::StyleAt(int) const@Base" 2.8.4 + (c++)"non-virtual thunk to Document::Version() const@Base" 2.8.4 + (c++)"non-virtual thunk to Editor::NotifyDeleted(Document*, void*)@Base" 2.9 + (c++)"non-virtual thunk to Editor::NotifyErrorOccurred(Document*, void*, int)@Base" 2.9 + (c++)"non-virtual thunk to Editor::NotifyLexerChanged(Document*, void*)@Base" 2.9 + (c++)"non-virtual thunk to Editor::NotifyModified(Document*, DocModification, void*)@Base" 2.9 + (c++)"non-virtual thunk to Editor::NotifyModifyAttempt(Document*, void*)@Base" 2.9 + (c++)"non-virtual thunk to Editor::NotifySavePoint(Document*, void*, bool)@Base" 2.9 + (c++)"non-virtual thunk to Editor::NotifyStyleNeeded(Document*, void*, int)@Base" 2.9 + (c++)"non-virtual thunk to Editor::~Editor()@Base" 2.9 + (c++)"non-virtual thunk to QsciSciCallTip::~QsciSciCallTip()@Base" 2.8.4 + (c++)"non-virtual thunk to QsciSciListBox::~QsciSciListBox()@Base" 2.8.4 + (c++)"non-virtual thunk to QsciSciPopup::~QsciSciPopup()@Base" 2.8.4 + (c++)"non-virtual thunk to QsciScintilla::~QsciScintilla()@Base" 2.8.4 + (c++)"non-virtual thunk to QsciScintillaBase::~QsciScintillaBase()@Base" 2.8.4 + (c++)"non-virtual thunk to QsciScintillaQt::AddToPopUp(char const*, int, bool)@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::ClaimSelection()@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::Copy()@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::CopyToClipboard(SelectionText const&)@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::CreateCallTipWindow(PRectangle)@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::DefWndProc(unsigned int, unsigned long, long)@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::Finalise()@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::FineTickerAvailable()@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::FineTickerCancel(Editor::TickReason)@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::FineTickerRunning(Editor::TickReason)@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::FineTickerStart(Editor::TickReason, int, int)@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::HaveMouseCapture()@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::Initialise()@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::ModifyScrollBars(int, int)@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::NotifyChange()@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::NotifyParent(SCNotification)@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::Paste()@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::ReconfigureScrollBars()@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::SetHorizontalScrollPos()@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::SetIdle(bool)@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::SetMouseCapture(bool)@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::SetVerticalScrollPos()@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::StartDrag()@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::WndProc(unsigned int, unsigned long, long)@Base" 2.9 + (c++)"non-virtual thunk to QsciScintillaQt::~QsciScintillaQt()@Base" 2.9 + (c++)"non-virtual thunk to ScintillaBase::NotifyLexerChanged(Document*, void*)@Base" 2.9 + (c++)"non-virtual thunk to ScintillaBase::~ScintillaBase()@Base" 2.9 diff -Nru qscintilla2-2.8.4+dfsg/debian/patches/build_debug_libs.patch qscintilla2-2.9+dfsg/debian/patches/build_debug_libs.patch --- qscintilla2-2.8.4+dfsg/debian/patches/build_debug_libs.patch 2014-09-22 02:08:06.000000000 +0000 +++ qscintilla2-2.9+dfsg/debian/patches/build_debug_libs.patch 2015-06-16 03:27:25.000000000 +0000 @@ -1,9 +1,9 @@ Build libs and designer plugin with debug for -dbg packages -Index: qscintilla2-2.8.3+dfsg/Qt4Qt5/qscintilla.pro +Index: qscintilla2-2.9+dfsg/Qt4Qt5/qscintilla.pro =================================================================== ---- qscintilla2-2.8.3+dfsg.orig/Qt4Qt5/qscintilla.pro 2014-07-28 13:42:31.572950904 -0400 -+++ qscintilla2-2.8.3+dfsg/Qt4Qt5/qscintilla.pro 2014-07-28 13:43:14.912949623 -0400 -@@ -29,7 +29,7 @@ +--- qscintilla2-2.9+dfsg.orig/Qt4Qt5/qscintilla.pro 2015-06-15 23:27:21.084321620 -0400 ++++ qscintilla2-2.9+dfsg/Qt4Qt5/qscintilla.pro 2015-06-15 23:27:21.080321620 -0400 +@@ -24,7 +24,7 @@ TEMPLATE = lib TARGET = qscintilla2 @@ -12,10 +12,10 @@ INCLUDEPATH += . ../include ../lexlib ../src DEFINES += QSCINTILLA_MAKE_DLL SCINTILLA_QT SCI_LEXER -Index: qscintilla2-2.8.3+dfsg/designer-Qt4Qt5/designer.pro +Index: qscintilla2-2.9+dfsg/designer-Qt4Qt5/designer.pro =================================================================== ---- qscintilla2-2.8.3+dfsg.orig/designer-Qt4Qt5/designer.pro 2014-07-28 13:42:31.580950904 -0400 -+++ qscintilla2-2.8.3+dfsg/designer-Qt4Qt5/designer.pro 2014-07-28 13:44:19.848947705 -0400 +--- qscintilla2-2.9+dfsg.orig/designer-Qt4Qt5/designer.pro 2015-06-15 23:27:21.084321620 -0400 ++++ qscintilla2-2.9+dfsg/designer-Qt4Qt5/designer.pro 2015-06-15 23:27:21.080321620 -0400 @@ -4,7 +4,7 @@ TEMPLATE = lib TARGET = qscintillaplugin diff -Nru qscintilla2-2.8.4+dfsg/debian/patches/configure.py-objdir-support.diff qscintilla2-2.9+dfsg/debian/patches/configure.py-objdir-support.diff --- qscintilla2-2.8.4+dfsg/debian/patches/configure.py-objdir-support.diff 2014-09-22 02:08:06.000000000 +0000 +++ qscintilla2-2.9+dfsg/debian/patches/configure.py-objdir-support.diff 2015-06-16 03:18:26.000000000 +0000 @@ -3,20 +3,19 @@ patch the configure script for the Python bindings to support object dir builds -Index: qscintilla2-2.8.3+dfsg/Python/configure.py +Index: qscintilla2-2.9+dfsg/Python/configure.py =================================================================== ---- qscintilla2-2.8.3+dfsg.orig/Python/configure.py 2014-07-05 10:02:02.318834374 -0400 -+++ qscintilla2-2.8.3+dfsg/Python/configure.py 2014-07-05 10:02:02.306834375 -0400 -@@ -31,6 +31,8 @@ - import glob - import optparse +--- qscintilla2-2.9+dfsg.orig/Python/configure.py 2015-06-15 23:15:03.912305894 -0400 ++++ qscintilla2-2.9+dfsg/Python/configure.py 2015-06-15 23:18:23.356310149 -0400 +@@ -37,6 +37,7 @@ + # You shouldn't need to modify anything above this line. + ############################################################################### +src_dir = os.path.dirname(os.path.abspath(__file__)) -+ - try: - import sysconfig - except ImportError: -@@ -210,6 +212,8 @@ + + # This must be kept in sync with Python/configure-old.py, qscintilla.pro, + # example-Qt4Qt5/application.pro and designer-Qt4Qt5/designer.pro. +@@ -206,6 +207,8 @@ "The QScintilla version number could not be determined by " "reading %s." % sciglobal) @@ -25,7 +24,7 @@ lib_dir = target_configuration.qsci_lib_dir if lib_dir is None: lib_dir = target_configuration.qt_lib_dir -@@ -264,7 +268,12 @@ +@@ -260,7 +263,12 @@ the target configuration. """ diff -Nru qscintilla2-2.8.4+dfsg/debian/patches/fix-lib-install-dir.diff qscintilla2-2.9+dfsg/debian/patches/fix-lib-install-dir.diff --- qscintilla2-2.8.4+dfsg/debian/patches/fix-lib-install-dir.diff 2014-09-22 02:08:06.000000000 +0000 +++ qscintilla2-2.9+dfsg/debian/patches/fix-lib-install-dir.diff 2015-06-16 03:20:20.000000000 +0000 @@ -1,10 +1,10 @@ 01_qscintilla.pro.dpatch by Torsten Marek Don't install the library directly -Index: qscintilla2-2.8.2+dfsg/Qt4Qt5/qscintilla.pro +Index: qscintilla2-2.9+dfsg/Qt4Qt5/qscintilla.pro =================================================================== ---- qscintilla2-2.8.2+dfsg.orig/Qt4Qt5/qscintilla.pro 2014-06-09 15:09:55.924432554 -0400 -+++ qscintilla2-2.8.2+dfsg/Qt4Qt5/qscintilla.pro 2014-06-09 15:09:55.920432554 -0400 -@@ -52,7 +52,7 @@ +--- qscintilla2-2.9+dfsg.orig/Qt4Qt5/qscintilla.pro 2015-06-15 23:20:15.368312538 -0400 ++++ qscintilla2-2.9+dfsg/Qt4Qt5/qscintilla.pro 2015-06-15 23:20:15.364312538 -0400 +@@ -50,7 +50,7 @@ #DEFINES += SCI_NAMESPACE # Handle both Qt v4 and v3. diff -Nru qscintilla2-2.8.4+dfsg/debian/patches/qsci_link.patch qscintilla2-2.9+dfsg/debian/patches/qsci_link.patch --- qscintilla2-2.8.4+dfsg/debian/patches/qsci_link.patch 2014-09-22 02:26:12.000000000 +0000 +++ qscintilla2-2.9+dfsg/debian/patches/qsci_link.patch 2015-06-16 03:25:47.000000000 +0000 @@ -1,8 +1,8 @@ -Index: qscintilla2-2.8.4+dfsg/Python/configure.py +Index: qscintilla2-2.9+dfsg/Python/configure.py =================================================================== ---- qscintilla2-2.8.4+dfsg.orig/Python/configure.py 2014-09-21 22:26:07.110346928 -0400 -+++ qscintilla2-2.8.4+dfsg/Python/configure.py 2014-09-21 22:26:07.106346928 -0400 -@@ -1440,7 +1440,10 @@ +--- qscintilla2-2.9+dfsg.orig/Python/configure.py 2015-06-15 23:25:45.248319576 -0400 ++++ qscintilla2-2.9+dfsg/Python/configure.py 2015-06-15 23:25:45.244319576 -0400 +@@ -1431,7 +1431,10 @@ libs = qmake_config.get('LIBS') if libs: diff -Nru qscintilla2-2.8.4+dfsg/debian/patches/qt5_includes.patch qscintilla2-2.9+dfsg/debian/patches/qt5_includes.patch --- qscintilla2-2.8.4+dfsg/debian/patches/qt5_includes.patch 2014-09-22 02:08:06.000000000 +0000 +++ qscintilla2-2.9+dfsg/debian/patches/qt5_includes.patch 2015-06-16 03:25:29.000000000 +0000 @@ -1,11 +1,11 @@ When building for Qt5, the python extension needs QtWidgets and QtPrintSupport because things moved there from QtGui in Qt4. Sent upstream. -Index: qscintilla2-2.8.3+dfsg/Python/configure.py +Index: qscintilla2-2.9+dfsg/Python/configure.py =================================================================== ---- qscintilla2-2.8.3+dfsg.orig/Python/configure.py 2014-07-05 10:02:57.882832733 -0400 -+++ qscintilla2-2.8.3+dfsg/Python/configure.py 2014-07-05 10:02:57.874832733 -0400 -@@ -1423,6 +1423,9 @@ +--- qscintilla2-2.9+dfsg.orig/Python/configure.py 2015-06-15 23:25:25.020319144 -0400 ++++ qscintilla2-2.9+dfsg/Python/configure.py 2015-06-15 23:25:25.020319144 -0400 +@@ -1420,6 +1420,9 @@ if includepath: pro.write('INCLUDEPATH += %s\n' % includepath) diff -Nru qscintilla2-2.8.4+dfsg/debian/patches/qt5_libname.patch qscintilla2-2.9+dfsg/debian/patches/qt5_libname.patch --- qscintilla2-2.8.4+dfsg/debian/patches/qt5_libname.patch 2014-09-22 02:25:34.000000000 +0000 +++ qscintilla2-2.9+dfsg/debian/patches/qt5_libname.patch 2015-06-16 03:25:38.000000000 +0000 @@ -1,10 +1,10 @@ Rename library when built with Qt5 for co-installability. Discussed with upstream, but not reviewed as they aren't particularly interested in it. -Index: qscintilla2-2.8.4+dfsg/Qt4Qt5/qscintilla.pro +Index: qscintilla2-2.9+dfsg/Qt4Qt5/qscintilla.pro =================================================================== ---- qscintilla2-2.8.4+dfsg.orig/Qt4Qt5/qscintilla.pro 2014-09-21 22:25:27.974348084 -0400 -+++ qscintilla2-2.8.4+dfsg/Qt4Qt5/qscintilla.pro 2014-09-21 22:25:27.958348085 -0400 -@@ -41,6 +41,7 @@ +--- qscintilla2-2.9+dfsg.orig/Qt4Qt5/qscintilla.pro 2015-06-15 23:25:31.920319291 -0400 ++++ qscintilla2-2.9+dfsg/Qt4Qt5/qscintilla.pro 2015-06-15 23:25:31.916319291 -0400 +@@ -36,6 +36,7 @@ greaterThan(QT_MAJOR_VERSION, 4) { QT += widgets printsupport @@ -12,10 +12,10 @@ greaterThan(QT_MINOR_VERSION, 1) { macx:QT += macextras -Index: qscintilla2-2.8.4+dfsg/Qt4Qt5/features/qscintilla2.prf +Index: qscintilla2-2.9+dfsg/Qt4Qt5/features/qscintilla2.prf =================================================================== ---- qscintilla2-2.8.4+dfsg.orig/Qt4Qt5/features/qscintilla2.prf 2014-09-21 22:25:27.974348084 -0400 -+++ qscintilla2-2.8.4+dfsg/Qt4Qt5/features/qscintilla2.prf 2014-09-21 22:25:27.966348085 -0400 +--- qscintilla2-2.9+dfsg.orig/Qt4Qt5/features/qscintilla2.prf 2015-06-15 23:25:31.920319291 -0400 ++++ qscintilla2-2.9+dfsg/Qt4Qt5/features/qscintilla2.prf 2015-06-15 23:25:31.916319291 -0400 @@ -17,9 +17,18 @@ win32: { LIBS += -lqscintilla2d @@ -36,10 +36,10 @@ LIBS += -lqscintilla2 + } } -Index: qscintilla2-2.8.4+dfsg/designer-Qt4Qt5/designer.pro +Index: qscintilla2-2.9+dfsg/designer-Qt4Qt5/designer.pro =================================================================== ---- qscintilla2-2.8.4+dfsg.orig/designer-Qt4Qt5/designer.pro 2014-09-21 22:25:27.974348084 -0400 -+++ qscintilla2-2.8.4+dfsg/designer-Qt4Qt5/designer.pro 2014-09-21 22:25:27.966348085 -0400 +--- qscintilla2-2.9+dfsg.orig/designer-Qt4Qt5/designer.pro 2015-06-15 23:25:31.920319291 -0400 ++++ qscintilla2-2.9+dfsg/designer-Qt4Qt5/designer.pro 2015-06-15 23:25:31.916319291 -0400 @@ -11,8 +11,11 @@ # Work around QTBUG-39300. diff -Nru qscintilla2-2.8.4+dfsg/debian/patches/remove-logo-privacy-issue.diff qscintilla2-2.9+dfsg/debian/patches/remove-logo-privacy-issue.diff --- qscintilla2-2.8.4+dfsg/debian/patches/remove-logo-privacy-issue.diff 2014-09-22 02:08:06.000000000 +0000 +++ qscintilla2-2.9+dfsg/debian/patches/remove-logo-privacy-issue.diff 2015-06-16 03:24:44.000000000 +0000 @@ -2,10 +2,10 @@ are accessed to resolve privacy-breach-logo lintian error. Not forwarded, not needed. -Index: qscintilla2-2.8.2+dfsg/doc/Scintilla/index.html +Index: qscintilla2-2.9+dfsg/doc/Scintilla/index.html =================================================================== ---- qscintilla2-2.8.2+dfsg.orig/doc/Scintilla/index.html 2014-06-09 15:14:43.944424044 -0400 -+++ qscintilla2-2.8.2+dfsg/doc/Scintilla/index.html 2014-06-09 15:16:03.672421688 -0400 +--- qscintilla2-2.9+dfsg.orig/doc/Scintilla/index.html 2015-06-15 23:20:44.900313168 -0400 ++++ qscintilla2-2.9+dfsg/doc/Scintilla/index.html 2015-06-15 23:24:31.816318009 -0400 @@ -63,13 +63,6 @@ @@ -18,15 +18,15 @@ - - - +
Class List
-
+
-
Here are the classes, structs, unions and interfaces with brief descriptions:
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - +
Here are the classes, structs, unions and interfaces with brief descriptions:
+
QsciAbstractAPIsInterface to the textual API information used in call tips and for auto-completion. A sub-class will provide the actual implementation of the interface
QsciAPIsProvies an implementation of the textual API information used in call tips and for auto-completion
QsciCommandInternal editor command that may have one or two keys bound to it
QsciCommandSetSet of all internal editor commands that may have keys bound
QsciDocumentDocument to be edited
QsciLexerAbstract class used as a base for language lexers
QsciLexerAVSEncapsulates the Scintilla AVS lexer
QsciLexerBashEncapsulates the Scintilla Bash lexer
QsciLexerBatchEncapsulates the Scintilla batch file lexer
QsciLexerCMakeEncapsulates the Scintilla CMake lexer
QsciLexerCoffeeScriptEncapsulates the Scintilla CoffeeScript lexer
QsciLexerCPPEncapsulates the Scintilla C++ lexer
QsciLexerCSharpEncapsulates the Scintilla C# lexer
QsciLexerCSSEncapsulates the Scintilla CSS lexer
QsciLexerCustomAbstract class used as a base for new language lexers
QsciLexerDEncapsulates the Scintilla D lexer
QsciLexerDiffEncapsulates the Scintilla Diff lexer
QsciLexerFortranEncapsulates the Scintilla Fortran lexer
QsciLexerFortran77Encapsulates the Scintilla Fortran77 lexer
QsciLexerHTMLEncapsulates the Scintilla HTML lexer
QsciLexerIDLEncapsulates the Scintilla IDL lexer
QsciLexerJavaEncapsulates the Scintilla Java lexer
QsciLexerJavaScriptEncapsulates the Scintilla JavaScript lexer
QsciLexerLuaEncapsulates the Scintilla Lua lexer
QsciLexerMakefileEncapsulates the Scintilla Makefile lexer
QsciLexerMatlabEncapsulates the Scintilla Matlab file lexer
QsciLexerOctaveEncapsulates the Scintilla Octave file lexer
QsciLexerPascalEncapsulates the Scintilla Pascal lexer
QsciLexerPerlEncapsulates the Scintilla Perl lexer
QsciLexerPOEncapsulates the Scintilla PO lexer
QsciLexerPostScriptEncapsulates the Scintilla PostScript lexer
QsciLexerPOVEncapsulates the Scintilla POV lexer
QsciLexerPropertiesEncapsulates the Scintilla Properties lexer
QsciLexerPythonEncapsulates the Scintilla Python lexer
QsciLexerRubyEncapsulates the Scintilla Ruby lexer
QsciLexerSpiceEncapsulates the Scintilla Spice lexer
QsciLexerSQLEncapsulates the Scintilla SQL lexer
QsciLexerTCLEncapsulates the Scintilla TCL lexer
QsciLexerTeXEncapsulates the Scintilla TeX lexer
QsciLexerVerilogEncapsulates the Scintilla Verilog lexer
QsciLexerVHDLEncapsulates the Scintilla VHDL lexer
QsciLexerXMLEncapsulates the Scintilla XML lexer
QsciLexerYAMLEncapsulates the Scintilla YAML lexer
QsciMacroSequence of recordable editor commands
QsciPrinterSub-class of the Qt QPrinter class that is able to print the text of a Scintilla document
QsciScintillaImplements a higher level, more Qt-like, API to the Scintilla editor widget
QsciScintillaBaseImplements the Scintilla editor widget and its low-level API
QsciStyleEncapsulates all the attributes of a style
QsciStyledTextContainer for a piece of text and the style used to display the text
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
 CQsciAbstractAPIsInterface to the textual API information used in call tips and for auto-completion. A sub-class will provide the actual implementation of the interface
 CQsciAPIsProvies an implementation of the textual API information used in call tips and for auto-completion
 CQsciCommandInternal editor command that may have one or two keys bound to it
 CQsciCommandSetSet of all internal editor commands that may have keys bound
 CQsciDocumentDocument to be edited
 CQsciLexerAbstract class used as a base for language lexers
 CQsciLexerAVSEncapsulates the Scintilla AVS lexer
 CQsciLexerBashEncapsulates the Scintilla Bash lexer
 CQsciLexerBatchEncapsulates the Scintilla batch file lexer
 CQsciLexerCMakeEncapsulates the Scintilla CMake lexer
 CQsciLexerCoffeeScriptEncapsulates the Scintilla CoffeeScript lexer
 CQsciLexerCPPEncapsulates the Scintilla C++ lexer
 CQsciLexerCSharpEncapsulates the Scintilla C# lexer
 CQsciLexerCSSEncapsulates the Scintilla CSS lexer
 CQsciLexerCustomAbstract class used as a base for new language lexers
 CQsciLexerDEncapsulates the Scintilla D lexer
 CQsciLexerDiffEncapsulates the Scintilla Diff lexer
 CQsciLexerFortranEncapsulates the Scintilla Fortran lexer
 CQsciLexerFortran77Encapsulates the Scintilla Fortran77 lexer
 CQsciLexerHTMLEncapsulates the Scintilla HTML lexer
 CQsciLexerIDLEncapsulates the Scintilla IDL lexer
 CQsciLexerJavaEncapsulates the Scintilla Java lexer
 CQsciLexerJavaScriptEncapsulates the Scintilla JavaScript lexer
 CQsciLexerLuaEncapsulates the Scintilla Lua lexer
 CQsciLexerMakefileEncapsulates the Scintilla Makefile lexer
 CQsciLexerMatlabEncapsulates the Scintilla Matlab file lexer
 CQsciLexerOctaveEncapsulates the Scintilla Octave file lexer
 CQsciLexerPascalEncapsulates the Scintilla Pascal lexer
 CQsciLexerPerlEncapsulates the Scintilla Perl lexer
 CQsciLexerPOEncapsulates the Scintilla PO lexer
 CQsciLexerPostScriptEncapsulates the Scintilla PostScript lexer
 CQsciLexerPOVEncapsulates the Scintilla POV lexer
 CQsciLexerPropertiesEncapsulates the Scintilla Properties lexer
 CQsciLexerPythonEncapsulates the Scintilla Python lexer
 CQsciLexerRubyEncapsulates the Scintilla Ruby lexer
 CQsciLexerSpiceEncapsulates the Scintilla Spice lexer
 CQsciLexerSQLEncapsulates the Scintilla SQL lexer
 CQsciLexerTCLEncapsulates the Scintilla TCL lexer
 CQsciLexerTeXEncapsulates the Scintilla TeX lexer
 CQsciLexerVerilogEncapsulates the Scintilla Verilog lexer
 CQsciLexerVHDLEncapsulates the Scintilla VHDL lexer
 CQsciLexerXMLEncapsulates the Scintilla XML lexer
 CQsciLexerYAMLEncapsulates the Scintilla YAML lexer
 CQsciMacroSequence of recordable editor commands
 CQsciPrinterSub-class of the Qt QPrinter class that is able to print the text of a Scintilla document
 CQsciScintillaImplements a higher level, more Qt-like, API to the Scintilla editor widget
 CQsciScintillaBaseImplements the Scintilla editor widget and its low-level API
 CQsciStyleEncapsulates all the attributes of a style
 CQsciStyledTextContainer for a piece of text and the style used to display the text
-
- - + + + - Binary files /tmp/7AlgZry3DG/qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/bc_s.png and /tmp/ENOspktJtZ/qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/bc_s.png differ Binary files /tmp/7AlgZry3DG/qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/bdwn.png and /tmp/ENOspktJtZ/qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/bdwn.png differ diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classes.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classes.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classes.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classes.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Class Index - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
Class Index
-
+
A | C | D | L | M | P | S
- +
@@ -81,14 +72,12 @@
  A  
QsciLexerAVS   QsciLexerHTML   QsciLexerProperties   
  P  
A | C | D | L | M | P | S
-
- - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciAbstractAPIs.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciAbstractAPIs.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciAbstractAPIs.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciAbstractAPIs.html 2015-04-20 14:38:24.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciAbstractAPIs Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
-
QsciAbstractAPIs Class Reference
- +
QsciAbstractAPIs Class Referenceabstract
+
- +

#include <qsciabstractapis.h>

-

Inherited by QsciAPIs.

+

Inherits QObject.

-

List of all members.

-

-Public Member Functions

- -

Detailed Description

+

Inherited by QsciAPIs.

+ + + + + + + + + + + + + + +

+Public Member Functions

 QsciAbstractAPIs (QsciLexer *lexer)
 
+virtual ~QsciAbstractAPIs ()
 
+QsciLexerlexer () const
 
virtual void updateAutoCompletionList (const QStringList &context, QStringList &list)=0
 
virtual void autoCompletionSelected (const QString &selection)
 
virtual QStringList callTips (const QStringList &context, int commas, QsciScintilla::CallTipsStyle style, QList< int > &shifts)=0
 
+

Detailed Description

The QsciAbstractAPIs class represents the interface to the textual API information used in call tips and for auto-completion. A sub-class will provide the actual implementation of the interface.

API information is specific to a particular language lexer but can be shared by multiple instances of the lexer.

-

Constructor & Destructor Documentation

- +

Constructor & Destructor Documentation

+
@@ -91,16 +89,18 @@
-
-
+

Constructs a QsciAbstractAPIs instance attached to lexer lexer. lexer becomes the instance's parent object although the instance can also be subsequently attached to other lexers.

-

Member Function Documentation

- +

Member Function Documentation

+
+ + + + + +
@@ -117,40 +117,54 @@ - +
virtual void QsciAbstractAPIs::updateAutoCompletionList
) [pure virtual]
- -
+
+pure virtual
+

Update the list list with API entries derived from context. context is the list of words in the text preceding the cursor position. The characters that make up a word and the characters that separate words are defined by the lexer. The last word is a partial word and may be empty if the user has just entered a word separator.

Implemented in QsciAPIs.

- +
+ + + + + +
- +
virtual void QsciAbstractAPIs::autoCompletionSelected ( const QString &  selection) [virtual]
- -
+
+virtual
+

This is called when the user selects the entry selection from the auto-completion list. A sub-class can use this as a hint to provide more specific API entries in future calls to updateAutoCompletionList(). The default implementation does nothing.

Reimplemented in QsciAPIs.

- +
+ + + + + +
@@ -179,26 +193,28 @@ - +
virtual QStringList QsciAbstractAPIs::callTips
) [pure virtual]
- -
+
+pure virtual
+

Return the call tips valid for the context context. (Note that the last word of the context will always be empty.) commas is the number of commas the user has typed after the context and before the cursor position. The exact position of the list of call tips can be adjusted by specifying a corresponding left character shift in shifts. This is normally done to correct for any displayed context according to style.

-
See also:
updateAutoCompletionList()
+
See also
updateAutoCompletionList()

Implemented in QsciAPIs.

- - - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciAbstractAPIs-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciAbstractAPIs-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciAbstractAPIs-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciAbstractAPIs-members.html 2015-04-20 14:38:24.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciAbstractAPIs Member List
-
+
-This is the complete list of members for QsciAbstractAPIs, including all inherited members. - - - - - - -
autoCompletionSelected(const QString &selection)QsciAbstractAPIs [virtual]
callTips(const QStringList &context, int commas, QsciScintilla::CallTipsStyle style, QList< int > &shifts)=0QsciAbstractAPIs [pure virtual]
lexer() const QsciAbstractAPIs
QsciAbstractAPIs(QsciLexer *lexer)QsciAbstractAPIs
updateAutoCompletionList(const QStringList &context, QStringList &list)=0QsciAbstractAPIs [pure virtual]
~QsciAbstractAPIs()QsciAbstractAPIs [virtual]
- +

This is the complete list of members for QsciAbstractAPIs, including all inherited members.

+ + + + + + + +
autoCompletionSelected(const QString &selection)QsciAbstractAPIsvirtual
callTips(const QStringList &context, int commas, QsciScintilla::CallTipsStyle style, QList< int > &shifts)=0QsciAbstractAPIspure virtual
lexer() const QsciAbstractAPIs
QsciAbstractAPIs(QsciLexer *lexer)QsciAbstractAPIs
updateAutoCompletionList(const QStringList &context, QStringList &list)=0QsciAbstractAPIspure virtual
~QsciAbstractAPIs()QsciAbstractAPIsvirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciAPIs.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciAPIs.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciAPIs.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciAPIs.html 2015-04-20 14:38:24.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciAPIs Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciAPIs Class Reference
- +
- +

#include <qsciapis.h>

Inherits QsciAbstractAPIs.

- -

List of all members.

-

-Signals

- -

-Public Member Functions

- -

Detailed Description

+ + + + + + + + +

+Signals

void apiPreparationCancelled ()
 
void apiPreparationStarted ()
 
void apiPreparationFinished ()
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 QsciAPIs (QsciLexer *lexer)
 
+virtual ~QsciAPIs ()
 
void add (const QString &entry)
 
void clear ()
 
bool load (const QString &filename)
 
void remove (const QString &entry)
 
void prepare ()
 
void cancelPreparation ()
 
QString defaultPreparedName () const
 
bool isPrepared (const QString &filename=QString()) const
 
bool loadPrepared (const QString &filename=QString())
 
bool savePrepared (const QString &filename=QString()) const
 
+virtual void updateAutoCompletionList (const QStringList &context, QStringList &list)
 
+virtual void autoCompletionSelected (const QString &sel)
 
+virtual QStringList callTips (const QStringList &context, int commas, QsciScintilla::CallTipsStyle style, QList< int > &shifts)
 
+virtual bool event (QEvent *e)
 
QStringList installedAPIFiles () const
 
- Public Member Functions inherited from QsciAbstractAPIs
 QsciAbstractAPIs (QsciLexer *lexer)
 
+virtual ~QsciAbstractAPIs ()
 
+QsciLexerlexer () const
 
+

Detailed Description

The QsciAPIs class provies an implementation of the textual API information used in call tips and for auto-completion.

Raw API information is read from one or more files. Each API function is described by a single line of text comprising the function's name, followed by the function's optional comma separated parameters enclosed in parenthesis, and finally followed by optional explanatory text.

A function name may be followed by a `?' and a number. The number is used by auto-completion to display a registered QPixmap with the function name.

All function names are used by auto-completion, but only those that include function parameters are used in call tips.

QScintilla only deals with prepared API information and not the raw information described above. This is done so that large APIs can be handled while still being responsive to user input. The conversion of raw information to prepared information is time consuming (think tens of seconds) and implemented in a separate thread. Prepared information can be quickly saved to and loaded from files. Such files are portable between different architectures.

QScintilla based applications that want to support large APIs would normally provide the user with the ability to specify a set of, possibly project specific, raw API files and convert them to prepared files that are loaded quickly when the application is invoked.

-

Constructor & Destructor Documentation

- +

Constructor & Destructor Documentation

+
@@ -117,14 +135,13 @@
-
-
+

Constructs a QsciAPIs instance attached to lexer lexer. lexer becomes the instance's parent object although the instance can also be subsequently attached to other lexers.

-

Member Function Documentation

- +

Member Function Documentation

+
@@ -136,14 +153,13 @@
-
-
+

Add the single raw API entry entry to the current set.

-
See also:
clear(), load(), remove()
+
See also
clear(), load(), remove()
- +
@@ -154,14 +170,13 @@
-
-
+

Deletes all raw API information.

-
See also:
add(), load(), remove()
+
See also
add(), load(), remove()
- +
@@ -173,13 +188,12 @@
-
-
+

Load the API information from the file named filename, adding it to the current set. Returns true if successful, otherwise false.

- +
@@ -191,14 +205,13 @@
-
-
+

Remove the single raw API entry entry from the current set.

-
See also:
add(), clear(), load()
+
See also
add(), clear(), load()
- +
@@ -209,14 +222,13 @@
-
-
+

Convert the current raw API information to prepared API information. This is implemented by a separate thread.

-
See also:
cancelPreparation()
+
See also
cancelPreparation()
- +
@@ -227,14 +239,13 @@
-
-
+

Cancel the conversion of the current raw API information to prepared API information.

-
See also:
prepare()
+
See also
prepare()
- +
@@ -245,13 +256,12 @@
const
-
-
+

Return the default name of the prepared API information file. It is based on the name of the associated lexer and in the directory defined by the QSCIDIR environment variable. If the environment variable isn't set then $HOME/.qsci is used.

- +
@@ -263,14 +273,13 @@
const
-
-
+

Check to see is a prepared API information file named filename exists. If filename is empty then the value returned by defaultPreparedName() is used. Returns true if successful, otherwise false.

-
See also:
defaultPreparedName()
+
See also
defaultPreparedName()
- +
@@ -282,13 +291,12 @@
-
-
+

Load the prepared API information from the file named filename. If filename is empty then a name is constructed based on the name of the associated lexer and saved in the directory defined by the QSCIDIR environment variable. If the environment variable isn't set then $HOME/.qsci is used. Returns true if successful, otherwise false.

- +
@@ -300,13 +308,12 @@
const
-
-
+

Save the prepared API information to the file named filename. If filename is empty then a name is constructed based on the name of the associated lexer and saved in the directory defined by the QSCIDIR environment variable. If the environment variable isn't set then $HOME/.qsci is used. Returns true if successful, otherwise false.

- +
@@ -317,74 +324,92 @@
const
-
-
+

Return a list of the installed raw API file names for the associated lexer.

- +
+ + + + + +
- +
void QsciAPIs::apiPreparationCancelled ( ) [signal]
- -
+
+signal
+

This signal is emitted when the conversion of raw API information to prepared API information has been cancelled.

-
See also:
apiPreparationFinished(), apiPreparationStarted()
+
See also
apiPreparationFinished(), apiPreparationStarted()
- +
+ + + + + +
- +
void QsciAPIs::apiPreparationStarted ( ) [signal]
- -
+
+signal
+

This signal is emitted when the conversion of raw API information to prepared API information starts and can be used to give some visual feedback to the user.

-
See also:
apiPreparationCancelled(), apiPreparationFinished()
+
See also
apiPreparationCancelled(), apiPreparationFinished()
- +
+ + + + + +
- +
void QsciAPIs::apiPreparationFinished ( ) [signal]
- -
+
+signal
+

This signal is emitted when the conversion of raw API information to prepared API information has finished.

-
See also:
apiPreparationCancelled(), apiPreparationStarted()
+
See also
apiPreparationCancelled(), apiPreparationStarted()
- - - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciAPIs-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciAPIs-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciAPIs-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciAPIs-members.html 2015-04-20 14:38:24.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciAPIs Member List
-
+
-This is the complete list of members for QsciAPIs, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - -
add(const QString &entry)QsciAPIs
apiPreparationCancelled()QsciAPIs [signal]
apiPreparationFinished()QsciAPIs [signal]
apiPreparationStarted()QsciAPIs [signal]
autoCompletionSelected(const QString &sel)QsciAPIs [virtual]
callTips(const QStringList &context, int commas, QsciScintilla::CallTipsStyle style, QList< int > &shifts)QsciAPIs [virtual]
cancelPreparation()QsciAPIs
clear()QsciAPIs
defaultPreparedName() const QsciAPIs
event(QEvent *e) (defined in QsciAPIs)QsciAPIs [virtual]
installedAPIFiles() const QsciAPIs
isPrepared(const QString &filename=QString()) const QsciAPIs
lexer() const QsciAbstractAPIs
load(const QString &filename)QsciAPIs
loadPrepared(const QString &filename=QString())QsciAPIs
prepare()QsciAPIs
QsciAbstractAPIs(QsciLexer *lexer)QsciAbstractAPIs
QsciAPIs(QsciLexer *lexer)QsciAPIs
remove(const QString &entry)QsciAPIs
savePrepared(const QString &filename=QString()) const QsciAPIs
updateAutoCompletionList(const QStringList &context, QStringList &list)QsciAPIs [virtual]
~QsciAbstractAPIs()QsciAbstractAPIs [virtual]
~QsciAPIs()QsciAPIs [virtual]
- +

This is the complete list of members for QsciAPIs, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + +
add(const QString &entry)QsciAPIs
apiPreparationCancelled()QsciAPIssignal
apiPreparationFinished()QsciAPIssignal
apiPreparationStarted()QsciAPIssignal
autoCompletionSelected(const QString &sel)QsciAPIsvirtual
callTips(const QStringList &context, int commas, QsciScintilla::CallTipsStyle style, QList< int > &shifts)QsciAPIsvirtual
cancelPreparation()QsciAPIs
clear()QsciAPIs
defaultPreparedName() const QsciAPIs
event(QEvent *e) (defined in QsciAPIs)QsciAPIsvirtual
installedAPIFiles() const QsciAPIs
isPrepared(const QString &filename=QString()) const QsciAPIs
lexer() const QsciAbstractAPIs
load(const QString &filename)QsciAPIs
loadPrepared(const QString &filename=QString())QsciAPIs
prepare()QsciAPIs
QsciAbstractAPIs(QsciLexer *lexer)QsciAbstractAPIs
QsciAPIs(QsciLexer *lexer)QsciAPIs
remove(const QString &entry)QsciAPIs
savePrepared(const QString &filename=QString()) const QsciAPIs
updateAutoCompletionList(const QStringList &context, QStringList &list)QsciAPIsvirtual
~QsciAbstractAPIs()QsciAbstractAPIsvirtual
~QsciAPIs()QsciAPIsvirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciCommand.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciCommand.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciCommand.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciCommand.html 2015-04-20 14:38:24.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciCommand Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciCommand Class Reference
- +
- -

#include <qscicommand.h>

-

List of all members.

-

-Public Types

- -

-Public Member Functions

- -

-Static Public Member Functions

- -

Detailed Description

+

#include <qscicommand.h>

+ + + + +

+Public Types

enum  Command {
+  LineDown = QsciScintillaBase::SCI_LINEDOWN, +LineDownExtend = QsciScintillaBase::SCI_LINEDOWNEXTEND, +LineDownRectExtend = QsciScintillaBase::SCI_LINEDOWNRECTEXTEND, +
+  LineScrollDown = QsciScintillaBase::SCI_LINESCROLLDOWN, +LineUp = QsciScintillaBase::SCI_LINEUP, +LineUpExtend = QsciScintillaBase::SCI_LINEUPEXTEND, +
+  LineUpRectExtend = QsciScintillaBase::SCI_LINEUPRECTEXTEND, +LineScrollUp = QsciScintillaBase::SCI_LINESCROLLUP, +ScrollToStart = QsciScintillaBase::SCI_SCROLLTOSTART, +
+  ScrollToEnd = QsciScintillaBase::SCI_SCROLLTOEND, +VerticalCentreCaret = QsciScintillaBase::SCI_VERTICALCENTRECARET, +ParaDown = QsciScintillaBase::SCI_PARADOWN, +
+  ParaDownExtend = QsciScintillaBase::SCI_PARADOWNEXTEND, +ParaUp = QsciScintillaBase::SCI_PARAUP, +ParaUpExtend = QsciScintillaBase::SCI_PARAUPEXTEND, +
+  CharLeft = QsciScintillaBase::SCI_CHARLEFT, +CharLeftExtend = QsciScintillaBase::SCI_CHARLEFTEXTEND, +CharLeftRectExtend = QsciScintillaBase::SCI_CHARLEFTRECTEXTEND, +
+  CharRight = QsciScintillaBase::SCI_CHARRIGHT, +CharRightExtend = QsciScintillaBase::SCI_CHARRIGHTEXTEND, +CharRightRectExtend = QsciScintillaBase::SCI_CHARRIGHTRECTEXTEND, +
+  WordLeft = QsciScintillaBase::SCI_WORDLEFT, +WordLeftExtend = QsciScintillaBase::SCI_WORDLEFTEXTEND, +WordRight = QsciScintillaBase::SCI_WORDRIGHT, +
+  WordRightExtend = QsciScintillaBase::SCI_WORDRIGHTEXTEND, +WordLeftEnd = QsciScintillaBase::SCI_WORDLEFTEND, +WordLeftEndExtend = QsciScintillaBase::SCI_WORDLEFTENDEXTEND, +
+  WordRightEnd = QsciScintillaBase::SCI_WORDRIGHTEND, +WordRightEndExtend = QsciScintillaBase::SCI_WORDRIGHTENDEXTEND, +WordPartLeft = QsciScintillaBase::SCI_WORDPARTLEFT, +
+  WordPartLeftExtend = QsciScintillaBase::SCI_WORDPARTLEFTEXTEND, +WordPartRight = QsciScintillaBase::SCI_WORDPARTRIGHT, +WordPartRightExtend = QsciScintillaBase::SCI_WORDPARTRIGHTEXTEND, +
+  Home = QsciScintillaBase::SCI_HOME, +HomeExtend = QsciScintillaBase::SCI_HOMEEXTEND, +HomeRectExtend = QsciScintillaBase::SCI_HOMERECTEXTEND, +
+  HomeDisplay = QsciScintillaBase::SCI_HOMEDISPLAY, +HomeDisplayExtend = QsciScintillaBase::SCI_HOMEDISPLAYEXTEND, +HomeWrap = QsciScintillaBase::SCI_HOMEWRAP, +
+  HomeWrapExtend = QsciScintillaBase::SCI_HOMEWRAPEXTEND, +VCHome = QsciScintillaBase::SCI_VCHOME, +VCHomeExtend = QsciScintillaBase::SCI_VCHOMEEXTEND, +
+  VCHomeRectExtend = QsciScintillaBase::SCI_VCHOMERECTEXTEND, +VCHomeWrap = QsciScintillaBase::SCI_VCHOMEWRAP, +VCHomeWrapExtend = QsciScintillaBase::SCI_VCHOMEWRAPEXTEND, +
+  LineEnd = QsciScintillaBase::SCI_LINEEND, +LineEndExtend = QsciScintillaBase::SCI_LINEENDEXTEND, +LineEndRectExtend = QsciScintillaBase::SCI_LINEENDRECTEXTEND, +
+  LineEndDisplay = QsciScintillaBase::SCI_LINEENDDISPLAY, +LineEndDisplayExtend = QsciScintillaBase::SCI_LINEENDDISPLAYEXTEND, +LineEndWrap = QsciScintillaBase::SCI_LINEENDWRAP, +
+  LineEndWrapExtend = QsciScintillaBase::SCI_LINEENDWRAPEXTEND, +DocumentStart = QsciScintillaBase::SCI_DOCUMENTSTART, +DocumentStartExtend = QsciScintillaBase::SCI_DOCUMENTSTARTEXTEND, +
+  DocumentEnd = QsciScintillaBase::SCI_DOCUMENTEND, +DocumentEndExtend = QsciScintillaBase::SCI_DOCUMENTENDEXTEND, +PageUp = QsciScintillaBase::SCI_PAGEUP, +
+  PageUpExtend = QsciScintillaBase::SCI_PAGEUPEXTEND, +PageUpRectExtend = QsciScintillaBase::SCI_PAGEUPRECTEXTEND, +PageDown = QsciScintillaBase::SCI_PAGEDOWN, +
+  PageDownExtend = QsciScintillaBase::SCI_PAGEDOWNEXTEND, +PageDownRectExtend = QsciScintillaBase::SCI_PAGEDOWNRECTEXTEND, +StutteredPageUp = QsciScintillaBase::SCI_STUTTEREDPAGEUP, +
+  StutteredPageUpExtend = QsciScintillaBase::SCI_STUTTEREDPAGEUPEXTEND, +StutteredPageDown = QsciScintillaBase::SCI_STUTTEREDPAGEDOWN, +StutteredPageDownExtend = QsciScintillaBase::SCI_STUTTEREDPAGEDOWNEXTEND, +
+  Delete = QsciScintillaBase::SCI_CLEAR, +DeleteBack = QsciScintillaBase::SCI_DELETEBACK, +DeleteBackNotLine = QsciScintillaBase::SCI_DELETEBACKNOTLINE, +
+  DeleteWordLeft = QsciScintillaBase::SCI_DELWORDLEFT, +DeleteWordRight = QsciScintillaBase::SCI_DELWORDRIGHT, +DeleteWordRightEnd = QsciScintillaBase::SCI_DELWORDRIGHTEND, +
+  DeleteLineLeft = QsciScintillaBase::SCI_DELLINELEFT, +DeleteLineRight = QsciScintillaBase::SCI_DELLINERIGHT, +LineDelete = QsciScintillaBase::SCI_LINEDELETE, +
+  LineCut = QsciScintillaBase::SCI_LINECUT, +LineCopy = QsciScintillaBase::SCI_LINECOPY, +LineTranspose = QsciScintillaBase::SCI_LINETRANSPOSE, +
+  LineDuplicate = QsciScintillaBase::SCI_LINEDUPLICATE, +SelectAll = QsciScintillaBase::SCI_SELECTALL, +MoveSelectedLinesUp = QsciScintillaBase::SCI_MOVESELECTEDLINESUP, +
+  MoveSelectedLinesDown = QsciScintillaBase::SCI_MOVESELECTEDLINESDOWN, +SelectionDuplicate = QsciScintillaBase::SCI_SELECTIONDUPLICATE, +SelectionLowerCase = QsciScintillaBase::SCI_LOWERCASE, +
+  SelectionUpperCase = QsciScintillaBase::SCI_UPPERCASE, +SelectionCut = QsciScintillaBase::SCI_CUT, +SelectionCopy = QsciScintillaBase::SCI_COPY, +
+  Paste = QsciScintillaBase::SCI_PASTE, +EditToggleOvertype = QsciScintillaBase::SCI_EDITTOGGLEOVERTYPE, +Newline = QsciScintillaBase::SCI_NEWLINE, +
+  Formfeed = QsciScintillaBase::SCI_FORMFEED, +Tab = QsciScintillaBase::SCI_TAB, +Backtab = QsciScintillaBase::SCI_BACKTAB, +
+  Cancel = QsciScintillaBase::SCI_CANCEL, +Undo = QsciScintillaBase::SCI_UNDO, +Redo = QsciScintillaBase::SCI_REDO, +
+  ZoomIn = QsciScintillaBase::SCI_ZOOMIN, +ZoomOut = QsciScintillaBase::SCI_ZOOMOUT +
+ }
 
+ + + + + + + + + + + + + + + +

+Public Member Functions

+Command command () const
 
+void execute ()
 
void setKey (int key)
 
void setAlternateKey (int altkey)
 
int key () const
 
int alternateKey () const
 
+QString description () const
 
+ + + +

+Static Public Member Functions

+static bool validKey (int key)
 
+

Detailed Description

The QsciCommand class represents an internal editor command that may have one or two keys bound to it.

Methods are provided to change the keys bound to the command and to remove a key binding. Each command has a user friendly description of the command for use in key mapping dialogs.

-

Member Enumeration Documentation

- +

Member Enumeration Documentation

+
@@ -232,313 +229,310 @@
enum QsciCommand::Command
-
-
+

This enum defines the different commands that can be assigned to a key.

-
Enumerator:
-
LineDown  + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Enumerator
LineDown 

Move down one line.

LineDownExtend  +
LineDownExtend 

Extend the selection down one line.

LineDownRectExtend  +
LineDownRectExtend 

Extend the rectangular selection down one line.

LineScrollDown  +
LineScrollDown 

Scroll the view down one line.

LineUp  +
LineUp 

Move up one line.

LineUpExtend  +
LineUpExtend 

Extend the selection up one line.

LineUpRectExtend  +
LineUpRectExtend 

Extend the rectangular selection up one line.

LineScrollUp  +
LineScrollUp 

Scroll the view up one line.

ScrollToStart  +
ScrollToStart 

Scroll to the start of the document.

ScrollToEnd  +
ScrollToEnd 

Scroll to the end of the document.

VerticalCentreCaret  +
VerticalCentreCaret 

Scroll vertically to centre the current line.

ParaDown  +
ParaDown 

Move down one paragraph.

ParaDownExtend  +
ParaDownExtend 

Extend the selection down one paragraph.

ParaUp  +
ParaUp 

Move up one paragraph.

ParaUpExtend  +
ParaUpExtend 

Extend the selection up one paragraph.

CharLeft  +
CharLeft 

Move left one character.

CharLeftExtend  +
CharLeftExtend 

Extend the selection left one character.

CharLeftRectExtend  +
CharLeftRectExtend 

Extend the rectangular selection left one character.

CharRight  +
CharRight 

Move right one character.

CharRightExtend  +
CharRightExtend 

Extend the selection right one character.

CharRightRectExtend  +
CharRightRectExtend 

Extend the rectangular selection right one character.

WordLeft  +
WordLeft 

Move left one word.

WordLeftExtend  +
WordLeftExtend 

Extend the selection left one word.

WordRight  +
WordRight 

Move right one word.

WordRightExtend  +
WordRightExtend 

Extend the selection right one word.

WordLeftEnd  +
WordLeftEnd 

Move to the end of the previous word.

WordLeftEndExtend  +
WordLeftEndExtend 

Extend the selection to the end of the previous word.

WordRightEnd  +
WordRightEnd 

Move to the end of the next word.

WordRightEndExtend  +
WordRightEndExtend 

Extend the selection to the end of the next word.

WordPartLeft  +
WordPartLeft 

Move left one word part.

WordPartLeftExtend  +
WordPartLeftExtend 

Extend the selection left one word part.

WordPartRight  +
WordPartRight 

Move right one word part.

WordPartRightExtend  +
WordPartRightExtend 

Extend the selection right one word part.

Home  +
Home 

Move to the start of the document line.

HomeExtend  +
HomeExtend 

Extend the selection to the start of the document line.

HomeRectExtend  +
HomeRectExtend 

Extend the rectangular selection to the start of the document line.

HomeDisplay  +
HomeDisplay 

Move to the start of the displayed line.

HomeDisplayExtend  +
HomeDisplayExtend 

Extend the selection to the start of the displayed line.

HomeWrap  +
HomeWrap 

Move to the start of the displayed or document line.

HomeWrapExtend  +
HomeWrapExtend 

Extend the selection to the start of the displayed or document line.

VCHome  +
VCHome 

Move to the first visible character in the document line.

VCHomeExtend  +
VCHomeExtend 

Extend the selection to the first visible character in the document line.

VCHomeRectExtend  +
VCHomeRectExtend 

Extend the rectangular selection to the first visible character in the document line.

VCHomeWrap  +
VCHomeWrap 

Move to the first visible character of the displayed or document line.

VCHomeWrapExtend  +
VCHomeWrapExtend 

Extend the selection to the first visible character of the displayed or document line.

LineEnd  +
LineEnd 

Move to the end of the document line.

LineEndExtend  +
LineEndExtend 

Extend the selection to the end of the document line.

LineEndRectExtend  +
LineEndRectExtend 

Extend the rectangular selection to the end of the document line.

LineEndDisplay  +
LineEndDisplay 

Move to the end of the displayed line.

LineEndDisplayExtend  +
LineEndDisplayExtend 

Extend the selection to the end of the displayed line.

LineEndWrap  +
LineEndWrap 

Move to the end of the displayed or document line.

LineEndWrapExtend  +
LineEndWrapExtend 

Extend the selection to the end of the displayed or document line.

DocumentStart  +
DocumentStart 

Move to the start of the document.

DocumentStartExtend  +
DocumentStartExtend 

Extend the selection to the start of the document.

DocumentEnd  +
DocumentEnd 

Move to the end of the document.

DocumentEndExtend  +
DocumentEndExtend 

Extend the selection to the end of the document.

PageUp  +
PageUp 

Move up one page.

PageUpExtend  +
PageUpExtend 

Extend the selection up one page.

PageUpRectExtend  +
PageUpRectExtend 

Extend the rectangular selection up one page.

PageDown  +
PageDown 

Move down one page.

PageDownExtend  +
PageDownExtend 

Extend the selection down one page.

PageDownRectExtend  +
PageDownRectExtend 

Extend the rectangular selection down one page.

StutteredPageUp  +
StutteredPageUp 

Stuttered move up one page.

StutteredPageUpExtend  +
StutteredPageUpExtend 

Stuttered extend the selection up one page.

StutteredPageDown  +
StutteredPageDown 

Stuttered move down one page.

StutteredPageDownExtend  +
StutteredPageDownExtend 

Stuttered extend the selection down one page.

Delete  +
Delete 

Delete the current character.

DeleteBack  +
DeleteBack 

Delete the previous character.

DeleteBackNotLine  +
DeleteBackNotLine 

Delete the previous character if not at start of line.

DeleteWordLeft  +
DeleteWordLeft 

Delete the word to the left.

DeleteWordRight  +
DeleteWordRight 

Delete the word to the right.

DeleteWordRightEnd  +
DeleteWordRightEnd 

Delete right to the end of the next word.

DeleteLineLeft  +
DeleteLineLeft 

Delete the line to the left.

DeleteLineRight  +
DeleteLineRight 

Delete the line to the right.

LineDelete  +
LineDelete 

Delete the current line.

LineCut  +
LineCut 

Cut the current line to the clipboard.

LineCopy  +
LineCopy 

Copy the current line to the clipboard.

LineTranspose  +
LineTranspose 

Transpose the current and previous lines.

LineDuplicate  +
LineDuplicate 

Duplicate the current line.

SelectAll  +
SelectAll 

Select the whole document.

MoveSelectedLinesUp  +
MoveSelectedLinesUp 

Move the selected lines up one line.

MoveSelectedLinesDown  +
MoveSelectedLinesDown 

Move the selected lines down one line.

SelectionDuplicate  +
SelectionDuplicate 

Duplicate the selection.

SelectionLowerCase  +
SelectionLowerCase 

Convert the selection to lower case.

SelectionUpperCase  +
SelectionUpperCase 

Convert the selection to upper case.

SelectionCut  +
SelectionCut 

Cut the selection to the clipboard.

SelectionCopy  +
SelectionCopy 

Copy the selection to the clipboard.

Paste  +
Paste 

Paste from the clipboard.

EditToggleOvertype  +
EditToggleOvertype 

Toggle insert/overtype.

Newline  +
Newline 

Insert a platform dependent newline.

Formfeed  +
Formfeed 

Insert a formfeed.

Tab  +
Tab 

Indent one level.

Backtab  +
Backtab 

De-indent one level.

Cancel  +
Cancel 

Cancel any current operation.

Undo  +
Undo 

Undo the last command.

Redo  +
Redo 

Redo the last command.

ZoomIn  +
ZoomIn 

Zoom in.

ZoomOut  +
ZoomOut 

Zoom out.

- - -

Member Function Documentation

- +

Member Function Documentation

+
@@ -550,14 +544,13 @@
-
-
+

Binds the key key to the command. If key is 0 then the key binding is removed. If key is invalid then the key binding is unchanged. Valid keys are any visible or control character or any of Qt::Key_Down, Qt::Key_Up, Qt::Key_Left, Qt::Key_Right, Qt::Key_Home, Qt::Key_End, Qt::Key_PageUp, Qt::Key_PageDown, Qt::Key_Delete, Qt::Key_Insert, Qt::Key_Escape, Qt::Key_Backspace, Qt::Key_Tab, Qt::Key_Backtab, Qt::Key_Return, Qt::Key_Enter, Qt::Key_Super_L, Qt::Key_Super_R or Qt::Key_Menu. Keys may be modified with any combination of Qt::ShiftModifier, Qt::ControlModifier, Qt::AltModifier and Qt::MetaModifier.

-
See also:
key(), setAlternateKey(), validKey()
+
See also
key(), setAlternateKey(), validKey()
- +
@@ -569,57 +562,68 @@
-
-
+

Binds the alternate key altkey to the command. If key is 0 then the alternate key binding is removed.

-
See also:
alternateKey(), setKey(), validKey()
+
See also
alternateKey(), setKey(), validKey()
- +
+ + + + + +
- +
int QsciCommand::key ( ) const [inline] const
- -
+
+inline
+

The key that is currently bound to the command is returned.

-
See also:
setKey(), alternateKey()
+
See also
setKey(), alternateKey()
- +
+ + + + + +
- +
int QsciCommand::alternateKey ( ) const [inline] const
- -
+
+inline
+

The alternate key that is currently bound to the command is returned.

-
See also:
setAlternateKey(), key()
+
See also
setAlternateKey(), key()
- - - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciCommand-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciCommand-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciCommand-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciCommand-members.html 2015-04-20 14:38:24.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciCommand Member List
-
+
-This is the complete list of members for QsciCommand, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
alternateKey() const QsciCommand [inline]
Backtab enum valueQsciCommand
Cancel enum valueQsciCommand
CharLeft enum valueQsciCommand
CharLeftExtend enum valueQsciCommand
CharLeftRectExtend enum valueQsciCommand
CharRight enum valueQsciCommand
CharRightExtend enum valueQsciCommand
CharRightRectExtend enum valueQsciCommand
command() const QsciCommand [inline]
Command enum nameQsciCommand
Delete enum valueQsciCommand
DeleteBack enum valueQsciCommand
DeleteBackNotLine enum valueQsciCommand
DeleteLineLeft enum valueQsciCommand
DeleteLineRight enum valueQsciCommand
DeleteWordLeft enum valueQsciCommand
DeleteWordRight enum valueQsciCommand
DeleteWordRightEnd enum valueQsciCommand
description() const QsciCommand
DocumentEnd enum valueQsciCommand
DocumentEndExtend enum valueQsciCommand
DocumentStart enum valueQsciCommand
DocumentStartExtend enum valueQsciCommand
EditToggleOvertype enum valueQsciCommand
execute()QsciCommand
Formfeed enum valueQsciCommand
Home enum valueQsciCommand
HomeDisplay enum valueQsciCommand
HomeDisplayExtend enum valueQsciCommand
HomeExtend enum valueQsciCommand
HomeRectExtend enum valueQsciCommand
HomeWrap enum valueQsciCommand
HomeWrapExtend enum valueQsciCommand
key() const QsciCommand [inline]
LineCopy enum valueQsciCommand
LineCut enum valueQsciCommand
LineDelete enum valueQsciCommand
LineDown enum valueQsciCommand
LineDownExtend enum valueQsciCommand
LineDownRectExtend enum valueQsciCommand
LineDuplicate enum valueQsciCommand
LineEnd enum valueQsciCommand
LineEndDisplay enum valueQsciCommand
LineEndDisplayExtend enum valueQsciCommand
LineEndExtend enum valueQsciCommand
LineEndRectExtend enum valueQsciCommand
LineEndWrap enum valueQsciCommand
LineEndWrapExtend enum valueQsciCommand
LineScrollDown enum valueQsciCommand
LineScrollUp enum valueQsciCommand
LineTranspose enum valueQsciCommand
LineUp enum valueQsciCommand
LineUpExtend enum valueQsciCommand
LineUpRectExtend enum valueQsciCommand
MoveSelectedLinesDown enum valueQsciCommand
MoveSelectedLinesUp enum valueQsciCommand
Newline enum valueQsciCommand
PageDown enum valueQsciCommand
PageDownExtend enum valueQsciCommand
PageDownRectExtend enum valueQsciCommand
PageUp enum valueQsciCommand
PageUpExtend enum valueQsciCommand
PageUpRectExtend enum valueQsciCommand
ParaDown enum valueQsciCommand
ParaDownExtend enum valueQsciCommand
ParaUp enum valueQsciCommand
ParaUpExtend enum valueQsciCommand
Paste enum valueQsciCommand
Redo enum valueQsciCommand
ScrollToEnd enum valueQsciCommand
ScrollToStart enum valueQsciCommand
SelectAll enum valueQsciCommand
SelectionCopy enum valueQsciCommand
SelectionCut enum valueQsciCommand
SelectionDuplicate enum valueQsciCommand
SelectionLowerCase enum valueQsciCommand
SelectionUpperCase enum valueQsciCommand
setAlternateKey(int altkey)QsciCommand
setKey(int key)QsciCommand
StutteredPageDown enum valueQsciCommand
StutteredPageDownExtend enum valueQsciCommand
StutteredPageUp enum valueQsciCommand
StutteredPageUpExtend enum valueQsciCommand
Tab enum valueQsciCommand
Undo enum valueQsciCommand
validKey(int key)QsciCommand [static]
VCHome enum valueQsciCommand
VCHomeExtend enum valueQsciCommand
VCHomeRectExtend enum valueQsciCommand
VCHomeWrap enum valueQsciCommand
VCHomeWrapExtend enum valueQsciCommand
VerticalCentreCaret enum valueQsciCommand
WordLeft enum valueQsciCommand
WordLeftEnd enum valueQsciCommand
WordLeftEndExtend enum valueQsciCommand
WordLeftExtend enum valueQsciCommand
WordPartLeft enum valueQsciCommand
WordPartLeftExtend enum valueQsciCommand
WordPartRight enum valueQsciCommand
WordPartRightExtend enum valueQsciCommand
WordRight enum valueQsciCommand
WordRightEnd enum valueQsciCommand
WordRightEndExtend enum valueQsciCommand
WordRightExtend enum valueQsciCommand
ZoomIn enum valueQsciCommand
ZoomOut enum valueQsciCommand
- +

This is the complete list of members for QsciCommand, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
alternateKey() const QsciCommandinline
Backtab enum valueQsciCommand
Cancel enum valueQsciCommand
CharLeft enum valueQsciCommand
CharLeftExtend enum valueQsciCommand
CharLeftRectExtend enum valueQsciCommand
CharRight enum valueQsciCommand
CharRightExtend enum valueQsciCommand
CharRightRectExtend enum valueQsciCommand
command() const QsciCommandinline
Command enum nameQsciCommand
Delete enum valueQsciCommand
DeleteBack enum valueQsciCommand
DeleteBackNotLine enum valueQsciCommand
DeleteLineLeft enum valueQsciCommand
DeleteLineRight enum valueQsciCommand
DeleteWordLeft enum valueQsciCommand
DeleteWordRight enum valueQsciCommand
DeleteWordRightEnd enum valueQsciCommand
description() const QsciCommand
DocumentEnd enum valueQsciCommand
DocumentEndExtend enum valueQsciCommand
DocumentStart enum valueQsciCommand
DocumentStartExtend enum valueQsciCommand
EditToggleOvertype enum valueQsciCommand
execute()QsciCommand
Formfeed enum valueQsciCommand
Home enum valueQsciCommand
HomeDisplay enum valueQsciCommand
HomeDisplayExtend enum valueQsciCommand
HomeExtend enum valueQsciCommand
HomeRectExtend enum valueQsciCommand
HomeWrap enum valueQsciCommand
HomeWrapExtend enum valueQsciCommand
key() const QsciCommandinline
LineCopy enum valueQsciCommand
LineCut enum valueQsciCommand
LineDelete enum valueQsciCommand
LineDown enum valueQsciCommand
LineDownExtend enum valueQsciCommand
LineDownRectExtend enum valueQsciCommand
LineDuplicate enum valueQsciCommand
LineEnd enum valueQsciCommand
LineEndDisplay enum valueQsciCommand
LineEndDisplayExtend enum valueQsciCommand
LineEndExtend enum valueQsciCommand
LineEndRectExtend enum valueQsciCommand
LineEndWrap enum valueQsciCommand
LineEndWrapExtend enum valueQsciCommand
LineScrollDown enum valueQsciCommand
LineScrollUp enum valueQsciCommand
LineTranspose enum valueQsciCommand
LineUp enum valueQsciCommand
LineUpExtend enum valueQsciCommand
LineUpRectExtend enum valueQsciCommand
MoveSelectedLinesDown enum valueQsciCommand
MoveSelectedLinesUp enum valueQsciCommand
Newline enum valueQsciCommand
PageDown enum valueQsciCommand
PageDownExtend enum valueQsciCommand
PageDownRectExtend enum valueQsciCommand
PageUp enum valueQsciCommand
PageUpExtend enum valueQsciCommand
PageUpRectExtend enum valueQsciCommand
ParaDown enum valueQsciCommand
ParaDownExtend enum valueQsciCommand
ParaUp enum valueQsciCommand
ParaUpExtend enum valueQsciCommand
Paste enum valueQsciCommand
Redo enum valueQsciCommand
ScrollToEnd enum valueQsciCommand
ScrollToStart enum valueQsciCommand
SelectAll enum valueQsciCommand
SelectionCopy enum valueQsciCommand
SelectionCut enum valueQsciCommand
SelectionDuplicate enum valueQsciCommand
SelectionLowerCase enum valueQsciCommand
SelectionUpperCase enum valueQsciCommand
setAlternateKey(int altkey)QsciCommand
setKey(int key)QsciCommand
StutteredPageDown enum valueQsciCommand
StutteredPageDownExtend enum valueQsciCommand
StutteredPageUp enum valueQsciCommand
StutteredPageUpExtend enum valueQsciCommand
Tab enum valueQsciCommand
Undo enum valueQsciCommand
validKey(int key)QsciCommandstatic
VCHome enum valueQsciCommand
VCHomeExtend enum valueQsciCommand
VCHomeRectExtend enum valueQsciCommand
VCHomeWrap enum valueQsciCommand
VCHomeWrapExtend enum valueQsciCommand
VerticalCentreCaret enum valueQsciCommand
WordLeft enum valueQsciCommand
WordLeftEnd enum valueQsciCommand
WordLeftEndExtend enum valueQsciCommand
WordLeftExtend enum valueQsciCommand
WordPartLeft enum valueQsciCommand
WordPartLeftExtend enum valueQsciCommand
WordPartRight enum valueQsciCommand
WordPartRightExtend enum valueQsciCommand
WordRight enum valueQsciCommand
WordRightEnd enum valueQsciCommand
WordRightEndExtend enum valueQsciCommand
WordRightExtend enum valueQsciCommand
ZoomIn enum valueQsciCommand
ZoomOut enum valueQsciCommand
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciCommandSet.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciCommandSet.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciCommandSet.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciCommandSet.html 2015-04-20 14:38:24.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciCommandSet Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciCommandSet Class Reference
- +
- -

#include <qscicommandset.h>

-

List of all members.

-

-Public Member Functions

- -

Detailed Description

+

#include <qscicommandset.h>

+ + + + + + + + + + + + + + + + +

+Public Member Functions

bool readSettings (QSettings &qs, const char *prefix="/Scintilla")
 
bool writeSettings (QSettings &qs, const char *prefix="/Scintilla")
 
+QList< QsciCommand * > & commands ()
 
+void clearKeys ()
 
+void clearAlternateKeys ()
 
+QsciCommandboundTo (int key) const
 
+QsciCommandfind (QsciCommand::Command command) const
 
+

Detailed Description

The QsciCommandSet class represents the set of all internal editor commands that may have keys bound.

Methods are provided to access the individual commands and to read and write the current bindings from and to settings files.

-

Member Function Documentation

- +

Member Function Documentation

+
@@ -103,14 +100,13 @@
-
-
+

The key bindings for each command in the set are read from the settings qs. prefix is prepended to the key of each entry. true is returned if there was no error.

-
See also:
writeSettings()
+
See also
writeSettings()
- +
@@ -132,21 +128,18 @@
-
-
+

The key bindings for each command in the set are written to the settings qs. prefix is prepended to the key of each entry. true is returned if there was no error.

-
See also:
readSettings()
+
See also
readSettings()
- - - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciCommandSet-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciCommandSet-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciCommandSet-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciCommandSet-members.html 2015-04-20 14:38:24.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciCommandSet Member List
-
+
-This is the complete list of members for QsciCommandSet, including all inherited members. - - - - - - - -
boundTo(int key) const (defined in QsciCommandSet)QsciCommandSet
clearAlternateKeys()QsciCommandSet
clearKeys()QsciCommandSet
commands()QsciCommandSet [inline]
find(QsciCommand::Command command) const (defined in QsciCommandSet)QsciCommandSet
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciCommandSet
writeSettings(QSettings &qs, const char *prefix="/Scintilla")QsciCommandSet
- +

This is the complete list of members for QsciCommandSet, including all inherited members.

+ + + + + + + + +
boundTo(int key) const (defined in QsciCommandSet)QsciCommandSet
clearAlternateKeys()QsciCommandSet
clearKeys()QsciCommandSet
commands()QsciCommandSetinline
find(QsciCommand::Command command) const (defined in QsciCommandSet)QsciCommandSet
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciCommandSet
writeSettings(QSettings &qs, const char *prefix="/Scintilla")QsciCommandSet
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciDocument.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciDocument.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciDocument.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciDocument.html 2015-04-20 14:38:24.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciDocument Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciDocument Class Reference
- +
- -

#include <qscidocument.h>

- -

List of all members.

-

-Public Member Functions

- -

Detailed Description

-

The QsciDocument class represents a document to be edited.

-

It is an opaque class that can be attached to multiple instances of QsciScintilla to create different simultaneous views of the same document. QsciDocument uses implicit sharing so that copying class instances is a cheap operation.

-
- +

#include <qscidocument.h>

+ + + + + + + + +

+Public Member Functions

QsciDocument ()
 
QsciDocument (const QsciDocument &)
 
+QsciDocumentoperator= (const QsciDocument &)
 
+

Detailed Description

+

The QsciDocument class represents a document to be edited.

+

It is an opaque class that can be attached to multiple instances of QsciScintilla to create different simultaneous views of the same document. QsciDocument uses implicit sharing so that copying class instances is a cheap operation.

+
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciDocument-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciDocument-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciDocument-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciDocument-members.html 2015-04-20 14:38:24.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciDocument Member List
-
+
-This is the complete list of members for QsciDocument, including all inherited members. - - - - -
operator=(const QsciDocument &) (defined in QsciDocument)QsciDocument
QsciDocument()QsciDocument
QsciDocument(const QsciDocument &) (defined in QsciDocument)QsciDocument
~QsciDocument() (defined in QsciDocument)QsciDocument [virtual]
- +

This is the complete list of members for QsciDocument, including all inherited members.

+ + + + + +
operator=(const QsciDocument &) (defined in QsciDocument)QsciDocument
QsciDocument()QsciDocument
QsciDocument(const QsciDocument &) (defined in QsciDocument)QsciDocument
~QsciDocument() (defined in QsciDocument)QsciDocumentvirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerAVS.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerAVS.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerAVS.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerAVS.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciLexerAVS Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerAVS Class Reference
- +
- +

#include <qscilexeravs.h>

Inherits QsciLexer.

- -

List of all members.

-

-Public Types

- -

-Public Slots

- -

-Public Member Functions

- -

-Protected Member Functions

- -

Detailed Description

-

The QsciLexerAVS class encapsulates the Scintilla AVS lexer.

-

Member Enumeration Documentation

- + + + + +

+Public Types

enum  {
+  Default = 0, +BlockComment = 1, +NestedBlockComment = 2, +
+  LineComment = 3, +Number = 4, +Operator = 5, +
+  Identifier = 6, +String = 7, +TripleString = 8, +
+  Keyword = 9, +Filter = 10, +Plugin = 11, +
+  Function = 12, +ClipProperty = 13, +KeywordSet6 = 14 +
+ }
 
+ + + + + + + + + + + + + + + + +

+Public Slots

virtual void setFoldComments (bool fold)
 
virtual void setFoldCompact (bool fold)
 
- Public Slots inherited from QsciLexer
virtual void setAutoIndentStyle (int autoindentstyle)
 
virtual void setColor (const QColor &c, int style=-1)
 
virtual void setEolFill (bool eoffill, int style=-1)
 
virtual void setFont (const QFont &f, int style=-1)
 
virtual void setPaper (const QColor &c, int style=-1)
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 QsciLexerAVS (QObject *parent=0)
 
+virtual ~QsciLexerAVS ()
 
+const char * language () const
 
const char * lexer () const
 
+int braceStyle () const
 
+const char * wordCharacters () const
 
QColor defaultColor (int style) const
 
+QFont defaultFont (int style) const
 
const char * keywords (int set) const
 
QString description (int style) const
 
void refreshProperties ()
 
bool foldComments () const
 
bool foldCompact () const
 
- Public Member Functions inherited from QsciLexer
 QsciLexer (QObject *parent=0)
 
+virtual ~QsciLexer ()
 
virtual int lexerId () const
 
QsciAbstractAPIsapis () const
 
+virtual const char * autoCompletionFillups () const
 
+virtual QStringList autoCompletionWordSeparators () const
 
int autoIndentStyle ()
 
+virtual const char * blockEnd (int *style=0) const
 
+virtual int blockLookback () const
 
+virtual const char * blockStart (int *style=0) const
 
+virtual const char * blockStartKeyword (int *style=0) const
 
+virtual bool caseSensitive () const
 
virtual QColor color (int style) const
 
virtual bool eolFill (int style) const
 
virtual QFont font (int style) const
 
+virtual int indentationGuideView () const
 
+virtual int defaultStyle () const
 
virtual QColor paper (int style) const
 
QColor defaultColor () const
 
virtual bool defaultEolFill (int style) const
 
QFont defaultFont () const
 
QColor defaultPaper () const
 
+virtual QColor defaultPaper (int style) const
 
QsciScintillaeditor () const
 
void setAPIs (QsciAbstractAPIs *apis)
 
void setDefaultColor (const QColor &c)
 
void setDefaultFont (const QFont &f)
 
void setDefaultPaper (const QColor &c)
 
+virtual void setEditor (QsciScintilla *editor)
 
bool readSettings (QSettings &qs, const char *prefix="/Scintilla")
 
virtual int styleBitsNeeded () const
 
bool writeSettings (QSettings &qs, const char *prefix="/Scintilla") const
 
+ + + + + +

+Protected Member Functions

bool readProperties (QSettings &qs, const QString &prefix)
 
bool writeProperties (QSettings &qs, const QString &prefix) const
 
+ + + + + + + + + + + + +

+Additional Inherited Members

- Signals inherited from QsciLexer
void colorChanged (const QColor &c, int style)
 
void eolFillChanged (bool eolfilled, int style)
 
void fontChanged (const QFont &f, int style)
 
void paperChanged (const QColor &c, int style)
 
void propertyChanged (const char *prop, const char *val)
 
+

Detailed Description

+

The QsciLexerAVS class encapsulates the Scintilla AVS lexer.

+

Member Enumeration Documentation

+
@@ -137,63 +244,60 @@
anonymous enum
-
-
+

This enum defines the meanings of the different styles used by the AVS lexer.

-
Enumerator:
-
Default  + + - - - - - - - - - - - - - -
Enumerator
Default 

The default.

BlockComment  +
BlockComment 

A block comment.

NestedBlockComment  +
NestedBlockComment 

A nested block comment.

LineComment  +
LineComment 

A line comment.

Number  +
Number 

A number.

Operator  +
Operator 

An operator.

Identifier  +
Identifier 

An identifier.

String  +
String 

A string.

TripleString  +
TripleString 

A triple quoted string.

Keyword  +
Keyword 

A keyword (as defined by keyword set number 1)..

Filter  +
Filter 

A filter (as defined by keyword set number 2).

Plugin  +
Plugin 

A plugin (as defined by keyword set number 3).

Function  +
Function 

A function (as defined by keyword set number 4).

ClipProperty  +
ClipProperty 

A clip property (as defined by keyword set number 5).

KeywordSet6  +
KeywordSet6 

A keyword defined in keyword set number 6. The class must be sub-classed and re-implement keywords() to make use of this style.

- - -

Constructor & Destructor Documentation

- +

Constructor & Destructor Documentation

+
@@ -205,113 +309,147 @@
-
-
-

Construct a QsciLexerAVS with parent parent. parent is typically the QsciScintilla instance.

+
+

Construct a QsciLexerAVS with parent parent. parent is typically the QsciScintilla instance.

-

Member Function Documentation

- +

Member Function Documentation

+
+ + + + + +
- +
const char* QsciLexerAVS::lexer ( ) const [virtual] const
- -
+
+virtual
+

Returns the name of the lexer. Some lexers support a number of languages.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerAVS::defaultColor ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the foreground colour of the text for style number style.

-
See also:
defaultPaper()
+
See also
defaultPaper()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
const char* QsciLexerAVS::keywords ( int  set) const [virtual] const
- -
+
+virtual
+

Returns the set of keywords for the keyword set set recognised by the lexer as a space separated string.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QString QsciLexerAVS::description ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the descriptive name for style number style. If the style is invalid for this language then an empty QString is returned. This is intended to be used in user preference dialogs.

Implements QsciLexer.

- +
+ + + + + +
- +
void QsciLexerAVS::refreshProperties ( ) [virtual]
- -
+
+virtual
+

Causes all properties to be refreshed by emitting the propertyChanged() signal as required.

Reimplemented from QsciLexer.

- +
@@ -322,14 +460,13 @@
const
-
-
+

Returns true if multi-line comment blocks can be folded.

-
See also:
setFoldComments()
+
See also
setFoldComments()
- +
@@ -340,54 +477,70 @@
const
-
-
+

Returns true if trailing blank lines are included in a fold block.

-
See also:
setFoldCompact()
+
See also
setFoldCompact()
- +
+ + + + + +
- +
virtual void QsciLexerAVS::setFoldComments ( bool  fold) [virtual, slot]
- -
+
+virtualslot
+

If fold is true then multi-line comment blocks can be folded. The default is false.

-
See also:
foldComments()
+
See also
foldComments()
- +
+ + + + + +
- +
virtual void QsciLexerAVS::setFoldCompact ( bool  fold) [virtual, slot]
- -
+
+virtualslot
+

If fold is true then trailing blank lines are included in a fold block. The default is true.

-
See also:
foldCompact()
+
See also
foldCompact()
- +
+ + + + + +
@@ -404,20 +557,27 @@ - +
bool QsciLexerAVS::readProperties
) [protected, virtual]
- -
+
+protectedvirtual
+

The lexer's properties are read from the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

Reimplemented from QsciLexer.

- +
+ + + + + +
@@ -434,25 +594,27 @@ - +
bool QsciLexerAVS::writeProperties
) const [protected, virtual] const
- -
+
+protectedvirtual
+

The lexer's properties are written to the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

Reimplemented from QsciLexer.

- - - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerAVS-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerAVS-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerAVS-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerAVS-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerAVS Member List
-
+
-This is the complete list of members for QsciLexerAVS, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexer [virtual]
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexer [virtual]
autoIndentStyle()QsciLexer
BlockComment enum valueQsciLexerAVS
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockLookback() const (defined in QsciLexer)QsciLexer [virtual]
blockStart(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
braceStyle() const (defined in QsciLexerAVS)QsciLexerAVS [virtual]
caseSensitive() const (defined in QsciLexer)QsciLexer [virtual]
ClipProperty enum valueQsciLexerAVS
color(int style) const QsciLexer [virtual]
colorChanged(const QColor &c, int style)QsciLexer [signal]
Default enum valueQsciLexerAVS
defaultColor(int style) const QsciLexerAVS [virtual]
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexer [virtual]
defaultFont(int style) const QsciLexerAVS [virtual]
QsciLexer::defaultFont() const QsciLexer
defaultPaper() const QsciLexer
defaultPaper(int style) const QsciLexer [virtual]
defaultStyle() const (defined in QsciLexer)QsciLexer [virtual]
description(int style) const QsciLexerAVS [virtual]
editor() const QsciLexer [inline]
eolFill(int style) const QsciLexer [virtual]
eolFillChanged(bool eolfilled, int style)QsciLexer [signal]
Filter enum valueQsciLexerAVS
foldComments() const QsciLexerAVS
foldCompact() const QsciLexerAVS
font(int style) const QsciLexer [virtual]
fontChanged(const QFont &f, int style)QsciLexer [signal]
Function enum valueQsciLexerAVS
Identifier enum valueQsciLexerAVS
indentationGuideView() const (defined in QsciLexer)QsciLexer [virtual]
Keyword enum valueQsciLexerAVS
keywords(int set) const QsciLexerAVS [virtual]
KeywordSet6 enum valueQsciLexerAVS
language() const QsciLexerAVS [virtual]
lexer() const QsciLexerAVS [virtual]
lexerId() const QsciLexer [virtual]
LineComment enum valueQsciLexerAVS
NestedBlockComment enum valueQsciLexerAVS
Number enum valueQsciLexerAVS
Operator enum valueQsciLexerAVS
paper(int style) const QsciLexer [virtual]
paperChanged(const QColor &c, int style)QsciLexer [signal]
Plugin enum valueQsciLexerAVS
propertyChanged(const char *prop, const char *val)QsciLexer [signal]
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerAVS(QObject *parent=0)QsciLexerAVS
readProperties(QSettings &qs, const QString &prefix)QsciLexerAVS [protected, virtual]
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerAVS [virtual]
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexer [virtual, slot]
setColor(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexer [virtual]
setEolFill(bool eoffill, int style=-1)QsciLexer [virtual, slot]
setFoldComments(bool fold)QsciLexerAVS [virtual, slot]
setFoldCompact(bool fold)QsciLexerAVS [virtual, slot]
setFont(const QFont &f, int style=-1)QsciLexer [virtual, slot]
setPaper(const QColor &c, int style=-1)QsciLexer [virtual, slot]
String enum valueQsciLexerAVS
styleBitsNeeded() const QsciLexer [virtual]
TripleString enum valueQsciLexerAVS
wordCharacters() const QsciLexerAVS [virtual]
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerAVS [protected, virtual]
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexer [virtual]
~QsciLexerAVS()QsciLexerAVS [virtual]
- +

This is the complete list of members for QsciLexerAVS, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexervirtual
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexervirtual
autoIndentStyle()QsciLexer
BlockComment enum valueQsciLexerAVS
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockLookback() const (defined in QsciLexer)QsciLexervirtual
blockStart(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexervirtual
braceStyle() const (defined in QsciLexerAVS)QsciLexerAVSvirtual
caseSensitive() const (defined in QsciLexer)QsciLexervirtual
ClipProperty enum valueQsciLexerAVS
color(int style) const QsciLexervirtual
colorChanged(const QColor &c, int style)QsciLexersignal
Default enum valueQsciLexerAVS
defaultColor(int style) const QsciLexerAVSvirtual
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexervirtual
defaultFont(int style) const QsciLexerAVSvirtual
QsciLexer::defaultFont() const QsciLexer
defaultPaper() const QsciLexer
defaultPaper(int style) const QsciLexervirtual
defaultStyle() const (defined in QsciLexer)QsciLexervirtual
description(int style) const QsciLexerAVSvirtual
editor() const QsciLexerinline
eolFill(int style) const QsciLexervirtual
eolFillChanged(bool eolfilled, int style)QsciLexersignal
Filter enum valueQsciLexerAVS
foldComments() const QsciLexerAVS
foldCompact() const QsciLexerAVS
font(int style) const QsciLexervirtual
fontChanged(const QFont &f, int style)QsciLexersignal
Function enum valueQsciLexerAVS
Identifier enum valueQsciLexerAVS
indentationGuideView() const (defined in QsciLexer)QsciLexervirtual
Keyword enum valueQsciLexerAVS
keywords(int set) const QsciLexerAVSvirtual
KeywordSet6 enum valueQsciLexerAVS
language() const QsciLexerAVSvirtual
lexer() const QsciLexerAVSvirtual
lexerId() const QsciLexervirtual
LineComment enum valueQsciLexerAVS
NestedBlockComment enum valueQsciLexerAVS
Number enum valueQsciLexerAVS
Operator enum valueQsciLexerAVS
paper(int style) const QsciLexervirtual
paperChanged(const QColor &c, int style)QsciLexersignal
Plugin enum valueQsciLexerAVS
propertyChanged(const char *prop, const char *val)QsciLexersignal
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerAVS(QObject *parent=0)QsciLexerAVS
readProperties(QSettings &qs, const QString &prefix)QsciLexerAVSprotectedvirtual
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerAVSvirtual
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexervirtualslot
setColor(const QColor &c, int style=-1)QsciLexervirtualslot
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexervirtual
setEolFill(bool eoffill, int style=-1)QsciLexervirtualslot
setFoldComments(bool fold)QsciLexerAVSvirtualslot
setFoldCompact(bool fold)QsciLexerAVSvirtualslot
setFont(const QFont &f, int style=-1)QsciLexervirtualslot
setPaper(const QColor &c, int style=-1)QsciLexervirtualslot
String enum valueQsciLexerAVS
styleBitsNeeded() const QsciLexervirtual
TripleString enum valueQsciLexerAVS
wordCharacters() const QsciLexerAVSvirtual
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerAVSprotectedvirtual
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexervirtual
~QsciLexerAVS()QsciLexerAVSvirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerBash.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerBash.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerBash.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerBash.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciLexerBash Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerBash Class Reference
- +
- +

#include <qscilexerbash.h>

Inherits QsciLexer.

- -

List of all members.

-

-Public Types

- -

-Public Slots

- -

-Public Member Functions

- -

-Protected Member Functions

- -

Detailed Description

-

The QsciLexerBash class encapsulates the Scintilla Bash lexer.

-

Member Enumeration Documentation

- + + + + +

+Public Types

enum  {
+  Default = 0, +Error = 1, +Comment = 2, +
+  Number = 3, +Keyword = 4, +DoubleQuotedString = 5, +
+  SingleQuotedString = 6, +Operator = 7, +Identifier = 8, +
+  Scalar = 9, +ParameterExpansion = 10, +Backticks = 11, +
+  HereDocumentDelimiter = 12, +SingleQuotedHereDocument = 13 +
+ }
 
+ + + + + + + + + + + + + + + + +

+Public Slots

virtual void setFoldComments (bool fold)
 
virtual void setFoldCompact (bool fold)
 
- Public Slots inherited from QsciLexer
virtual void setAutoIndentStyle (int autoindentstyle)
 
virtual void setColor (const QColor &c, int style=-1)
 
virtual void setEolFill (bool eoffill, int style=-1)
 
virtual void setFont (const QFont &f, int style=-1)
 
virtual void setPaper (const QColor &c, int style=-1)
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 QsciLexerBash (QObject *parent=0)
 
+virtual ~QsciLexerBash ()
 
+const char * language () const
 
const char * lexer () const
 
+int braceStyle () const
 
+const char * wordCharacters () const
 
QColor defaultColor (int style) const
 
+bool defaultEolFill (int style) const
 
+QFont defaultFont (int style) const
 
QColor defaultPaper (int style) const
 
const char * keywords (int set) const
 
QString description (int style) const
 
void refreshProperties ()
 
bool foldComments () const
 
bool foldCompact () const
 
- Public Member Functions inherited from QsciLexer
 QsciLexer (QObject *parent=0)
 
+virtual ~QsciLexer ()
 
virtual int lexerId () const
 
QsciAbstractAPIsapis () const
 
+virtual const char * autoCompletionFillups () const
 
+virtual QStringList autoCompletionWordSeparators () const
 
int autoIndentStyle ()
 
+virtual const char * blockEnd (int *style=0) const
 
+virtual int blockLookback () const
 
+virtual const char * blockStart (int *style=0) const
 
+virtual const char * blockStartKeyword (int *style=0) const
 
+virtual bool caseSensitive () const
 
virtual QColor color (int style) const
 
virtual bool eolFill (int style) const
 
virtual QFont font (int style) const
 
+virtual int indentationGuideView () const
 
+virtual int defaultStyle () const
 
virtual QColor paper (int style) const
 
QColor defaultColor () const
 
QFont defaultFont () const
 
QColor defaultPaper () const
 
QsciScintillaeditor () const
 
void setAPIs (QsciAbstractAPIs *apis)
 
void setDefaultColor (const QColor &c)
 
void setDefaultFont (const QFont &f)
 
void setDefaultPaper (const QColor &c)
 
+virtual void setEditor (QsciScintilla *editor)
 
bool readSettings (QSettings &qs, const char *prefix="/Scintilla")
 
virtual int styleBitsNeeded () const
 
bool writeSettings (QSettings &qs, const char *prefix="/Scintilla") const
 
+ + + + + +

+Protected Member Functions

bool readProperties (QSettings &qs, const QString &prefix)
 
bool writeProperties (QSettings &qs, const QString &prefix) const
 
+ + + + + + + + + + + + +

+Additional Inherited Members

- Signals inherited from QsciLexer
void colorChanged (const QColor &c, int style)
 
void eolFillChanged (bool eolfilled, int style)
 
void fontChanged (const QFont &f, int style)
 
void paperChanged (const QColor &c, int style)
 
void propertyChanged (const char *prop, const char *val)
 
+

Detailed Description

+

The QsciLexerBash class encapsulates the Scintilla Bash lexer.

+

Member Enumeration Documentation

+
@@ -139,60 +243,57 @@
anonymous enum
-
-
+

This enum defines the meanings of the different styles used by the Bash lexer.

-
Enumerator:
-
Default  + + - - - - - - - - - - - - -
Enumerator
Default 

The default.

Error  +
Error 

An error.

Comment  +
Comment 

A comment.

Number  +
Number 

A number.

Keyword  +
Keyword 

A keyword.

DoubleQuotedString  +
DoubleQuotedString 

A double-quoted string.

SingleQuotedString  +
SingleQuotedString 

A single-quoted string.

Operator  +
Operator 

An operator.

Identifier  +
Identifier 

An identifier.

Scalar  +
Scalar 

A scalar.

ParameterExpansion  +
ParameterExpansion 

Parameter expansion.

Backticks  +
Backticks 

Backticks.

HereDocumentDelimiter  +
HereDocumentDelimiter 

A here document delimiter.

SingleQuotedHereDocument  +
SingleQuotedHereDocument 

A single quoted here document.

- - -

Constructor & Destructor Documentation

- +

Constructor & Destructor Documentation

+
@@ -204,134 +305,175 @@
-
-
-

Construct a QsciLexerBash with parent parent. parent is typically the QsciScintilla instance.

+
+

Construct a QsciLexerBash with parent parent. parent is typically the QsciScintilla instance.

-

Member Function Documentation

- +

Member Function Documentation

+
+ + + + + +
- +
const char* QsciLexerBash::lexer ( ) const [virtual] const
- -
+
+virtual
+

Returns the name of the lexer. Some lexers support a number of languages.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerBash::defaultColor ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the foreground colour of the text for style number style.

-
See also:
defaultPaper()
+
See also
defaultPaper()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerBash::defaultPaper ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the background colour of the text for style number style.

-
See also:
defaultColor()
+
See also
defaultColor()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
const char* QsciLexerBash::keywords ( int  set) const [virtual] const
- -
+
+virtual
+

Returns the set of keywords for the keyword set set recognised by the lexer as a space separated string.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QString QsciLexerBash::description ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the descriptive name for style number style. If the style is invalid for this language then an empty QString is returned. This is intended to be used in user preference dialogs.

Implements QsciLexer.

- +
+ + + + + +
- +
void QsciLexerBash::refreshProperties ( ) [virtual]
- -
+
+virtual
+

Causes all properties to be refreshed by emitting the propertyChanged() signal as required.

Reimplemented from QsciLexer.

- +
@@ -342,14 +484,13 @@
const
-
-
+

Returns true if multi-line comment blocks can be folded.

-
See also:
setFoldComments()
+
See also
setFoldComments()
- +
@@ -360,54 +501,70 @@
const
-
-
+

Returns true if trailing blank lines are included in a fold block.

-
See also:
setFoldCompact()
+
See also
setFoldCompact()
- +
+ + + + + +
- +
virtual void QsciLexerBash::setFoldComments ( bool  fold) [virtual, slot]
- -
+
+virtualslot
+

If fold is true then multi-line comment blocks can be folded. The default is false.

-
See also:
foldComments()
+
See also
foldComments()
- +
+ + + + + +
- +
virtual void QsciLexerBash::setFoldCompact ( bool  fold) [virtual, slot]
- -
+
+virtualslot
+

If fold is true then trailing blank lines are included in a fold block. The default is true.

-
See also:
foldCompact()
+
See also
foldCompact()
- +
+ + + + + +
@@ -424,20 +581,27 @@ - +
bool QsciLexerBash::readProperties
) [protected, virtual]
- -
+
+protectedvirtual
+

The lexer's properties are read from the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

Reimplemented from QsciLexer.

- +
+ + + + + +
@@ -454,25 +618,27 @@ - +
bool QsciLexerBash::writeProperties
) const [protected, virtual] const
- -
+
+protectedvirtual
+

The lexer's properties are written to the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

Reimplemented from QsciLexer.

- - - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerBash-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerBash-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerBash-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerBash-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerBash Member List
-
+
-This is the complete list of members for QsciLexerBash, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexer [virtual]
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexer [virtual]
autoIndentStyle()QsciLexer
Backticks enum valueQsciLexerBash
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockLookback() const (defined in QsciLexer)QsciLexer [virtual]
blockStart(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
braceStyle() const (defined in QsciLexerBash)QsciLexerBash [virtual]
caseSensitive() const (defined in QsciLexer)QsciLexer [virtual]
color(int style) const QsciLexer [virtual]
colorChanged(const QColor &c, int style)QsciLexer [signal]
Comment enum valueQsciLexerBash
Default enum valueQsciLexerBash
defaultColor(int style) const QsciLexerBash [virtual]
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerBash [virtual]
defaultFont(int style) const QsciLexerBash [virtual]
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerBash [virtual]
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexer [virtual]
description(int style) const QsciLexerBash [virtual]
DoubleQuotedString enum valueQsciLexerBash
editor() const QsciLexer [inline]
eolFill(int style) const QsciLexer [virtual]
eolFillChanged(bool eolfilled, int style)QsciLexer [signal]
Error enum valueQsciLexerBash
foldComments() const QsciLexerBash
foldCompact() const QsciLexerBash
font(int style) const QsciLexer [virtual]
fontChanged(const QFont &f, int style)QsciLexer [signal]
HereDocumentDelimiter enum valueQsciLexerBash
Identifier enum valueQsciLexerBash
indentationGuideView() const (defined in QsciLexer)QsciLexer [virtual]
Keyword enum valueQsciLexerBash
keywords(int set) const QsciLexerBash [virtual]
language() const QsciLexerBash [virtual]
lexer() const QsciLexerBash [virtual]
lexerId() const QsciLexer [virtual]
Number enum valueQsciLexerBash
Operator enum valueQsciLexerBash
paper(int style) const QsciLexer [virtual]
paperChanged(const QColor &c, int style)QsciLexer [signal]
ParameterExpansion enum valueQsciLexerBash
propertyChanged(const char *prop, const char *val)QsciLexer [signal]
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerBash(QObject *parent=0)QsciLexerBash
readProperties(QSettings &qs, const QString &prefix)QsciLexerBash [protected, virtual]
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerBash [virtual]
Scalar enum valueQsciLexerBash
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexer [virtual, slot]
setColor(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexer [virtual]
setEolFill(bool eoffill, int style=-1)QsciLexer [virtual, slot]
setFoldComments(bool fold)QsciLexerBash [virtual, slot]
setFoldCompact(bool fold)QsciLexerBash [virtual, slot]
setFont(const QFont &f, int style=-1)QsciLexer [virtual, slot]
setPaper(const QColor &c, int style=-1)QsciLexer [virtual, slot]
SingleQuotedHereDocument enum valueQsciLexerBash
SingleQuotedString enum valueQsciLexerBash
styleBitsNeeded() const QsciLexer [virtual]
wordCharacters() const QsciLexerBash [virtual]
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerBash [protected, virtual]
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexer [virtual]
~QsciLexerBash()QsciLexerBash [virtual]
- +

This is the complete list of members for QsciLexerBash, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexervirtual
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexervirtual
autoIndentStyle()QsciLexer
Backticks enum valueQsciLexerBash
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockLookback() const (defined in QsciLexer)QsciLexervirtual
blockStart(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexervirtual
braceStyle() const (defined in QsciLexerBash)QsciLexerBashvirtual
caseSensitive() const (defined in QsciLexer)QsciLexervirtual
color(int style) const QsciLexervirtual
colorChanged(const QColor &c, int style)QsciLexersignal
Comment enum valueQsciLexerBash
Default enum valueQsciLexerBash
defaultColor(int style) const QsciLexerBashvirtual
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerBashvirtual
defaultFont(int style) const QsciLexerBashvirtual
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerBashvirtual
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexervirtual
description(int style) const QsciLexerBashvirtual
DoubleQuotedString enum valueQsciLexerBash
editor() const QsciLexerinline
eolFill(int style) const QsciLexervirtual
eolFillChanged(bool eolfilled, int style)QsciLexersignal
Error enum valueQsciLexerBash
foldComments() const QsciLexerBash
foldCompact() const QsciLexerBash
font(int style) const QsciLexervirtual
fontChanged(const QFont &f, int style)QsciLexersignal
HereDocumentDelimiter enum valueQsciLexerBash
Identifier enum valueQsciLexerBash
indentationGuideView() const (defined in QsciLexer)QsciLexervirtual
Keyword enum valueQsciLexerBash
keywords(int set) const QsciLexerBashvirtual
language() const QsciLexerBashvirtual
lexer() const QsciLexerBashvirtual
lexerId() const QsciLexervirtual
Number enum valueQsciLexerBash
Operator enum valueQsciLexerBash
paper(int style) const QsciLexervirtual
paperChanged(const QColor &c, int style)QsciLexersignal
ParameterExpansion enum valueQsciLexerBash
propertyChanged(const char *prop, const char *val)QsciLexersignal
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerBash(QObject *parent=0)QsciLexerBash
readProperties(QSettings &qs, const QString &prefix)QsciLexerBashprotectedvirtual
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerBashvirtual
Scalar enum valueQsciLexerBash
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexervirtualslot
setColor(const QColor &c, int style=-1)QsciLexervirtualslot
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexervirtual
setEolFill(bool eoffill, int style=-1)QsciLexervirtualslot
setFoldComments(bool fold)QsciLexerBashvirtualslot
setFoldCompact(bool fold)QsciLexerBashvirtualslot
setFont(const QFont &f, int style=-1)QsciLexervirtualslot
setPaper(const QColor &c, int style=-1)QsciLexervirtualslot
SingleQuotedHereDocument enum valueQsciLexerBash
SingleQuotedString enum valueQsciLexerBash
styleBitsNeeded() const QsciLexervirtual
wordCharacters() const QsciLexerBashvirtual
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerBashprotectedvirtual
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexervirtual
~QsciLexerBash()QsciLexerBashvirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerBatch.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerBatch.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerBatch.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerBatch.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciLexerBatch Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerBatch Class Reference
- +
- +

#include <qscilexerbatch.h>

Inherits QsciLexer.

- -

List of all members.

-

-Public Types

- -

-Public Member Functions

- -

Detailed Description

-

The QsciLexerBatch class encapsulates the Scintilla batch file lexer.

-

Member Enumeration Documentation

- + + + + +

+Public Types

enum  {
+  Default = 0, +Comment = 1, +Keyword = 2, +
+  Label = 3, +HideCommandChar = 4, +ExternalCommand = 5, +
+  Variable = 6, +Operator = 7 +
+ }
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 QsciLexerBatch (QObject *parent=0)
 
+virtual ~QsciLexerBatch ()
 
+const char * language () const
 
const char * lexer () const
 
+const char * wordCharacters () const
 
+bool caseSensitive () const
 
QColor defaultColor (int style) const
 
+bool defaultEolFill (int style) const
 
+QFont defaultFont (int style) const
 
QColor defaultPaper (int style) const
 
const char * keywords (int set) const
 
QString description (int style) const
 
- Public Member Functions inherited from QsciLexer
 QsciLexer (QObject *parent=0)
 
+virtual ~QsciLexer ()
 
virtual int lexerId () const
 
QsciAbstractAPIsapis () const
 
+virtual const char * autoCompletionFillups () const
 
+virtual QStringList autoCompletionWordSeparators () const
 
int autoIndentStyle ()
 
+virtual const char * blockEnd (int *style=0) const
 
+virtual int blockLookback () const
 
+virtual const char * blockStart (int *style=0) const
 
+virtual const char * blockStartKeyword (int *style=0) const
 
+virtual int braceStyle () const
 
virtual QColor color (int style) const
 
virtual bool eolFill (int style) const
 
virtual QFont font (int style) const
 
+virtual int indentationGuideView () const
 
+virtual int defaultStyle () const
 
virtual QColor paper (int style) const
 
QColor defaultColor () const
 
QFont defaultFont () const
 
QColor defaultPaper () const
 
QsciScintillaeditor () const
 
void setAPIs (QsciAbstractAPIs *apis)
 
void setDefaultColor (const QColor &c)
 
void setDefaultFont (const QFont &f)
 
void setDefaultPaper (const QColor &c)
 
+virtual void setEditor (QsciScintilla *editor)
 
bool readSettings (QSettings &qs, const char *prefix="/Scintilla")
 
virtual void refreshProperties ()
 
virtual int styleBitsNeeded () const
 
bool writeSettings (QSettings &qs, const char *prefix="/Scintilla") const
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Additional Inherited Members

- Public Slots inherited from QsciLexer
virtual void setAutoIndentStyle (int autoindentstyle)
 
virtual void setColor (const QColor &c, int style=-1)
 
virtual void setEolFill (bool eoffill, int style=-1)
 
virtual void setFont (const QFont &f, int style=-1)
 
virtual void setPaper (const QColor &c, int style=-1)
 
- Signals inherited from QsciLexer
void colorChanged (const QColor &c, int style)
 
void eolFillChanged (bool eolfilled, int style)
 
void fontChanged (const QFont &f, int style)
 
void paperChanged (const QColor &c, int style)
 
void propertyChanged (const char *prop, const char *val)
 
- Protected Member Functions inherited from QsciLexer
virtual bool readProperties (QSettings &qs, const QString &prefix)
 
virtual bool writeProperties (QSettings &qs, const QString &prefix) const
 
+

Detailed Description

+

The QsciLexerBatch class encapsulates the Scintilla batch file lexer.

+

Member Enumeration Documentation

+
@@ -114,42 +220,39 @@
anonymous enum
-
-
+

This enum defines the meanings of the different styles used by the batch file lexer.

-
Enumerator:
-
Default  + + - - - - - - -
Enumerator
Default 

The default.

Comment  +
Comment 

A comment.

Keyword  +
Keyword 

A keyword.

Label  +
Label 

A label.

HideCommandChar  +
HideCommandChar 

An hide command character.

ExternalCommand  +
ExternalCommand 

An external command .

Variable  +
Variable 

A variable.

Operator  +
Operator 

An operator.

- - -

Constructor & Destructor Documentation

- +

Constructor & Destructor Documentation

+
@@ -161,122 +264,154 @@
-
-
-

Construct a QsciLexerBatch with parent parent. parent is typically the QsciScintilla instance.

+
+

Construct a QsciLexerBatch with parent parent. parent is typically the QsciScintilla instance.

-

Member Function Documentation

- +

Member Function Documentation

+
+ + + + + +
- +
const char* QsciLexerBatch::lexer ( ) const [virtual] const
- -
+
+virtual
+

Returns the name of the lexer. Some lexers support a number of languages.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerBatch::defaultColor ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the foreground colour of the text for style number style.

-
See also:
defaultPaper()
+
See also
defaultPaper()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerBatch::defaultPaper ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the background colour of the text for style number style.

-
See also:
defaultColor()
+
See also
defaultColor()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
const char* QsciLexerBatch::keywords ( int  set) const [virtual] const
- -
+
+virtual
+

Returns the set of keywords for the keyword set set recognised by the lexer as a space separated string.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QString QsciLexerBatch::description ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the descriptive name for style number style. If the style is invalid for this language then an empty QString is returned. This is intended to be used in user preference dialogs.

Implements QsciLexer.

- - - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerBatch-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerBatch-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerBatch-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerBatch-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerBatch Member List
-
+
-This is the complete list of members for QsciLexerBatch, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexer [virtual]
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexer [virtual]
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockLookback() const (defined in QsciLexer)QsciLexer [virtual]
blockStart(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
braceStyle() const (defined in QsciLexer)QsciLexer [virtual]
caseSensitive() const (defined in QsciLexerBatch)QsciLexerBatch [virtual]
color(int style) const QsciLexer [virtual]
colorChanged(const QColor &c, int style)QsciLexer [signal]
Comment enum valueQsciLexerBatch
Default enum valueQsciLexerBatch
defaultColor(int style) const QsciLexerBatch [virtual]
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerBatch [virtual]
defaultFont(int style) const QsciLexerBatch [virtual]
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerBatch [virtual]
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexer [virtual]
description(int style) const QsciLexerBatch [virtual]
editor() const QsciLexer [inline]
eolFill(int style) const QsciLexer [virtual]
eolFillChanged(bool eolfilled, int style)QsciLexer [signal]
ExternalCommand enum valueQsciLexerBatch
font(int style) const QsciLexer [virtual]
fontChanged(const QFont &f, int style)QsciLexer [signal]
HideCommandChar enum valueQsciLexerBatch
indentationGuideView() const (defined in QsciLexer)QsciLexer [virtual]
Keyword enum valueQsciLexerBatch
keywords(int set) const QsciLexerBatch [virtual]
Label enum valueQsciLexerBatch
language() const QsciLexerBatch [virtual]
lexer() const QsciLexerBatch [virtual]
lexerId() const QsciLexer [virtual]
Operator enum valueQsciLexerBatch
paper(int style) const QsciLexer [virtual]
paperChanged(const QColor &c, int style)QsciLexer [signal]
propertyChanged(const char *prop, const char *val)QsciLexer [signal]
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerBatch(QObject *parent=0)QsciLexerBatch
readProperties(QSettings &qs, const QString &prefix)QsciLexer [protected, virtual]
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexer [virtual]
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexer [virtual, slot]
setColor(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexer [virtual]
setEolFill(bool eoffill, int style=-1)QsciLexer [virtual, slot]
setFont(const QFont &f, int style=-1)QsciLexer [virtual, slot]
setPaper(const QColor &c, int style=-1)QsciLexer [virtual, slot]
styleBitsNeeded() const QsciLexer [virtual]
Variable enum valueQsciLexerBatch
wordCharacters() const QsciLexerBatch [virtual]
writeProperties(QSettings &qs, const QString &prefix) const QsciLexer [protected, virtual]
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexer [virtual]
~QsciLexerBatch()QsciLexerBatch [virtual]
- +

This is the complete list of members for QsciLexerBatch, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexervirtual
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexervirtual
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockLookback() const (defined in QsciLexer)QsciLexervirtual
blockStart(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexervirtual
braceStyle() const (defined in QsciLexer)QsciLexervirtual
caseSensitive() const (defined in QsciLexerBatch)QsciLexerBatchvirtual
color(int style) const QsciLexervirtual
colorChanged(const QColor &c, int style)QsciLexersignal
Comment enum valueQsciLexerBatch
Default enum valueQsciLexerBatch
defaultColor(int style) const QsciLexerBatchvirtual
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerBatchvirtual
defaultFont(int style) const QsciLexerBatchvirtual
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerBatchvirtual
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexervirtual
description(int style) const QsciLexerBatchvirtual
editor() const QsciLexerinline
eolFill(int style) const QsciLexervirtual
eolFillChanged(bool eolfilled, int style)QsciLexersignal
ExternalCommand enum valueQsciLexerBatch
font(int style) const QsciLexervirtual
fontChanged(const QFont &f, int style)QsciLexersignal
HideCommandChar enum valueQsciLexerBatch
indentationGuideView() const (defined in QsciLexer)QsciLexervirtual
Keyword enum valueQsciLexerBatch
keywords(int set) const QsciLexerBatchvirtual
Label enum valueQsciLexerBatch
language() const QsciLexerBatchvirtual
lexer() const QsciLexerBatchvirtual
lexerId() const QsciLexervirtual
Operator enum valueQsciLexerBatch
paper(int style) const QsciLexervirtual
paperChanged(const QColor &c, int style)QsciLexersignal
propertyChanged(const char *prop, const char *val)QsciLexersignal
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerBatch(QObject *parent=0)QsciLexerBatch
readProperties(QSettings &qs, const QString &prefix)QsciLexerprotectedvirtual
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexervirtual
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexervirtualslot
setColor(const QColor &c, int style=-1)QsciLexervirtualslot
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexervirtual
setEolFill(bool eoffill, int style=-1)QsciLexervirtualslot
setFont(const QFont &f, int style=-1)QsciLexervirtualslot
setPaper(const QColor &c, int style=-1)QsciLexervirtualslot
styleBitsNeeded() const QsciLexervirtual
Variable enum valueQsciLexerBatch
wordCharacters() const QsciLexerBatchvirtual
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerprotectedvirtual
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexervirtual
~QsciLexerBatch()QsciLexerBatchvirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerCMake.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerCMake.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerCMake.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerCMake.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciLexerCMake Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerCMake Class Reference
- +
- +

#include <qscilexercmake.h>

Inherits QsciLexer.

- -

List of all members.

-

-Public Types

- -

-Public Slots

- -

-Public Member Functions

- -

-Protected Member Functions

- -

Detailed Description

-

The QsciLexerCMake class encapsulates the Scintilla CMake lexer.

-

Member Enumeration Documentation

- + + + + +

+Public Types

enum  {
+  Default = 0, +Comment = 1, +String = 2, +
+  StringLeftQuote = 3, +StringRightQuote = 4, +Function = 5, +
+  Variable = 6, +Label = 7, +KeywordSet3 = 8, +
+  BlockWhile = 9, +BlockForeach = 10, +BlockIf = 11, +
+  BlockMacro = 12, +StringVariable = 13, +Number = 14 +
+ }
 
+ + + + + + + + + + + + + + +

+Public Slots

virtual void setFoldAtElse (bool fold)
 
- Public Slots inherited from QsciLexer
virtual void setAutoIndentStyle (int autoindentstyle)
 
virtual void setColor (const QColor &c, int style=-1)
 
virtual void setEolFill (bool eoffill, int style=-1)
 
virtual void setFont (const QFont &f, int style=-1)
 
virtual void setPaper (const QColor &c, int style=-1)
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 QsciLexerCMake (QObject *parent=0)
 
+virtual ~QsciLexerCMake ()
 
+const char * language () const
 
const char * lexer () const
 
QColor defaultColor (int style) const
 
+QFont defaultFont (int style) const
 
QColor defaultPaper (int style) const
 
const char * keywords (int set) const
 
QString description (int style) const
 
void refreshProperties ()
 
bool foldAtElse () const
 
- Public Member Functions inherited from QsciLexer
 QsciLexer (QObject *parent=0)
 
+virtual ~QsciLexer ()
 
virtual int lexerId () const
 
QsciAbstractAPIsapis () const
 
+virtual const char * autoCompletionFillups () const
 
+virtual QStringList autoCompletionWordSeparators () const
 
int autoIndentStyle ()
 
+virtual const char * blockEnd (int *style=0) const
 
+virtual int blockLookback () const
 
+virtual const char * blockStart (int *style=0) const
 
+virtual const char * blockStartKeyword (int *style=0) const
 
+virtual int braceStyle () const
 
+virtual bool caseSensitive () const
 
virtual QColor color (int style) const
 
virtual bool eolFill (int style) const
 
virtual QFont font (int style) const
 
+virtual int indentationGuideView () const
 
+virtual int defaultStyle () const
 
virtual QColor paper (int style) const
 
QColor defaultColor () const
 
virtual bool defaultEolFill (int style) const
 
QFont defaultFont () const
 
QColor defaultPaper () const
 
QsciScintillaeditor () const
 
void setAPIs (QsciAbstractAPIs *apis)
 
void setDefaultColor (const QColor &c)
 
void setDefaultFont (const QFont &f)
 
void setDefaultPaper (const QColor &c)
 
+virtual void setEditor (QsciScintilla *editor)
 
bool readSettings (QSettings &qs, const char *prefix="/Scintilla")
 
virtual int styleBitsNeeded () const
 
virtual const char * wordCharacters () const
 
bool writeSettings (QSettings &qs, const char *prefix="/Scintilla") const
 
+ + + + + +

+Protected Member Functions

bool readProperties (QSettings &qs, const QString &prefix)
 
bool writeProperties (QSettings &qs, const QString &prefix) const
 
+ + + + + + + + + + + + +

+Additional Inherited Members

- Signals inherited from QsciLexer
void colorChanged (const QColor &c, int style)
 
void eolFillChanged (bool eolfilled, int style)
 
void fontChanged (const QFont &f, int style)
 
void paperChanged (const QColor &c, int style)
 
void propertyChanged (const char *prop, const char *val)
 
+

Detailed Description

+

The QsciLexerCMake class encapsulates the Scintilla CMake lexer.

+

Member Enumeration Documentation

+
@@ -132,63 +238,60 @@
anonymous enum
-
-
+

This enum defines the meanings of the different styles used by the CMake lexer.

-
Enumerator:
-
Default  + + - - - - - - - - - - - - - -
Enumerator
Default 

The default.

Comment  +
Comment 

A comment.

String  +
String 

A string.

StringLeftQuote  +
StringLeftQuote 

A left quoted string.

StringRightQuote  +
StringRightQuote 

A right quoted string.

Function  +
Function 

A function. (Defined by keyword set number 1.)

Variable  +
Variable 

A variable. (Defined by keyword set number 2.)

Label  +
Label 

A label.

KeywordSet3  +
KeywordSet3 

A keyword defined in keyword set number 3. The class must be sub-classed and re-implement keywords() to make use of this style.

BlockWhile  +
BlockWhile 

A WHILE block.

BlockForeach  +
BlockForeach 

A FOREACH block.

BlockIf  +
BlockIf 

An IF block.

BlockMacro  +
BlockMacro 

A MACRO block.

StringVariable  +
StringVariable 

A variable within a string.

Number  +
Number 

A number.

- - -

Constructor & Destructor Documentation

- +

Constructor & Destructor Documentation

+
@@ -200,134 +303,175 @@
-
-
-

Construct a QsciLexerCMake with parent parent. parent is typically the QsciScintilla instance.

+
+

Construct a QsciLexerCMake with parent parent. parent is typically the QsciScintilla instance.

-

Member Function Documentation

- +

Member Function Documentation

+
+ + + + + +
- +
const char* QsciLexerCMake::lexer ( ) const [virtual] const
- -
+
+virtual
+

Returns the name of the lexer. Some lexers support a number of languages.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerCMake::defaultColor ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the foreground colour of the text for style number style.

-
See also:
defaultPaper()
+
See also
defaultPaper()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerCMake::defaultPaper ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the background colour of the text for style number style.

-
See also:
defaultColor()
+
See also
defaultColor()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
const char* QsciLexerCMake::keywords ( int  set) const [virtual] const
- -
+
+virtual
+

Returns the set of keywords for the keyword set set recognised by the lexer as a space separated string.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QString QsciLexerCMake::description ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the descriptive name for style number style. If the style is invalid for this language then an empty QString is returned. This is intended to be used in user preference dialogs.

Implements QsciLexer.

- +
+ + + + + +
- +
void QsciLexerCMake::refreshProperties ( ) [virtual]
- -
+
+virtual
+

Causes all properties to be refreshed by emitting the propertyChanged() signal as required.

Reimplemented from QsciLexer.

- +
@@ -338,35 +482,44 @@
const
-
-
+

Returns true if ELSE blocks can be folded.

-
See also:
setFoldAtElse()
+
See also
setFoldAtElse()
- +
+ + + + + +
- +
virtual void QsciLexerCMake::setFoldAtElse ( bool  fold) [virtual, slot]
- -
+
+virtualslot
+

If fold is true then ELSE blocks can be folded. The default is false.

-
See also:
foldAtElse()
+
See also
foldAtElse()
- +
+ + + + + +
@@ -383,20 +536,27 @@ - +
bool QsciLexerCMake::readProperties
) [protected, virtual]
- -
+
+protectedvirtual
+

The lexer's properties are read from the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

Reimplemented from QsciLexer.

- +
+ + + + + +
@@ -413,25 +573,27 @@ - +
bool QsciLexerCMake::writeProperties
) const [protected, virtual] const
- -
+
+protectedvirtual
+

The lexer's properties are written to the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

Reimplemented from QsciLexer.

- - - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerCMake-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerCMake-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerCMake-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerCMake-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerCMake Member List
-
+
-This is the complete list of members for QsciLexerCMake, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexer [virtual]
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexer [virtual]
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
BlockForeach enum valueQsciLexerCMake
BlockIf enum valueQsciLexerCMake
blockLookback() const (defined in QsciLexer)QsciLexer [virtual]
BlockMacro enum valueQsciLexerCMake
blockStart(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
BlockWhile enum valueQsciLexerCMake
braceStyle() const (defined in QsciLexer)QsciLexer [virtual]
caseSensitive() const (defined in QsciLexer)QsciLexer [virtual]
color(int style) const QsciLexer [virtual]
colorChanged(const QColor &c, int style)QsciLexer [signal]
Comment enum valueQsciLexerCMake
Default enum valueQsciLexerCMake
defaultColor(int style) const QsciLexerCMake [virtual]
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexer [virtual]
defaultFont(int style) const QsciLexerCMake [virtual]
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerCMake [virtual]
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexer [virtual]
description(int style) const QsciLexerCMake [virtual]
editor() const QsciLexer [inline]
eolFill(int style) const QsciLexer [virtual]
eolFillChanged(bool eolfilled, int style)QsciLexer [signal]
foldAtElse() const QsciLexerCMake
font(int style) const QsciLexer [virtual]
fontChanged(const QFont &f, int style)QsciLexer [signal]
Function enum valueQsciLexerCMake
indentationGuideView() const (defined in QsciLexer)QsciLexer [virtual]
keywords(int set) const QsciLexerCMake [virtual]
KeywordSet3 enum valueQsciLexerCMake
Label enum valueQsciLexerCMake
language() const QsciLexerCMake [virtual]
lexer() const QsciLexerCMake [virtual]
lexerId() const QsciLexer [virtual]
Number enum valueQsciLexerCMake
paper(int style) const QsciLexer [virtual]
paperChanged(const QColor &c, int style)QsciLexer [signal]
propertyChanged(const char *prop, const char *val)QsciLexer [signal]
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerCMake(QObject *parent=0)QsciLexerCMake
readProperties(QSettings &qs, const QString &prefix)QsciLexerCMake [protected, virtual]
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerCMake [virtual]
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexer [virtual, slot]
setColor(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexer [virtual]
setEolFill(bool eoffill, int style=-1)QsciLexer [virtual, slot]
setFoldAtElse(bool fold)QsciLexerCMake [virtual, slot]
setFont(const QFont &f, int style=-1)QsciLexer [virtual, slot]
setPaper(const QColor &c, int style=-1)QsciLexer [virtual, slot]
String enum valueQsciLexerCMake
StringLeftQuote enum valueQsciLexerCMake
StringRightQuote enum valueQsciLexerCMake
StringVariable enum valueQsciLexerCMake
styleBitsNeeded() const QsciLexer [virtual]
Variable enum valueQsciLexerCMake
wordCharacters() const QsciLexer [virtual]
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerCMake [protected, virtual]
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexer [virtual]
~QsciLexerCMake()QsciLexerCMake [virtual]
- +

This is the complete list of members for QsciLexerCMake, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexervirtual
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexervirtual
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexervirtual
BlockForeach enum valueQsciLexerCMake
BlockIf enum valueQsciLexerCMake
blockLookback() const (defined in QsciLexer)QsciLexervirtual
BlockMacro enum valueQsciLexerCMake
blockStart(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexervirtual
BlockWhile enum valueQsciLexerCMake
braceStyle() const (defined in QsciLexer)QsciLexervirtual
caseSensitive() const (defined in QsciLexer)QsciLexervirtual
color(int style) const QsciLexervirtual
colorChanged(const QColor &c, int style)QsciLexersignal
Comment enum valueQsciLexerCMake
Default enum valueQsciLexerCMake
defaultColor(int style) const QsciLexerCMakevirtual
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexervirtual
defaultFont(int style) const QsciLexerCMakevirtual
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerCMakevirtual
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexervirtual
description(int style) const QsciLexerCMakevirtual
editor() const QsciLexerinline
eolFill(int style) const QsciLexervirtual
eolFillChanged(bool eolfilled, int style)QsciLexersignal
foldAtElse() const QsciLexerCMake
font(int style) const QsciLexervirtual
fontChanged(const QFont &f, int style)QsciLexersignal
Function enum valueQsciLexerCMake
indentationGuideView() const (defined in QsciLexer)QsciLexervirtual
keywords(int set) const QsciLexerCMakevirtual
KeywordSet3 enum valueQsciLexerCMake
Label enum valueQsciLexerCMake
language() const QsciLexerCMakevirtual
lexer() const QsciLexerCMakevirtual
lexerId() const QsciLexervirtual
Number enum valueQsciLexerCMake
paper(int style) const QsciLexervirtual
paperChanged(const QColor &c, int style)QsciLexersignal
propertyChanged(const char *prop, const char *val)QsciLexersignal
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerCMake(QObject *parent=0)QsciLexerCMake
readProperties(QSettings &qs, const QString &prefix)QsciLexerCMakeprotectedvirtual
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerCMakevirtual
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexervirtualslot
setColor(const QColor &c, int style=-1)QsciLexervirtualslot
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexervirtual
setEolFill(bool eoffill, int style=-1)QsciLexervirtualslot
setFoldAtElse(bool fold)QsciLexerCMakevirtualslot
setFont(const QFont &f, int style=-1)QsciLexervirtualslot
setPaper(const QColor &c, int style=-1)QsciLexervirtualslot
String enum valueQsciLexerCMake
StringLeftQuote enum valueQsciLexerCMake
StringRightQuote enum valueQsciLexerCMake
StringVariable enum valueQsciLexerCMake
styleBitsNeeded() const QsciLexervirtual
Variable enum valueQsciLexerCMake
wordCharacters() const QsciLexervirtual
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerCMakeprotectedvirtual
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexervirtual
~QsciLexerCMake()QsciLexerCMakevirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerCoffeeScript.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerCoffeeScript.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerCoffeeScript.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerCoffeeScript.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciLexerCoffeeScript Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerCoffeeScript Class Reference
- +
- +

#include <qscilexercoffeescript.h>

Inherits QsciLexer.

- -

List of all members.

-

-Public Types

- -

-Public Member Functions

- -

-Protected Member Functions

- -

Detailed Description

-

The QsciLexerCoffeeScript class encapsulates the Scintilla CoffeeScript lexer.

-

Member Enumeration Documentation

- + + + + +

+Public Types

enum  {
+  Default = 0, +Comment = 1, +CommentLine = 2, +
+  CommentDoc = 3, +Number = 4, +Keyword = 5, +
+  DoubleQuotedString = 6, +SingleQuotedString = 7, +UUID = 8, +
+  PreProcessor = 9, +Operator = 10, +Identifier = 11, +
+  UnclosedString = 12, +VerbatimString = 13, +Regex = 14, +
+  CommentLineDoc = 15, +KeywordSet2 = 16, +CommentDocKeyword = 17, +
+  CommentDocKeywordError = 18, +GlobalClass = 19, +CommentBlock = 22, +
+  BlockRegex = 23, +BlockRegexComment = 24 +
+ }
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 QsciLexerCoffeeScript (QObject *parent=0)
 
+virtual ~QsciLexerCoffeeScript ()
 
+const char * language () const
 
const char * lexer () const
 
+QStringList autoCompletionWordSeparators () const
 
+const char * blockEnd (int *style=0) const
 
+const char * blockStart (int *style=0) const
 
+const char * blockStartKeyword (int *style=0) const
 
+int braceStyle () const
 
+const char * wordCharacters () const
 
QColor defaultColor (int style) const
 
+bool defaultEolFill (int style) const
 
+QFont defaultFont (int style) const
 
QColor defaultPaper (int style) const
 
const char * keywords (int set) const
 
QString description (int style) const
 
void refreshProperties ()
 
bool dollarsAllowed () const
 
void setDollarsAllowed (bool allowed)
 
bool foldComments () const
 
void setFoldComments (bool fold)
 
bool foldCompact () const
 
void setFoldCompact (bool fold)
 
bool stylePreprocessor () const
 
void setStylePreprocessor (bool style)
 
- Public Member Functions inherited from QsciLexer
 QsciLexer (QObject *parent=0)
 
+virtual ~QsciLexer ()
 
virtual int lexerId () const
 
QsciAbstractAPIsapis () const
 
+virtual const char * autoCompletionFillups () const
 
int autoIndentStyle ()
 
+virtual int blockLookback () const
 
+virtual bool caseSensitive () const
 
virtual QColor color (int style) const
 
virtual bool eolFill (int style) const
 
virtual QFont font (int style) const
 
+virtual int indentationGuideView () const
 
+virtual int defaultStyle () const
 
virtual QColor paper (int style) const
 
QColor defaultColor () const
 
QFont defaultFont () const
 
QColor defaultPaper () const
 
QsciScintillaeditor () const
 
void setAPIs (QsciAbstractAPIs *apis)
 
void setDefaultColor (const QColor &c)
 
void setDefaultFont (const QFont &f)
 
void setDefaultPaper (const QColor &c)
 
+virtual void setEditor (QsciScintilla *editor)
 
bool readSettings (QSettings &qs, const char *prefix="/Scintilla")
 
virtual int styleBitsNeeded () const
 
bool writeSettings (QSettings &qs, const char *prefix="/Scintilla") const
 
+ + + + + +

+Protected Member Functions

bool readProperties (QSettings &qs, const QString &prefix)
 
bool writeProperties (QSettings &qs, const QString &prefix) const
 
+ + + + + + + + + + + + + + + + + + + + + + + +

+Additional Inherited Members

- Public Slots inherited from QsciLexer
virtual void setAutoIndentStyle (int autoindentstyle)
 
virtual void setColor (const QColor &c, int style=-1)
 
virtual void setEolFill (bool eoffill, int style=-1)
 
virtual void setFont (const QFont &f, int style=-1)
 
virtual void setPaper (const QColor &c, int style=-1)
 
- Signals inherited from QsciLexer
void colorChanged (const QColor &c, int style)
 
void eolFillChanged (bool eolfilled, int style)
 
void fontChanged (const QFont &f, int style)
 
void paperChanged (const QColor &c, int style)
 
void propertyChanged (const char *prop, const char *val)
 
+

Detailed Description

+

The QsciLexerCoffeeScript class encapsulates the Scintilla CoffeeScript lexer.

+

Member Enumeration Documentation

+
@@ -158,87 +259,84 @@
anonymous enum
-
-
+

This enum defines the meanings of the different styles used by the C++ lexer.

-
Enumerator:
-
Default  + + - - - - - - - - - - - - - - - - - - - - - -
Enumerator
Default 

The default.

Comment  +
Comment 

A C-style comment.

CommentLine  +
CommentLine 

A C++-style comment line.

CommentDoc  +
CommentDoc 

A JavaDoc/Doxygen C-style comment.

Number  +
Number 

A number.

Keyword  +
Keyword 

A keyword.

DoubleQuotedString  +
DoubleQuotedString 

A double-quoted string.

SingleQuotedString  +
SingleQuotedString 

A single-quoted string.

UUID  +
UUID 

An IDL UUID.

PreProcessor  +
PreProcessor 

A pre-processor block.

Operator  +
Operator 

An operator.

Identifier  +
Identifier 

An identifier.

UnclosedString  +
UnclosedString 

The end of a line where a string is not closed.

VerbatimString  +
VerbatimString 

A C# verbatim string.

Regex  +
Regex 

A regular expression.

CommentLineDoc  +
CommentLineDoc 

A JavaDoc/Doxygen C++-style comment line.

KeywordSet2  +
KeywordSet2 

A keyword defined in keyword set number 2. The class must be sub-classed and re-implement keywords() to make use of this style.

CommentDocKeyword  +
CommentDocKeyword 

A JavaDoc/Doxygen keyword.

CommentDocKeywordError  +
CommentDocKeywordError 

A JavaDoc/Doxygen keyword error defined in keyword set number 3. The class must be sub-classed and re-implement keywords() to make use of this style.

GlobalClass  +
GlobalClass 

A global class defined in keyword set number 4. The class must be sub-classed and re-implement keywords() to make use of this style.

CommentBlock  +
CommentBlock 

A block comment.

BlockRegex  +
BlockRegex 

A block regular expression.

BlockRegexComment  +
BlockRegexComment 

A block regular expression comment.

- - -

Constructor & Destructor Documentation

- +

Constructor & Destructor Documentation

+
@@ -250,152 +348,200 @@
-
-
-

Construct a QsciLexerCoffeeScript with parent parent. parent is typically the QsciScintilla instance.

+
+

Construct a QsciLexerCoffeeScript with parent parent. parent is typically the QsciScintilla instance.

-

Member Function Documentation

- +

Member Function Documentation

+
+ + + + + +
- +
const char* QsciLexerCoffeeScript::lexer ( ) const [virtual] const
- -
+
+virtual
+

Returns the name of the lexer. Some lexers support a number of languages.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerCoffeeScript::defaultColor ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the foreground colour of the text for style number style.

-
See also:
defaultPaper()
+
See also
defaultPaper()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerCoffeeScript::defaultPaper ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the background colour of the text for style number style.

-
See also:
defaultColor()
+
See also
defaultColor()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
const char* QsciLexerCoffeeScript::keywords ( int  set) const [virtual] const
- -
+
+virtual
+

Returns the set of keywords for the keyword set set recognised by the lexer as a space separated string. Set 1 is normally used for primary keywords and identifiers. Set 2 is normally used for secondary keywords and identifiers. Set 3 is normally used for documentation comment keywords. Set 4 is normally used for global classes and typedefs.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QString QsciLexerCoffeeScript::description ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the descriptive name for style number style. If the style is invalid for this language then an empty QString is returned. This is intended to be used in user preference dialogs.

Implements QsciLexer.

- +
+ + + + + +
- +
void QsciLexerCoffeeScript::refreshProperties ( ) [virtual]
- -
+
+virtual
+

Causes all properties to be refreshed by emitting the propertyChanged() signal as required.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
bool QsciLexerCoffeeScript::dollarsAllowed ( ) const [inline] const
- -
+
+inline
+

Returns true if '$' characters are allowed in identifier names.

-
See also:
setDollarsAllowed()
+
See also
setDollarsAllowed()
- +
@@ -407,32 +553,38 @@
-
-
+

If allowed is true then '$' characters are allowed in identifier names. The default is true.

-
See also:
dollarsAllowed()
+
See also
dollarsAllowed()
- +
+ + + + + +
- +
bool QsciLexerCoffeeScript::foldComments ( ) const [inline] const
- -
+
+inline
+

Returns true if multi-line comment blocks can be folded.

-
See also:
setFoldComments()
+
See also
setFoldComments()
- +
@@ -444,32 +596,38 @@
-
-
+

If fold is true then multi-line comment blocks can be folded. The default is false.

-
See also:
foldComments()
+
See also
foldComments()
- +
+ + + + + +
- +
bool QsciLexerCoffeeScript::foldCompact ( ) const [inline] const
- -
+
+inline
+

Returns true if trailing blank lines are included in a fold block.

-
See also:
setFoldCompact()
+
See also
setFoldCompact()
- +
@@ -481,32 +639,38 @@
-
-
+

If fold is true then trailing blank lines are included in a fold block. The default is true.

-
See also:
foldCompact()
+
See also
foldCompact()
- +
+ + + + + +
- +
bool QsciLexerCoffeeScript::stylePreprocessor ( ) const [inline] const
- -
+
+inline
+

Returns true if preprocessor lines (after the preprocessor directive) are styled.

-
See also:
setStylePreprocessor()
+
See also
setStylePreprocessor()
- +
@@ -518,16 +682,18 @@
-
-
+

If style is true then preprocessor lines (after the preprocessor directive) are styled. The default is false.

-
See also:
stylePreprocessor()
+
See also
stylePreprocessor()
- +
+ + + + + +
@@ -544,21 +710,28 @@ - +
bool QsciLexerCoffeeScript::readProperties
) [protected, virtual]
- -
+
+protectedvirtual
+

The lexer's properties are read from the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

-
See also:
writeProperties()
+
See also
writeProperties()

Reimplemented from QsciLexer.

- +
+ + + + + +
@@ -575,26 +748,28 @@ - +
bool QsciLexerCoffeeScript::writeProperties
) const [protected, virtual] const
- -
+
+protectedvirtual
+

The lexer's properties are written to the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

-
See also:
readProperties()
+
See also
readProperties()

Reimplemented from QsciLexer.

- - - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerCoffeeScript-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerCoffeeScript-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerCoffeeScript-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerCoffeeScript-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerCoffeeScript Member List
-
+
-This is the complete list of members for QsciLexerCoffeeScript, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexer [virtual]
autoCompletionWordSeparators() const (defined in QsciLexerCoffeeScript)QsciLexerCoffeeScript [virtual]
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexerCoffeeScript)QsciLexerCoffeeScript [virtual]
blockLookback() const (defined in QsciLexer)QsciLexer [virtual]
BlockRegex enum valueQsciLexerCoffeeScript
BlockRegexComment enum valueQsciLexerCoffeeScript
blockStart(int *style=0) const (defined in QsciLexerCoffeeScript)QsciLexerCoffeeScript [virtual]
blockStartKeyword(int *style=0) const (defined in QsciLexerCoffeeScript)QsciLexerCoffeeScript [virtual]
braceStyle() const (defined in QsciLexerCoffeeScript)QsciLexerCoffeeScript [virtual]
caseSensitive() const (defined in QsciLexer)QsciLexer [virtual]
color(int style) const QsciLexer [virtual]
colorChanged(const QColor &c, int style)QsciLexer [signal]
Comment enum valueQsciLexerCoffeeScript
CommentBlock enum valueQsciLexerCoffeeScript
CommentDoc enum valueQsciLexerCoffeeScript
CommentDocKeyword enum valueQsciLexerCoffeeScript
CommentDocKeywordError enum valueQsciLexerCoffeeScript
CommentLine enum valueQsciLexerCoffeeScript
CommentLineDoc enum valueQsciLexerCoffeeScript
Default enum valueQsciLexerCoffeeScript
defaultColor(int style) const QsciLexerCoffeeScript [virtual]
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerCoffeeScript [virtual]
defaultFont(int style) const QsciLexerCoffeeScript [virtual]
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerCoffeeScript [virtual]
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexer [virtual]
description(int style) const QsciLexerCoffeeScript [virtual]
dollarsAllowed() const QsciLexerCoffeeScript [inline]
DoubleQuotedString enum valueQsciLexerCoffeeScript
editor() const QsciLexer [inline]
eolFill(int style) const QsciLexer [virtual]
eolFillChanged(bool eolfilled, int style)QsciLexer [signal]
foldComments() const QsciLexerCoffeeScript [inline]
foldCompact() const QsciLexerCoffeeScript [inline]
font(int style) const QsciLexer [virtual]
fontChanged(const QFont &f, int style)QsciLexer [signal]
GlobalClass enum valueQsciLexerCoffeeScript
Identifier enum valueQsciLexerCoffeeScript
indentationGuideView() const (defined in QsciLexer)QsciLexer [virtual]
Keyword enum valueQsciLexerCoffeeScript
keywords(int set) const QsciLexerCoffeeScript [virtual]
KeywordSet2 enum valueQsciLexerCoffeeScript
language() const QsciLexerCoffeeScript [virtual]
lexer() const QsciLexerCoffeeScript [virtual]
lexerId() const QsciLexer [virtual]
Number enum valueQsciLexerCoffeeScript
Operator enum valueQsciLexerCoffeeScript
paper(int style) const QsciLexer [virtual]
paperChanged(const QColor &c, int style)QsciLexer [signal]
PreProcessor enum valueQsciLexerCoffeeScript
propertyChanged(const char *prop, const char *val)QsciLexer [signal]
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerCoffeeScript(QObject *parent=0)QsciLexerCoffeeScript
readProperties(QSettings &qs, const QString &prefix)QsciLexerCoffeeScript [protected, virtual]
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerCoffeeScript [virtual]
Regex enum valueQsciLexerCoffeeScript
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexer [virtual, slot]
setColor(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setDollarsAllowed(bool allowed)QsciLexerCoffeeScript
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexer [virtual]
setEolFill(bool eoffill, int style=-1)QsciLexer [virtual, slot]
setFoldComments(bool fold)QsciLexerCoffeeScript
setFoldCompact(bool fold)QsciLexerCoffeeScript
setFont(const QFont &f, int style=-1)QsciLexer [virtual, slot]
setPaper(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setStylePreprocessor(bool style)QsciLexerCoffeeScript
SingleQuotedString enum valueQsciLexerCoffeeScript
styleBitsNeeded() const QsciLexer [virtual]
stylePreprocessor() const QsciLexerCoffeeScript [inline]
UnclosedString enum valueQsciLexerCoffeeScript
UUID enum valueQsciLexerCoffeeScript
VerbatimString enum valueQsciLexerCoffeeScript
wordCharacters() const QsciLexerCoffeeScript [virtual]
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerCoffeeScript [protected, virtual]
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexer [virtual]
~QsciLexerCoffeeScript()QsciLexerCoffeeScript [virtual]
- +

This is the complete list of members for QsciLexerCoffeeScript, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexervirtual
autoCompletionWordSeparators() const (defined in QsciLexerCoffeeScript)QsciLexerCoffeeScriptvirtual
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexerCoffeeScript)QsciLexerCoffeeScriptvirtual
blockLookback() const (defined in QsciLexer)QsciLexervirtual
BlockRegex enum valueQsciLexerCoffeeScript
BlockRegexComment enum valueQsciLexerCoffeeScript
blockStart(int *style=0) const (defined in QsciLexerCoffeeScript)QsciLexerCoffeeScriptvirtual
blockStartKeyword(int *style=0) const (defined in QsciLexerCoffeeScript)QsciLexerCoffeeScriptvirtual
braceStyle() const (defined in QsciLexerCoffeeScript)QsciLexerCoffeeScriptvirtual
caseSensitive() const (defined in QsciLexer)QsciLexervirtual
color(int style) const QsciLexervirtual
colorChanged(const QColor &c, int style)QsciLexersignal
Comment enum valueQsciLexerCoffeeScript
CommentBlock enum valueQsciLexerCoffeeScript
CommentDoc enum valueQsciLexerCoffeeScript
CommentDocKeyword enum valueQsciLexerCoffeeScript
CommentDocKeywordError enum valueQsciLexerCoffeeScript
CommentLine enum valueQsciLexerCoffeeScript
CommentLineDoc enum valueQsciLexerCoffeeScript
Default enum valueQsciLexerCoffeeScript
defaultColor(int style) const QsciLexerCoffeeScriptvirtual
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerCoffeeScriptvirtual
defaultFont(int style) const QsciLexerCoffeeScriptvirtual
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerCoffeeScriptvirtual
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexervirtual
description(int style) const QsciLexerCoffeeScriptvirtual
dollarsAllowed() const QsciLexerCoffeeScriptinline
DoubleQuotedString enum valueQsciLexerCoffeeScript
editor() const QsciLexerinline
eolFill(int style) const QsciLexervirtual
eolFillChanged(bool eolfilled, int style)QsciLexersignal
foldComments() const QsciLexerCoffeeScriptinline
foldCompact() const QsciLexerCoffeeScriptinline
font(int style) const QsciLexervirtual
fontChanged(const QFont &f, int style)QsciLexersignal
GlobalClass enum valueQsciLexerCoffeeScript
Identifier enum valueQsciLexerCoffeeScript
indentationGuideView() const (defined in QsciLexer)QsciLexervirtual
Keyword enum valueQsciLexerCoffeeScript
keywords(int set) const QsciLexerCoffeeScriptvirtual
KeywordSet2 enum valueQsciLexerCoffeeScript
language() const QsciLexerCoffeeScriptvirtual
lexer() const QsciLexerCoffeeScriptvirtual
lexerId() const QsciLexervirtual
Number enum valueQsciLexerCoffeeScript
Operator enum valueQsciLexerCoffeeScript
paper(int style) const QsciLexervirtual
paperChanged(const QColor &c, int style)QsciLexersignal
PreProcessor enum valueQsciLexerCoffeeScript
propertyChanged(const char *prop, const char *val)QsciLexersignal
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerCoffeeScript(QObject *parent=0)QsciLexerCoffeeScript
readProperties(QSettings &qs, const QString &prefix)QsciLexerCoffeeScriptprotectedvirtual
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerCoffeeScriptvirtual
Regex enum valueQsciLexerCoffeeScript
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexervirtualslot
setColor(const QColor &c, int style=-1)QsciLexervirtualslot
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setDollarsAllowed(bool allowed)QsciLexerCoffeeScript
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexervirtual
setEolFill(bool eoffill, int style=-1)QsciLexervirtualslot
setFoldComments(bool fold)QsciLexerCoffeeScript
setFoldCompact(bool fold)QsciLexerCoffeeScript
setFont(const QFont &f, int style=-1)QsciLexervirtualslot
setPaper(const QColor &c, int style=-1)QsciLexervirtualslot
setStylePreprocessor(bool style)QsciLexerCoffeeScript
SingleQuotedString enum valueQsciLexerCoffeeScript
styleBitsNeeded() const QsciLexervirtual
stylePreprocessor() const QsciLexerCoffeeScriptinline
UnclosedString enum valueQsciLexerCoffeeScript
UUID enum valueQsciLexerCoffeeScript
VerbatimString enum valueQsciLexerCoffeeScript
wordCharacters() const QsciLexerCoffeeScriptvirtual
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerCoffeeScriptprotectedvirtual
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexervirtual
~QsciLexerCoffeeScript()QsciLexerCoffeeScriptvirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerCPP.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerCPP.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerCPP.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerCPP.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciLexerCPP Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerCPP Class Reference
- +
- +

#include <qscilexercpp.h>

Inherits QsciLexer.

Inherited by QsciLexerCSharp, QsciLexerIDL, QsciLexerJava, and QsciLexerJavaScript.

- -

List of all members.

-

-Public Types

-
    -
  • enum {
    -  Default = 0, -InactiveDefault = Default + 64, -Comment = 1, -
    -  InactiveComment = Comment + 64, -CommentLine = 2, -InactiveCommentLine = CommentLine + 64, -
    -  CommentDoc = 3, -InactiveCommentDoc = CommentDoc + 64, -Number = 4, -
    -  InactiveNumber = Number + 64, -Keyword = 5, -InactiveKeyword = Keyword + 64, -
    -  DoubleQuotedString = 6, -InactiveDoubleQuotedString = DoubleQuotedString + 64, -SingleQuotedString = 7, -
    -  InactiveSingleQuotedString = SingleQuotedString + 64, -UUID = 8, -InactiveUUID = UUID + 64, -
    -  PreProcessor = 9, -InactivePreProcessor = PreProcessor + 64, -Operator = 10, -
    -  InactiveOperator = Operator + 64, -Identifier = 11, -InactiveIdentifier = Identifier + 64, -
    -  UnclosedString = 12, -InactiveUnclosedString = UnclosedString + 64, -VerbatimString = 13, -
    -  InactiveVerbatimString = VerbatimString + 64, -Regex = 14, -InactiveRegex = Regex + 64, -
    -  CommentLineDoc = 15, -InactiveCommentLineDoc = CommentLineDoc + 64, -KeywordSet2 = 16, -
    -  InactiveKeywordSet2 = KeywordSet2 + 64, -CommentDocKeyword = 17, -InactiveCommentDocKeyword = CommentDocKeyword + 64, -
    -  CommentDocKeywordError = 18, -InactiveCommentDocKeywordError = CommentDocKeywordError + 64, -GlobalClass = 19, -
    -  InactiveGlobalClass = GlobalClass + 64, -RawString = 20, -InactiveRawString = RawString + 20, -
    -  TripleQuotedVerbatimString = 21, -InactiveTripleQuotedVerbatimString = TripleQuotedVerbatimString + 21, -HashQuotedString = 22, -
    -  InactiveHashQuotedString = HashQuotedString + 22, -PreProcessorComment = 23, -InactivePreProcessorComment = PreProcessorComment + 23, -
    -  PreProcessorCommentLineDoc = 24, -InactivePreProcessorCommentLineDoc = PreProcessorCommentLineDoc + 64 -
    - } -
-

-Public Slots

- -

-Public Member Functions

- -

-Protected Member Functions

- -

Detailed Description

-

The QsciLexerCPP class encapsulates the Scintilla C++ lexer.

-

Member Enumeration Documentation

- + + + + +

+Public Types

enum  {
+  Default = 0, +InactiveDefault = Default + 64, +Comment = 1, +
+  InactiveComment = Comment + 64, +CommentLine = 2, +InactiveCommentLine = CommentLine + 64, +
+  CommentDoc = 3, +InactiveCommentDoc = CommentDoc + 64, +Number = 4, +
+  InactiveNumber = Number + 64, +Keyword = 5, +InactiveKeyword = Keyword + 64, +
+  DoubleQuotedString = 6, +InactiveDoubleQuotedString = DoubleQuotedString + 64, +SingleQuotedString = 7, +
+  InactiveSingleQuotedString = SingleQuotedString + 64, +UUID = 8, +InactiveUUID = UUID + 64, +
+  PreProcessor = 9, +InactivePreProcessor = PreProcessor + 64, +Operator = 10, +
+  InactiveOperator = Operator + 64, +Identifier = 11, +InactiveIdentifier = Identifier + 64, +
+  UnclosedString = 12, +InactiveUnclosedString = UnclosedString + 64, +VerbatimString = 13, +
+  InactiveVerbatimString = VerbatimString + 64, +Regex = 14, +InactiveRegex = Regex + 64, +
+  CommentLineDoc = 15, +InactiveCommentLineDoc = CommentLineDoc + 64, +KeywordSet2 = 16, +
+  InactiveKeywordSet2 = KeywordSet2 + 64, +CommentDocKeyword = 17, +InactiveCommentDocKeyword = CommentDocKeyword + 64, +
+  CommentDocKeywordError = 18, +InactiveCommentDocKeywordError = CommentDocKeywordError + 64, +GlobalClass = 19, +
+  InactiveGlobalClass = GlobalClass + 64, +RawString = 20, +InactiveRawString = RawString + 64, +
+  TripleQuotedVerbatimString = 21, +InactiveTripleQuotedVerbatimString = TripleQuotedVerbatimString + 64, +HashQuotedString = 22, +
+  InactiveHashQuotedString = HashQuotedString + 64, +PreProcessorComment = 23, +InactivePreProcessorComment = PreProcessorComment + 64, +
+  PreProcessorCommentLineDoc = 24, +InactivePreProcessorCommentLineDoc = PreProcessorCommentLineDoc + 64, +UserLiteral = 25, +
+  InactiveUserLiteral = UserLiteral + 64, +TaskMarker = 26, +InactiveTaskMarker = TaskMarker + 64, +
+  EscapeSequence = 27, +InactiveEscapeSequence = EscapeSequence + 64 +
+ }
 
+ + + + + + + + + + + + + + + + + + + + + + +

+Public Slots

virtual void setFoldAtElse (bool fold)
 
virtual void setFoldComments (bool fold)
 
virtual void setFoldCompact (bool fold)
 
virtual void setFoldPreprocessor (bool fold)
 
virtual void setStylePreprocessor (bool style)
 
- Public Slots inherited from QsciLexer
virtual void setAutoIndentStyle (int autoindentstyle)
 
virtual void setColor (const QColor &c, int style=-1)
 
virtual void setEolFill (bool eoffill, int style=-1)
 
virtual void setFont (const QFont &f, int style=-1)
 
virtual void setPaper (const QColor &c, int style=-1)
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 QsciLexerCPP (QObject *parent=0, bool caseInsensitiveKeywords=false)
 
+virtual ~QsciLexerCPP ()
 
+const char * language () const
 
const char * lexer () const
 
+QStringList autoCompletionWordSeparators () const
 
+const char * blockEnd (int *style=0) const
 
+const char * blockStart (int *style=0) const
 
+const char * blockStartKeyword (int *style=0) const
 
+int braceStyle () const
 
+const char * wordCharacters () const
 
QColor defaultColor (int style) const
 
+bool defaultEolFill (int style) const
 
+QFont defaultFont (int style) const
 
QColor defaultPaper (int style) const
 
const char * keywords (int set) const
 
QString description (int style) const
 
void refreshProperties ()
 
bool foldAtElse () const
 
bool foldComments () const
 
bool foldCompact () const
 
bool foldPreprocessor () const
 
bool stylePreprocessor () const
 
void setDollarsAllowed (bool allowed)
 
bool dollarsAllowed () const
 
void setHighlightTripleQuotedStrings (bool enabled)
 
bool highlightTripleQuotedStrings () const
 
void setHighlightHashQuotedStrings (bool enabled)
 
bool highlightHashQuotedStrings () const
 
void setHighlightBackQuotedStrings (bool enabled)
 
bool highlightBackQuotedStrings () const
 
void setHighlightEscapeSequences (bool enabled)
 
bool highlightEscapeSequences () const
 
void setVerbatimStringEscapeSequencesAllowed (bool allowed)
 
bool verbatimStringEscapeSequencesAllowed () const
 
- Public Member Functions inherited from QsciLexer
 QsciLexer (QObject *parent=0)
 
+virtual ~QsciLexer ()
 
virtual int lexerId () const
 
QsciAbstractAPIsapis () const
 
+virtual const char * autoCompletionFillups () const
 
int autoIndentStyle ()
 
+virtual int blockLookback () const
 
+virtual bool caseSensitive () const
 
virtual QColor color (int style) const
 
virtual bool eolFill (int style) const
 
virtual QFont font (int style) const
 
+virtual int indentationGuideView () const
 
+virtual int defaultStyle () const
 
virtual QColor paper (int style) const
 
QColor defaultColor () const
 
QFont defaultFont () const
 
QColor defaultPaper () const
 
QsciScintillaeditor () const
 
void setAPIs (QsciAbstractAPIs *apis)
 
void setDefaultColor (const QColor &c)
 
void setDefaultFont (const QFont &f)
 
void setDefaultPaper (const QColor &c)
 
+virtual void setEditor (QsciScintilla *editor)
 
bool readSettings (QSettings &qs, const char *prefix="/Scintilla")
 
virtual int styleBitsNeeded () const
 
bool writeSettings (QSettings &qs, const char *prefix="/Scintilla") const
 
+ + + + + +

+Protected Member Functions

bool readProperties (QSettings &qs, const QString &prefix)
 
bool writeProperties (QSettings &qs, const QString &prefix) const
 
+ + + + + + + + + + + + +

+Additional Inherited Members

- Signals inherited from QsciLexer
void colorChanged (const QColor &c, int style)
 
void eolFillChanged (bool eolfilled, int style)
 
void fontChanged (const QFont &f, int style)
 
void paperChanged (const QColor &c, int style)
 
void propertyChanged (const char *prop, const char *val)
 
+

Detailed Description

+

The QsciLexerCPP class encapsulates the Scintilla C++ lexer.

+

Member Enumeration Documentation

+
@@ -209,93 +337,99 @@
anonymous enum
-
-
+

This enum defines the meanings of the different styles used by the C++ lexer.

-
Enumerator:
-
Default  + + - - - - - - - - - - - - - - - - - - - - - - - - + + +
Enumerator
Default 

The default.

Comment  +
Comment 

A C comment.

CommentLine  +
CommentLine 

A C++ comment line.

CommentDoc  +
CommentDoc 

A JavaDoc/Doxygen style C comment.

Number  +
Number 

A number.

Keyword  +
Keyword 

A keyword.

DoubleQuotedString  +
DoubleQuotedString 

A double-quoted string.

SingleQuotedString  +
SingleQuotedString 

A single-quoted string.

UUID  +
UUID 

An IDL UUID.

PreProcessor  +
PreProcessor 

A pre-processor block.

Operator  +
Operator 

An operator.

Identifier  +
Identifier 

An identifier.

UnclosedString  +
UnclosedString 

The end of a line where a string is not closed.

VerbatimString  +
VerbatimString 

A C# verbatim string.

Regex  +
Regex 

A JavaScript regular expression.

CommentLineDoc  +
CommentLineDoc 

A JavaDoc/Doxygen style C++ comment line.

KeywordSet2  +
KeywordSet2 

A keyword defined in keyword set number 2. The class must be sub-classed and re-implement keywords() to make use of this style.

CommentDocKeyword  +
CommentDocKeyword 

A JavaDoc/Doxygen keyword.

CommentDocKeywordError  +
CommentDocKeywordError 

A JavaDoc/Doxygen keyword error.

GlobalClass  +
GlobalClass 

A global class or typedef defined in keyword set number 5. The class must be sub-classed and re-implement keywords() to make use of this style.

RawString  +
RawString 

A C++ raw string.

TripleQuotedVerbatimString  +
TripleQuotedVerbatimString 

A Vala triple-quoted verbatim string.

HashQuotedString  +
HashQuotedString 

A Pike hash-quoted string.

PreProcessorComment  +
PreProcessorComment 

A pre-processor stream comment.

PreProcessorCommentLineDoc  +
PreProcessorCommentLineDoc 

A JavaDoc/Doxygen style pre-processor comment.

UserLiteral  +

A user-defined literal.

+
TaskMarker  +

A task marker.

+
EscapeSequence  +

An escape sequence.

+
- - -

Constructor & Destructor Documentation

- +

Constructor & Destructor Documentation

+
@@ -317,48 +451,61 @@
-
-
-

Construct a QsciLexerCPP with parent parent. parent is typically the QsciScintilla instance. caseInsensitiveKeywords is true if the lexer ignores the case of keywords.

+
+

Construct a QsciLexerCPP with parent parent. parent is typically the QsciScintilla instance. caseInsensitiveKeywords is true if the lexer ignores the case of keywords.

-

Member Function Documentation

- +

Member Function Documentation

+
+ + + + + +
- +
const char* QsciLexerCPP::lexer ( ) const [virtual] const
- -
+
+virtual
+

Returns the name of the lexer. Some lexers support a number of languages.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerCPP::defaultColor ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the foreground colour of the text for style number style.

-
See also:
defaultPaper()
+
See also
defaultPaper()

Reimplemented from QsciLexer.

@@ -366,22 +513,29 @@
- +
+ + + + + +
- +
QColor QsciLexerCPP::defaultPaper ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the background colour of the text for style number style.

-
See also:
defaultColor()
+
See also
defaultColor()

Reimplemented from QsciLexer.

@@ -389,20 +543,27 @@
- +
+ + + + + +
- +
const char* QsciLexerCPP::keywords ( int  set) const [virtual] const
- -
+
+virtual
+

Returns the set of keywords for the keyword set set recognised by the lexer as a space separated string. Set 1 is normally used for primary keywords and identifiers. Set 2 is normally used for secondary keywords and identifiers. Set 3 is normally used for documentation comment keywords. Set 4 is normally used for global classes and typedefs.

Reimplemented from QsciLexer.

@@ -411,20 +572,27 @@
- +
+ + + + + +
- +
QString QsciLexerCPP::description ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the descriptive name for style number style. If the style is invalid for this language then an empty QString is returned. This is intended to be used in user preference dialogs.

Implements QsciLexer.

@@ -433,116 +601,158 @@
- +
+ + + + + +
- +
void QsciLexerCPP::refreshProperties ( ) [virtual]
- -
+
+virtual
+

Causes all properties to be refreshed by emitting the propertyChanged() signal as required.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
bool QsciLexerCPP::foldAtElse ( ) const [inline] const
- -
+
+inline
+

Returns true if "} else {" lines can be folded.

-
See also:
setFoldAtElse()
+
See also
setFoldAtElse()
- +
+ + + + + +
- +
bool QsciLexerCPP::foldComments ( ) const [inline] const
- -
+
+inline
+

Returns true if multi-line comment blocks can be folded.

-
See also:
setFoldComments()
+
See also
setFoldComments()
- +
+ + + + + +
- +
bool QsciLexerCPP::foldCompact ( ) const [inline] const
- -
+
+inline
+

Returns true if trailing blank lines are included in a fold block.

-
See also:
setFoldCompact()
+
See also
setFoldCompact()
- +
+ + + + + +
- +
bool QsciLexerCPP::foldPreprocessor ( ) const [inline] const
- -
+
+inline
+

Returns true if preprocessor blocks can be folded.

-
See also:
setFoldPreprocessor()
+
See also
setFoldPreprocessor()
- +
+ + + + + +
- +
bool QsciLexerCPP::stylePreprocessor ( ) const [inline] const
- -
+
+inline
+

Returns true if preprocessor lines (after the preprocessor directive) are styled.

-
See also:
setStylePreprocessor()
+
See also
setStylePreprocessor()
- +
@@ -554,32 +764,38 @@
-
-
+

If allowed is true then '$' characters are allowed in identifier names. The default is true.

-
See also:
dollarsAllowed()
+
See also
dollarsAllowed()
- +
+ + + + + +
- +
bool QsciLexerCPP::dollarsAllowed ( ) const [inline] const
- -
+
+inline
+

Returns true if '$' characters are allowed in identifier names.

-
See also:
setDollarsAllowed()
+
See also
setDollarsAllowed()
- +
@@ -591,32 +807,38 @@
-
-
+

If enabled is true then triple quoted strings are highlighted. The default is false.

-
See also:
highlightTripleQuotedStrings()
+
See also
highlightTripleQuotedStrings()
- +
+ + + + + +
- +
bool QsciLexerCPP::highlightTripleQuotedStrings ( ) const [inline] const
- -
+
+inline
+

Returns true if triple quoted strings should be highlighted.

-
See also:
setHighlightTripleQuotedStrings()
+
See also
setHighlightTripleQuotedStrings()
- +
@@ -628,129 +850,302 @@
-
-
+

If enabled is true then hash quoted strings are highlighted. The default is false.

-
See also:
highlightHashQuotedStrings()
+
See also
highlightHashQuotedStrings()
- +
+ + + + + +
- +
bool QsciLexerCPP::highlightHashQuotedStrings ( ) const [inline] const
+
+inline
+
+

Returns true if hash quoted strings should be highlighted.

+
See also
setHighlightHashQuotedStrings()
+ +
-
+ +
+
+ + + + + + + + +
void QsciLexerCPP::setHighlightBackQuotedStrings (bool enabled)
+
+

If enabled is true then back-quoted raw strings are highlighted. The default is false.

+
See also
highlightBackQuotedStrings()
+ +
+
+ +
+
+ + + + + +
+ + + + + + + +
bool QsciLexerCPP::highlightBackQuotedStrings () const
+
+inline
+
+

Returns true if back-quoted raw strings should be highlighted.

+
See also
setHighlightBackQuotedStrings()
+ +
+
+ +
+
+ + + + + + + + +
void QsciLexerCPP::setHighlightEscapeSequences (bool enabled)
+
+

If enabled is true then escape sequences in strings are highlighted. The default is false.

+
See also
highlightEscapeSequences()
+ +
+
+ +
+
+ + + + + +
+ + + + + + + +
bool QsciLexerCPP::highlightEscapeSequences () const
+
+inline
+
+

Returns true if escape sequences in strings should be highlighted.

+
See also
setHighlightEscapeSequences()
+ +
+
+ +
+
+ + + + + + + + +
void QsciLexerCPP::setVerbatimStringEscapeSequencesAllowed (bool allowed)
+
+

If allowed is true then escape sequences are allowed in verbatim strings. The default is false.

+
See also
verbatimStringEscapeSequencesAllowed()
+ +
+
+ +
+
+ + + + + +
+ + + + + + + +
bool QsciLexerCPP::verbatimStringEscapeSequencesAllowed () const
+
+inline
+

Returns true if hash quoted strings should be highlighted.

-
See also:
setHighlightHashQuotedStrings()
+
See also
setVerbatimStringEscapeSequencesAllowed()
- +
+ + + + + +
- +
virtual void QsciLexerCPP::setFoldAtElse ( bool  fold) [virtual, slot]
- -
+
+virtualslot
+

If fold is true then "} else {" lines can be folded. The default is false.

-
See also:
foldAtElse()
+
See also
foldAtElse()
- +
+ + + + + +
- +
virtual void QsciLexerCPP::setFoldComments ( bool  fold) [virtual, slot]
- -
+
+virtualslot
+

If fold is true then multi-line comment blocks can be folded. The default is false.

-
See also:
foldComments()
+
See also
foldComments()
- +
+ + + + + +
- +
virtual void QsciLexerCPP::setFoldCompact ( bool  fold) [virtual, slot]
- -
+
+virtualslot
+

If fold is true then trailing blank lines are included in a fold block. The default is true.

-
See also:
foldCompact()
+
See also
foldCompact()
- +
+ + + + + +
- +
virtual void QsciLexerCPP::setFoldPreprocessor ( bool  fold) [virtual, slot]
- -
+
+virtualslot
+

If fold is true then preprocessor blocks can be folded. The default is true.

-
See also:
foldPreprocessor()
+
See also
foldPreprocessor()
- +
+ + + + + +
- +
virtual void QsciLexerCPP::setStylePreprocessor ( bool  style) [virtual, slot]
- -
+
+virtualslot
+

If style is true then preprocessor lines (after the preprocessor directive) are styled. The default is false.

-
See also:
stylePreprocessor()
+
See also
stylePreprocessor()
- +
+ + + + + +
@@ -767,21 +1162,28 @@ - +
bool QsciLexerCPP::readProperties
) [protected, virtual]
- -
+
+protectedvirtual
+

The lexer's properties are read from the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

-
See also:
writeProperties()
+
See also
writeProperties()

Reimplemented from QsciLexer.

- +
+ + + + + +
@@ -798,26 +1200,28 @@ - +
bool QsciLexerCPP::writeProperties
) const [protected, virtual] const
- -
+
+protectedvirtual
+

The lexer's properties are written to the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

-
See also:
readProperties()
+
See also
readProperties()

Reimplemented from QsciLexer.

-
- - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerCPP-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerCPP-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerCPP-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerCPP-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerCPP Member List
-
+
-This is the complete list of members for QsciLexerCPP, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexer [virtual]
autoCompletionWordSeparators() const (defined in QsciLexerCPP)QsciLexerCPP [virtual]
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexerCPP)QsciLexerCPP [virtual]
blockLookback() const (defined in QsciLexer)QsciLexer [virtual]
blockStart(int *style=0) const (defined in QsciLexerCPP)QsciLexerCPP [virtual]
blockStartKeyword(int *style=0) const (defined in QsciLexerCPP)QsciLexerCPP [virtual]
braceStyle() const (defined in QsciLexerCPP)QsciLexerCPP [virtual]
caseSensitive() const (defined in QsciLexer)QsciLexer [virtual]
color(int style) const QsciLexer [virtual]
colorChanged(const QColor &c, int style)QsciLexer [signal]
Comment enum valueQsciLexerCPP
CommentDoc enum valueQsciLexerCPP
CommentDocKeyword enum valueQsciLexerCPP
CommentDocKeywordError enum valueQsciLexerCPP
CommentLine enum valueQsciLexerCPP
CommentLineDoc enum valueQsciLexerCPP
Default enum valueQsciLexerCPP
defaultColor(int style) const QsciLexerCPP [virtual]
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerCPP [virtual]
defaultFont(int style) const QsciLexerCPP [virtual]
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerCPP [virtual]
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexer [virtual]
description(int style) const QsciLexerCPP [virtual]
dollarsAllowed() const QsciLexerCPP [inline]
DoubleQuotedString enum valueQsciLexerCPP
editor() const QsciLexer [inline]
eolFill(int style) const QsciLexer [virtual]
eolFillChanged(bool eolfilled, int style)QsciLexer [signal]
foldAtElse() const QsciLexerCPP [inline]
foldComments() const QsciLexerCPP [inline]
foldCompact() const QsciLexerCPP [inline]
foldPreprocessor() const QsciLexerCPP [inline]
font(int style) const QsciLexer [virtual]
fontChanged(const QFont &f, int style)QsciLexer [signal]
GlobalClass enum valueQsciLexerCPP
HashQuotedString enum valueQsciLexerCPP
highlightHashQuotedStrings() const QsciLexerCPP [inline]
highlightTripleQuotedStrings() const QsciLexerCPP [inline]
Identifier enum valueQsciLexerCPP
InactiveComment enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentDoc enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentDocKeyword enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentDocKeywordError enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentLine enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentLineDoc enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveDefault enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveDoubleQuotedString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveGlobalClass enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveHashQuotedString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveIdentifier enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveKeyword enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveKeywordSet2 enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveNumber enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveOperator enum value (defined in QsciLexerCPP)QsciLexerCPP
InactivePreProcessor enum value (defined in QsciLexerCPP)QsciLexerCPP
InactivePreProcessorComment enum value (defined in QsciLexerCPP)QsciLexerCPP
InactivePreProcessorCommentLineDoc enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveRawString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveRegex enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveSingleQuotedString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveTripleQuotedVerbatimString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveUnclosedString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveUUID enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveVerbatimString enum value (defined in QsciLexerCPP)QsciLexerCPP
indentationGuideView() const (defined in QsciLexer)QsciLexer [virtual]
Keyword enum valueQsciLexerCPP
keywords(int set) const QsciLexerCPP [virtual]
KeywordSet2 enum valueQsciLexerCPP
language() const QsciLexerCPP [virtual]
lexer() const QsciLexerCPP [virtual]
lexerId() const QsciLexer [virtual]
Number enum valueQsciLexerCPP
Operator enum valueQsciLexerCPP
paper(int style) const QsciLexer [virtual]
paperChanged(const QColor &c, int style)QsciLexer [signal]
PreProcessor enum valueQsciLexerCPP
PreProcessorComment enum valueQsciLexerCPP
PreProcessorCommentLineDoc enum valueQsciLexerCPP
propertyChanged(const char *prop, const char *val)QsciLexer [signal]
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerCPP(QObject *parent=0, bool caseInsensitiveKeywords=false)QsciLexerCPP
RawString enum valueQsciLexerCPP
readProperties(QSettings &qs, const QString &prefix)QsciLexerCPP [protected, virtual]
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerCPP [virtual]
Regex enum valueQsciLexerCPP
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexer [virtual, slot]
setColor(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setDollarsAllowed(bool allowed)QsciLexerCPP
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexer [virtual]
setEolFill(bool eoffill, int style=-1)QsciLexer [virtual, slot]
setFoldAtElse(bool fold)QsciLexerCPP [virtual, slot]
setFoldComments(bool fold)QsciLexerCPP [virtual, slot]
setFoldCompact(bool fold)QsciLexerCPP [virtual, slot]
setFoldPreprocessor(bool fold)QsciLexerCPP [virtual, slot]
setFont(const QFont &f, int style=-1)QsciLexer [virtual, slot]
setHighlightHashQuotedStrings(bool enabled)QsciLexerCPP
setHighlightTripleQuotedStrings(bool enabled)QsciLexerCPP
setPaper(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setStylePreprocessor(bool style)QsciLexerCPP [virtual, slot]
SingleQuotedString enum valueQsciLexerCPP
styleBitsNeeded() const QsciLexer [virtual]
stylePreprocessor() const QsciLexerCPP [inline]
TripleQuotedVerbatimString enum valueQsciLexerCPP
UnclosedString enum valueQsciLexerCPP
UUID enum valueQsciLexerCPP
VerbatimString enum valueQsciLexerCPP
wordCharacters() const QsciLexerCPP [virtual]
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerCPP [protected, virtual]
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexer [virtual]
~QsciLexerCPP()QsciLexerCPP [virtual]
- +

This is the complete list of members for QsciLexerCPP, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexervirtual
autoCompletionWordSeparators() const (defined in QsciLexerCPP)QsciLexerCPPvirtual
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexerCPP)QsciLexerCPPvirtual
blockLookback() const (defined in QsciLexer)QsciLexervirtual
blockStart(int *style=0) const (defined in QsciLexerCPP)QsciLexerCPPvirtual
blockStartKeyword(int *style=0) const (defined in QsciLexerCPP)QsciLexerCPPvirtual
braceStyle() const (defined in QsciLexerCPP)QsciLexerCPPvirtual
caseSensitive() const (defined in QsciLexer)QsciLexervirtual
color(int style) const QsciLexervirtual
colorChanged(const QColor &c, int style)QsciLexersignal
Comment enum valueQsciLexerCPP
CommentDoc enum valueQsciLexerCPP
CommentDocKeyword enum valueQsciLexerCPP
CommentDocKeywordError enum valueQsciLexerCPP
CommentLine enum valueQsciLexerCPP
CommentLineDoc enum valueQsciLexerCPP
Default enum valueQsciLexerCPP
defaultColor(int style) const QsciLexerCPPvirtual
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerCPPvirtual
defaultFont(int style) const QsciLexerCPPvirtual
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerCPPvirtual
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexervirtual
description(int style) const QsciLexerCPPvirtual
dollarsAllowed() const QsciLexerCPPinline
DoubleQuotedString enum valueQsciLexerCPP
editor() const QsciLexerinline
eolFill(int style) const QsciLexervirtual
eolFillChanged(bool eolfilled, int style)QsciLexersignal
EscapeSequence enum valueQsciLexerCPP
foldAtElse() const QsciLexerCPPinline
foldComments() const QsciLexerCPPinline
foldCompact() const QsciLexerCPPinline
foldPreprocessor() const QsciLexerCPPinline
font(int style) const QsciLexervirtual
fontChanged(const QFont &f, int style)QsciLexersignal
GlobalClass enum valueQsciLexerCPP
HashQuotedString enum valueQsciLexerCPP
highlightBackQuotedStrings() const QsciLexerCPPinline
highlightEscapeSequences() const QsciLexerCPPinline
highlightHashQuotedStrings() const QsciLexerCPPinline
highlightTripleQuotedStrings() const QsciLexerCPPinline
Identifier enum valueQsciLexerCPP
InactiveComment enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentDoc enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentDocKeyword enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentDocKeywordError enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentLine enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentLineDoc enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveDefault enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveDoubleQuotedString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveEscapeSequence enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveGlobalClass enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveHashQuotedString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveIdentifier enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveKeyword enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveKeywordSet2 enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveNumber enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveOperator enum value (defined in QsciLexerCPP)QsciLexerCPP
InactivePreProcessor enum value (defined in QsciLexerCPP)QsciLexerCPP
InactivePreProcessorComment enum value (defined in QsciLexerCPP)QsciLexerCPP
InactivePreProcessorCommentLineDoc enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveRawString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveRegex enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveSingleQuotedString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveTaskMarker enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveTripleQuotedVerbatimString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveUnclosedString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveUserLiteral enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveUUID enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveVerbatimString enum value (defined in QsciLexerCPP)QsciLexerCPP
indentationGuideView() const (defined in QsciLexer)QsciLexervirtual
Keyword enum valueQsciLexerCPP
keywords(int set) const QsciLexerCPPvirtual
KeywordSet2 enum valueQsciLexerCPP
language() const QsciLexerCPPvirtual
lexer() const QsciLexerCPPvirtual
lexerId() const QsciLexervirtual
Number enum valueQsciLexerCPP
Operator enum valueQsciLexerCPP
paper(int style) const QsciLexervirtual
paperChanged(const QColor &c, int style)QsciLexersignal
PreProcessor enum valueQsciLexerCPP
PreProcessorComment enum valueQsciLexerCPP
PreProcessorCommentLineDoc enum valueQsciLexerCPP
propertyChanged(const char *prop, const char *val)QsciLexersignal
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerCPP(QObject *parent=0, bool caseInsensitiveKeywords=false)QsciLexerCPP
RawString enum valueQsciLexerCPP
readProperties(QSettings &qs, const QString &prefix)QsciLexerCPPprotectedvirtual
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerCPPvirtual
Regex enum valueQsciLexerCPP
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexervirtualslot
setColor(const QColor &c, int style=-1)QsciLexervirtualslot
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setDollarsAllowed(bool allowed)QsciLexerCPP
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexervirtual
setEolFill(bool eoffill, int style=-1)QsciLexervirtualslot
setFoldAtElse(bool fold)QsciLexerCPPvirtualslot
setFoldComments(bool fold)QsciLexerCPPvirtualslot
setFoldCompact(bool fold)QsciLexerCPPvirtualslot
setFoldPreprocessor(bool fold)QsciLexerCPPvirtualslot
setFont(const QFont &f, int style=-1)QsciLexervirtualslot
setHighlightBackQuotedStrings(bool enabled)QsciLexerCPP
setHighlightEscapeSequences(bool enabled)QsciLexerCPP
setHighlightHashQuotedStrings(bool enabled)QsciLexerCPP
setHighlightTripleQuotedStrings(bool enabled)QsciLexerCPP
setPaper(const QColor &c, int style=-1)QsciLexervirtualslot
setStylePreprocessor(bool style)QsciLexerCPPvirtualslot
setVerbatimStringEscapeSequencesAllowed(bool allowed)QsciLexerCPP
SingleQuotedString enum valueQsciLexerCPP
styleBitsNeeded() const QsciLexervirtual
stylePreprocessor() const QsciLexerCPPinline
TaskMarker enum valueQsciLexerCPP
TripleQuotedVerbatimString enum valueQsciLexerCPP
UnclosedString enum valueQsciLexerCPP
UserLiteral enum valueQsciLexerCPP
UUID enum valueQsciLexerCPP
VerbatimString enum valueQsciLexerCPP
verbatimStringEscapeSequencesAllowed() const QsciLexerCPPinline
wordCharacters() const QsciLexerCPPvirtual
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerCPPprotectedvirtual
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexervirtual
~QsciLexerCPP()QsciLexerCPPvirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerCSharp.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerCSharp.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerCSharp.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerCSharp.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciLexerCSharp Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerCSharp Class Reference
- +
- +

#include <qscilexercsharp.h>

Inherits QsciLexerCPP.

- -

List of all members.

-

-Public Member Functions

- -

Detailed Description

-

The QsciLexerCSharp class encapsulates the Scintilla C# lexer.

-

Constructor & Destructor Documentation

- + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 QsciLexerCSharp (QObject *parent=0)
 
+virtual ~QsciLexerCSharp ()
 
+const char * language () const
 
QColor defaultColor (int style) const
 
+bool defaultEolFill (int style) const
 
+QFont defaultFont (int style) const
 
QColor defaultPaper (int style) const
 
const char * keywords (int set) const
 
QString description (int style) const
 
- Public Member Functions inherited from QsciLexerCPP
 QsciLexerCPP (QObject *parent=0, bool caseInsensitiveKeywords=false)
 
+virtual ~QsciLexerCPP ()
 
const char * lexer () const
 
+QStringList autoCompletionWordSeparators () const
 
+const char * blockEnd (int *style=0) const
 
+const char * blockStart (int *style=0) const
 
+const char * blockStartKeyword (int *style=0) const
 
+int braceStyle () const
 
+const char * wordCharacters () const
 
void refreshProperties ()
 
bool foldAtElse () const
 
bool foldComments () const
 
bool foldCompact () const
 
bool foldPreprocessor () const
 
bool stylePreprocessor () const
 
void setDollarsAllowed (bool allowed)
 
bool dollarsAllowed () const
 
void setHighlightTripleQuotedStrings (bool enabled)
 
bool highlightTripleQuotedStrings () const
 
void setHighlightHashQuotedStrings (bool enabled)
 
bool highlightHashQuotedStrings () const
 
void setHighlightBackQuotedStrings (bool enabled)
 
bool highlightBackQuotedStrings () const
 
void setHighlightEscapeSequences (bool enabled)
 
bool highlightEscapeSequences () const
 
void setVerbatimStringEscapeSequencesAllowed (bool allowed)
 
bool verbatimStringEscapeSequencesAllowed () const
 
- Public Member Functions inherited from QsciLexer
 QsciLexer (QObject *parent=0)
 
+virtual ~QsciLexer ()
 
virtual int lexerId () const
 
QsciAbstractAPIsapis () const
 
+virtual const char * autoCompletionFillups () const
 
int autoIndentStyle ()
 
+virtual int blockLookback () const
 
+virtual bool caseSensitive () const
 
virtual QColor color (int style) const
 
virtual bool eolFill (int style) const
 
virtual QFont font (int style) const
 
+virtual int indentationGuideView () const
 
+virtual int defaultStyle () const
 
virtual QColor paper (int style) const
 
QColor defaultColor () const
 
QFont defaultFont () const
 
QColor defaultPaper () const
 
QsciScintillaeditor () const
 
void setAPIs (QsciAbstractAPIs *apis)
 
void setDefaultColor (const QColor &c)
 
void setDefaultFont (const QFont &f)
 
void setDefaultPaper (const QColor &c)
 
+virtual void setEditor (QsciScintilla *editor)
 
bool readSettings (QSettings &qs, const char *prefix="/Scintilla")
 
virtual int styleBitsNeeded () const
 
bool writeSettings (QSettings &qs, const char *prefix="/Scintilla") const
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Additional Inherited Members

- Public Types inherited from QsciLexerCPP
enum  {
+  Default = 0, +InactiveDefault = Default + 64, +Comment = 1, +
+  InactiveComment = Comment + 64, +CommentLine = 2, +InactiveCommentLine = CommentLine + 64, +
+  CommentDoc = 3, +InactiveCommentDoc = CommentDoc + 64, +Number = 4, +
+  InactiveNumber = Number + 64, +Keyword = 5, +InactiveKeyword = Keyword + 64, +
+  DoubleQuotedString = 6, +InactiveDoubleQuotedString = DoubleQuotedString + 64, +SingleQuotedString = 7, +
+  InactiveSingleQuotedString = SingleQuotedString + 64, +UUID = 8, +InactiveUUID = UUID + 64, +
+  PreProcessor = 9, +InactivePreProcessor = PreProcessor + 64, +Operator = 10, +
+  InactiveOperator = Operator + 64, +Identifier = 11, +InactiveIdentifier = Identifier + 64, +
+  UnclosedString = 12, +InactiveUnclosedString = UnclosedString + 64, +VerbatimString = 13, +
+  InactiveVerbatimString = VerbatimString + 64, +Regex = 14, +InactiveRegex = Regex + 64, +
+  CommentLineDoc = 15, +InactiveCommentLineDoc = CommentLineDoc + 64, +KeywordSet2 = 16, +
+  InactiveKeywordSet2 = KeywordSet2 + 64, +CommentDocKeyword = 17, +InactiveCommentDocKeyword = CommentDocKeyword + 64, +
+  CommentDocKeywordError = 18, +InactiveCommentDocKeywordError = CommentDocKeywordError + 64, +GlobalClass = 19, +
+  InactiveGlobalClass = GlobalClass + 64, +RawString = 20, +InactiveRawString = RawString + 64, +
+  TripleQuotedVerbatimString = 21, +InactiveTripleQuotedVerbatimString = TripleQuotedVerbatimString + 64, +HashQuotedString = 22, +
+  InactiveHashQuotedString = HashQuotedString + 64, +PreProcessorComment = 23, +InactivePreProcessorComment = PreProcessorComment + 64, +
+  PreProcessorCommentLineDoc = 24, +InactivePreProcessorCommentLineDoc = PreProcessorCommentLineDoc + 64, +UserLiteral = 25, +
+  InactiveUserLiteral = UserLiteral + 64, +TaskMarker = 26, +InactiveTaskMarker = TaskMarker + 64, +
+  EscapeSequence = 27, +InactiveEscapeSequence = EscapeSequence + 64 +
+ }
 
- Public Slots inherited from QsciLexerCPP
virtual void setFoldAtElse (bool fold)
 
virtual void setFoldComments (bool fold)
 
virtual void setFoldCompact (bool fold)
 
virtual void setFoldPreprocessor (bool fold)
 
virtual void setStylePreprocessor (bool style)
 
- Public Slots inherited from QsciLexer
virtual void setAutoIndentStyle (int autoindentstyle)
 
virtual void setColor (const QColor &c, int style=-1)
 
virtual void setEolFill (bool eoffill, int style=-1)
 
virtual void setFont (const QFont &f, int style=-1)
 
virtual void setPaper (const QColor &c, int style=-1)
 
- Signals inherited from QsciLexer
void colorChanged (const QColor &c, int style)
 
void eolFillChanged (bool eolfilled, int style)
 
void fontChanged (const QFont &f, int style)
 
void paperChanged (const QColor &c, int style)
 
void propertyChanged (const char *prop, const char *val)
 
- Protected Member Functions inherited from QsciLexerCPP
bool readProperties (QSettings &qs, const QString &prefix)
 
bool writeProperties (QSettings &qs, const QString &prefix) const
 
+

Detailed Description

+

The QsciLexerCSharp class encapsulates the Scintilla C# lexer.

+

Constructor & Destructor Documentation

+
@@ -95,103 +336,128 @@
-
-
-

Construct a QsciLexerCSharp with parent parent. parent is typically the QsciScintilla instance.

+
+

Construct a QsciLexerCSharp with parent parent. parent is typically the QsciScintilla instance.

-

Member Function Documentation

- +

Member Function Documentation

+
+ + + + + +
- +
QColor QsciLexerCSharp::defaultColor ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the foreground colour of the text for style number style.

-
See also:
defaultPaper()
+
See also
defaultPaper()

Reimplemented from QsciLexerCPP.

- +
+ + + + + +
- +
QColor QsciLexerCSharp::defaultPaper ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the background colour of the text for style number style.

-
See also:
defaultColor()
+
See also
defaultColor()

Reimplemented from QsciLexerCPP.

- +
+ + + + + +
- +
const char* QsciLexerCSharp::keywords ( int  set) const [virtual] const
- -
+
+virtual
+

Returns the set of keywords for the keyword set set recognised by the lexer as a space separated string.

Reimplemented from QsciLexerCPP.

- +
+ + + + + +
- +
QString QsciLexerCSharp::description ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the descriptive name for style number style. If the style is invalid for this language then an empty QString is returned. This is intended to be used in user preference dialogs.

Reimplemented from QsciLexerCPP.

-
- - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerCSharp-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerCSharp-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerCSharp-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerCSharp-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerCSharp Member List
-
+
-This is the complete list of members for QsciLexerCSharp, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexer [virtual]
autoCompletionWordSeparators() const (defined in QsciLexerCPP)QsciLexerCPP [virtual]
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexerCPP)QsciLexerCPP [virtual]
blockLookback() const (defined in QsciLexer)QsciLexer [virtual]
blockStart(int *style=0) const (defined in QsciLexerCPP)QsciLexerCPP [virtual]
blockStartKeyword(int *style=0) const (defined in QsciLexerCPP)QsciLexerCPP [virtual]
braceStyle() const (defined in QsciLexerCPP)QsciLexerCPP [virtual]
caseSensitive() const (defined in QsciLexer)QsciLexer [virtual]
color(int style) const QsciLexer [virtual]
colorChanged(const QColor &c, int style)QsciLexer [signal]
Comment enum valueQsciLexerCPP
CommentDoc enum valueQsciLexerCPP
CommentDocKeyword enum valueQsciLexerCPP
CommentDocKeywordError enum valueQsciLexerCPP
CommentLine enum valueQsciLexerCPP
CommentLineDoc enum valueQsciLexerCPP
Default enum valueQsciLexerCPP
defaultColor(int style) const QsciLexerCSharp [virtual]
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerCSharp [virtual]
defaultFont(int style) const QsciLexerCSharp [virtual]
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerCSharp [virtual]
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexer [virtual]
description(int style) const QsciLexerCSharp [virtual]
dollarsAllowed() const QsciLexerCPP [inline]
DoubleQuotedString enum valueQsciLexerCPP
editor() const QsciLexer [inline]
eolFill(int style) const QsciLexer [virtual]
eolFillChanged(bool eolfilled, int style)QsciLexer [signal]
foldAtElse() const QsciLexerCPP [inline]
foldComments() const QsciLexerCPP [inline]
foldCompact() const QsciLexerCPP [inline]
foldPreprocessor() const QsciLexerCPP [inline]
font(int style) const QsciLexer [virtual]
fontChanged(const QFont &f, int style)QsciLexer [signal]
GlobalClass enum valueQsciLexerCPP
HashQuotedString enum valueQsciLexerCPP
highlightHashQuotedStrings() const QsciLexerCPP [inline]
highlightTripleQuotedStrings() const QsciLexerCPP [inline]
Identifier enum valueQsciLexerCPP
InactiveComment enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentDoc enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentDocKeyword enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentDocKeywordError enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentLine enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentLineDoc enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveDefault enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveDoubleQuotedString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveGlobalClass enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveHashQuotedString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveIdentifier enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveKeyword enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveKeywordSet2 enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveNumber enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveOperator enum value (defined in QsciLexerCPP)QsciLexerCPP
InactivePreProcessor enum value (defined in QsciLexerCPP)QsciLexerCPP
InactivePreProcessorComment enum value (defined in QsciLexerCPP)QsciLexerCPP
InactivePreProcessorCommentLineDoc enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveRawString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveRegex enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveSingleQuotedString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveTripleQuotedVerbatimString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveUnclosedString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveUUID enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveVerbatimString enum value (defined in QsciLexerCPP)QsciLexerCPP
indentationGuideView() const (defined in QsciLexer)QsciLexer [virtual]
Keyword enum valueQsciLexerCPP
keywords(int set) const QsciLexerCSharp [virtual]
KeywordSet2 enum valueQsciLexerCPP
language() const QsciLexerCSharp [virtual]
lexer() const QsciLexerCPP [virtual]
lexerId() const QsciLexer [virtual]
Number enum valueQsciLexerCPP
Operator enum valueQsciLexerCPP
paper(int style) const QsciLexer [virtual]
paperChanged(const QColor &c, int style)QsciLexer [signal]
PreProcessor enum valueQsciLexerCPP
PreProcessorComment enum valueQsciLexerCPP
PreProcessorCommentLineDoc enum valueQsciLexerCPP
propertyChanged(const char *prop, const char *val)QsciLexer [signal]
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerCPP(QObject *parent=0, bool caseInsensitiveKeywords=false)QsciLexerCPP
QsciLexerCSharp(QObject *parent=0)QsciLexerCSharp
RawString enum valueQsciLexerCPP
readProperties(QSettings &qs, const QString &prefix)QsciLexerCPP [protected, virtual]
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerCPP [virtual]
Regex enum valueQsciLexerCPP
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexer [virtual, slot]
setColor(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setDollarsAllowed(bool allowed)QsciLexerCPP
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexer [virtual]
setEolFill(bool eoffill, int style=-1)QsciLexer [virtual, slot]
setFoldAtElse(bool fold)QsciLexerCPP [virtual, slot]
setFoldComments(bool fold)QsciLexerCPP [virtual, slot]
setFoldCompact(bool fold)QsciLexerCPP [virtual, slot]
setFoldPreprocessor(bool fold)QsciLexerCPP [virtual, slot]
setFont(const QFont &f, int style=-1)QsciLexer [virtual, slot]
setHighlightHashQuotedStrings(bool enabled)QsciLexerCPP
setHighlightTripleQuotedStrings(bool enabled)QsciLexerCPP
setPaper(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setStylePreprocessor(bool style)QsciLexerCPP [virtual, slot]
SingleQuotedString enum valueQsciLexerCPP
styleBitsNeeded() const QsciLexer [virtual]
stylePreprocessor() const QsciLexerCPP [inline]
TripleQuotedVerbatimString enum valueQsciLexerCPP
UnclosedString enum valueQsciLexerCPP
UUID enum valueQsciLexerCPP
VerbatimString enum valueQsciLexerCPP
wordCharacters() const QsciLexerCPP [virtual]
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerCPP [protected, virtual]
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexer [virtual]
~QsciLexerCPP()QsciLexerCPP [virtual]
~QsciLexerCSharp()QsciLexerCSharp [virtual]
- +

This is the complete list of members for QsciLexerCSharp, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexervirtual
autoCompletionWordSeparators() const (defined in QsciLexerCPP)QsciLexerCPPvirtual
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexerCPP)QsciLexerCPPvirtual
blockLookback() const (defined in QsciLexer)QsciLexervirtual
blockStart(int *style=0) const (defined in QsciLexerCPP)QsciLexerCPPvirtual
blockStartKeyword(int *style=0) const (defined in QsciLexerCPP)QsciLexerCPPvirtual
braceStyle() const (defined in QsciLexerCPP)QsciLexerCPPvirtual
caseSensitive() const (defined in QsciLexer)QsciLexervirtual
color(int style) const QsciLexervirtual
colorChanged(const QColor &c, int style)QsciLexersignal
Comment enum valueQsciLexerCPP
CommentDoc enum valueQsciLexerCPP
CommentDocKeyword enum valueQsciLexerCPP
CommentDocKeywordError enum valueQsciLexerCPP
CommentLine enum valueQsciLexerCPP
CommentLineDoc enum valueQsciLexerCPP
Default enum valueQsciLexerCPP
defaultColor(int style) const QsciLexerCSharpvirtual
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerCSharpvirtual
defaultFont(int style) const QsciLexerCSharpvirtual
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerCSharpvirtual
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexervirtual
description(int style) const QsciLexerCSharpvirtual
dollarsAllowed() const QsciLexerCPPinline
DoubleQuotedString enum valueQsciLexerCPP
editor() const QsciLexerinline
eolFill(int style) const QsciLexervirtual
eolFillChanged(bool eolfilled, int style)QsciLexersignal
EscapeSequence enum valueQsciLexerCPP
foldAtElse() const QsciLexerCPPinline
foldComments() const QsciLexerCPPinline
foldCompact() const QsciLexerCPPinline
foldPreprocessor() const QsciLexerCPPinline
font(int style) const QsciLexervirtual
fontChanged(const QFont &f, int style)QsciLexersignal
GlobalClass enum valueQsciLexerCPP
HashQuotedString enum valueQsciLexerCPP
highlightBackQuotedStrings() const QsciLexerCPPinline
highlightEscapeSequences() const QsciLexerCPPinline
highlightHashQuotedStrings() const QsciLexerCPPinline
highlightTripleQuotedStrings() const QsciLexerCPPinline
Identifier enum valueQsciLexerCPP
InactiveComment enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentDoc enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentDocKeyword enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentDocKeywordError enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentLine enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentLineDoc enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveDefault enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveDoubleQuotedString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveEscapeSequence enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveGlobalClass enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveHashQuotedString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveIdentifier enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveKeyword enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveKeywordSet2 enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveNumber enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveOperator enum value (defined in QsciLexerCPP)QsciLexerCPP
InactivePreProcessor enum value (defined in QsciLexerCPP)QsciLexerCPP
InactivePreProcessorComment enum value (defined in QsciLexerCPP)QsciLexerCPP
InactivePreProcessorCommentLineDoc enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveRawString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveRegex enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveSingleQuotedString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveTaskMarker enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveTripleQuotedVerbatimString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveUnclosedString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveUserLiteral enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveUUID enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveVerbatimString enum value (defined in QsciLexerCPP)QsciLexerCPP
indentationGuideView() const (defined in QsciLexer)QsciLexervirtual
Keyword enum valueQsciLexerCPP
keywords(int set) const QsciLexerCSharpvirtual
KeywordSet2 enum valueQsciLexerCPP
language() const QsciLexerCSharpvirtual
lexer() const QsciLexerCPPvirtual
lexerId() const QsciLexervirtual
Number enum valueQsciLexerCPP
Operator enum valueQsciLexerCPP
paper(int style) const QsciLexervirtual
paperChanged(const QColor &c, int style)QsciLexersignal
PreProcessor enum valueQsciLexerCPP
PreProcessorComment enum valueQsciLexerCPP
PreProcessorCommentLineDoc enum valueQsciLexerCPP
propertyChanged(const char *prop, const char *val)QsciLexersignal
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerCPP(QObject *parent=0, bool caseInsensitiveKeywords=false)QsciLexerCPP
QsciLexerCSharp(QObject *parent=0)QsciLexerCSharp
RawString enum valueQsciLexerCPP
readProperties(QSettings &qs, const QString &prefix)QsciLexerCPPprotectedvirtual
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerCPPvirtual
Regex enum valueQsciLexerCPP
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexervirtualslot
setColor(const QColor &c, int style=-1)QsciLexervirtualslot
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setDollarsAllowed(bool allowed)QsciLexerCPP
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexervirtual
setEolFill(bool eoffill, int style=-1)QsciLexervirtualslot
setFoldAtElse(bool fold)QsciLexerCPPvirtualslot
setFoldComments(bool fold)QsciLexerCPPvirtualslot
setFoldCompact(bool fold)QsciLexerCPPvirtualslot
setFoldPreprocessor(bool fold)QsciLexerCPPvirtualslot
setFont(const QFont &f, int style=-1)QsciLexervirtualslot
setHighlightBackQuotedStrings(bool enabled)QsciLexerCPP
setHighlightEscapeSequences(bool enabled)QsciLexerCPP
setHighlightHashQuotedStrings(bool enabled)QsciLexerCPP
setHighlightTripleQuotedStrings(bool enabled)QsciLexerCPP
setPaper(const QColor &c, int style=-1)QsciLexervirtualslot
setStylePreprocessor(bool style)QsciLexerCPPvirtualslot
setVerbatimStringEscapeSequencesAllowed(bool allowed)QsciLexerCPP
SingleQuotedString enum valueQsciLexerCPP
styleBitsNeeded() const QsciLexervirtual
stylePreprocessor() const QsciLexerCPPinline
TaskMarker enum valueQsciLexerCPP
TripleQuotedVerbatimString enum valueQsciLexerCPP
UnclosedString enum valueQsciLexerCPP
UserLiteral enum valueQsciLexerCPP
UUID enum valueQsciLexerCPP
VerbatimString enum valueQsciLexerCPP
verbatimStringEscapeSequencesAllowed() const QsciLexerCPPinline
wordCharacters() const QsciLexerCPPvirtual
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerCPPprotectedvirtual
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexervirtual
~QsciLexerCPP()QsciLexerCPPvirtual
~QsciLexerCSharp()QsciLexerCSharpvirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerCSS.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerCSS.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerCSS.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerCSS.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciLexerCSS Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerCSS Class Reference
- +
- +

#include <qscilexercss.h>

Inherits QsciLexer.

- -

List of all members.

-

-Public Types

- -

-Public Slots

- -

-Public Member Functions

- -

-Protected Member Functions

- -

Detailed Description

-

The QsciLexerCSS class encapsulates the Scintilla CSS lexer.

-

Member Enumeration Documentation

- + + + + +

+Public Types

enum  {
+  Default = 0, +Tag = 1, +ClassSelector = 2, +
+  PseudoClass = 3, +UnknownPseudoClass = 4, +Operator = 5, +
+  CSS1Property = 6, +UnknownProperty = 7, +Value = 8, +
+  Comment = 9, +IDSelector = 10, +Important = 11, +
+  AtRule = 12, +DoubleQuotedString = 13, +SingleQuotedString = 14, +
+  CSS2Property = 15, +Attribute = 16, +CSS3Property = 17, +
+  PseudoElement = 18, +ExtendedCSSProperty = 19, +ExtendedPseudoClass = 20, +
+  ExtendedPseudoElement = 21, +MediaRule = 22, +Variable = 23 +
+ }
 
+ + + + + + + + + + + + + + + + +

+Public Slots

virtual void setFoldComments (bool fold)
 
virtual void setFoldCompact (bool fold)
 
- Public Slots inherited from QsciLexer
virtual void setAutoIndentStyle (int autoindentstyle)
 
virtual void setColor (const QColor &c, int style=-1)
 
virtual void setEolFill (bool eoffill, int style=-1)
 
virtual void setFont (const QFont &f, int style=-1)
 
virtual void setPaper (const QColor &c, int style=-1)
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 QsciLexerCSS (QObject *parent=0)
 
+virtual ~QsciLexerCSS ()
 
+const char * language () const
 
const char * lexer () const
 
+const char * blockEnd (int *style=0) const
 
+const char * blockStart (int *style=0) const
 
+const char * wordCharacters () const
 
+QColor defaultColor (int style) const
 
+QFont defaultFont (int style) const
 
const char * keywords (int set) const
 
QString description (int style) const
 
void refreshProperties ()
 
bool foldComments () const
 
bool foldCompact () const
 
void setHSSLanguage (bool enabled)
 
bool HSSLanguage () const
 
void setLessLanguage (bool enabled)
 
bool LessLanguage () const
 
void setSCSSLanguage (bool enabled)
 
bool SCSSLanguage () const
 
- Public Member Functions inherited from QsciLexer
 QsciLexer (QObject *parent=0)
 
+virtual ~QsciLexer ()
 
virtual int lexerId () const
 
QsciAbstractAPIsapis () const
 
+virtual const char * autoCompletionFillups () const
 
+virtual QStringList autoCompletionWordSeparators () const
 
int autoIndentStyle ()
 
+virtual int blockLookback () const
 
+virtual const char * blockStartKeyword (int *style=0) const
 
+virtual int braceStyle () const
 
+virtual bool caseSensitive () const
 
virtual QColor color (int style) const
 
virtual bool eolFill (int style) const
 
virtual QFont font (int style) const
 
+virtual int indentationGuideView () const
 
+virtual int defaultStyle () const
 
virtual QColor paper (int style) const
 
QColor defaultColor () const
 
virtual bool defaultEolFill (int style) const
 
QFont defaultFont () const
 
QColor defaultPaper () const
 
+virtual QColor defaultPaper (int style) const
 
QsciScintillaeditor () const
 
void setAPIs (QsciAbstractAPIs *apis)
 
void setDefaultColor (const QColor &c)
 
void setDefaultFont (const QFont &f)
 
void setDefaultPaper (const QColor &c)
 
+virtual void setEditor (QsciScintilla *editor)
 
bool readSettings (QSettings &qs, const char *prefix="/Scintilla")
 
virtual int styleBitsNeeded () const
 
bool writeSettings (QSettings &qs, const char *prefix="/Scintilla") const
 
+ + + + + +

+Protected Member Functions

bool readProperties (QSettings &qs, const QString &prefix)
 
bool writeProperties (QSettings &qs, const QString &prefix) const
 
+ + + + + + + + + + + + +

+Additional Inherited Members

- Signals inherited from QsciLexer
void colorChanged (const QColor &c, int style)
 
void eolFillChanged (bool eolfilled, int style)
 
void fontChanged (const QFont &f, int style)
 
void paperChanged (const QColor &c, int style)
 
void propertyChanged (const char *prop, const char *val)
 
+

Detailed Description

+

The QsciLexerCSS class encapsulates the Scintilla CSS lexer.

+

Member Enumeration Documentation

+
@@ -158,90 +269,87 @@
anonymous enum
-
-
+

This enum defines the meanings of the different styles used by the CSS lexer.

-
Enumerator:
-
Default  + + - - - - - - - - - - - - - - - - - - - - - - -
Enumerator
Default 

The default.

Tag  +
Tag 

A tag.

ClassSelector  +
ClassSelector 

A class selector.

PseudoClass  +
PseudoClass 

A pseudo class. The list of pseudo classes is defined by keyword set 2.

UnknownPseudoClass  +
UnknownPseudoClass 

An unknown pseudo class.

Operator  +
Operator 

An operator.

CSS1Property  +
CSS1Property 

A CSS1 property. The list of CSS1 properties is defined by keyword set 1.

UnknownProperty  +
UnknownProperty 

An unknown property.

Value  +
Value 

A value.

Comment  +
Comment 

A comment.

IDSelector  +
IDSelector 

An ID selector.

Important  +
Important 

An important value.

AtRule  +
AtRule 

An @-rule.

DoubleQuotedString  +
DoubleQuotedString 

A double-quoted string.

SingleQuotedString  +
SingleQuotedString 

A single-quoted string.

CSS2Property  +
CSS2Property 

A CSS2 property. The list of CSS2 properties is defined by keyword set 3.

Attribute  +
Attribute 

An attribute.

CSS3Property  +
CSS3Property 

A CSS3 property. The list of CSS3 properties is defined by keyword set 4.

PseudoElement  +
PseudoElement 

A pseudo element. The list of pseudo elements is defined by keyword set 5.

ExtendedCSSProperty  +
ExtendedCSSProperty 

An extended (browser specific) CSS property. The list of extended CSS properties is defined by keyword set 6.

ExtendedPseudoClass  +
ExtendedPseudoClass 

An extended (browser specific) pseudo class. The list of extended pseudo classes is defined by keyword set 7.

ExtendedPseudoElement  +
ExtendedPseudoElement 

An extended (browser specific) pseudo element. The list of extended pseudo elements is defined by keyword set 8.

MediaRule  +
MediaRule 

A media rule.

Variable  +
Variable 

A variable.

- - -

Constructor & Destructor Documentation

- +

Constructor & Destructor Documentation

+
@@ -253,92 +361,119 @@
-
-
-

Construct a QsciLexerCSS with parent parent. parent is typically the QsciScintilla instance.

+
+

Construct a QsciLexerCSS with parent parent. parent is typically the QsciScintilla instance.

-

Member Function Documentation

- +

Member Function Documentation

+
+ + + + + +
- +
const char* QsciLexerCSS::lexer ( ) const [virtual] const
- -
+
+virtual
+

Returns the name of the lexer. Some lexers support a number of languages.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
const char* QsciLexerCSS::keywords ( int  set) const [virtual] const
- -
+
+virtual
+

Returns the set of keywords for the keyword set set recognised by the lexer as a space separated string.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QString QsciLexerCSS::description ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the descriptive name for style number style. If the style is invalid for this language then an empty QString is returned. This is intended to be used in user preference dialogs.

Implements QsciLexer.

- +
+ + + + + +
- +
void QsciLexerCSS::refreshProperties ( ) [virtual]
- -
+
+virtual
+

Causes all properties to be refreshed by emitting the propertyChanged() signal as required.

Reimplemented from QsciLexer.

- +
@@ -349,14 +484,13 @@
const
-
-
+

Returns true if multi-line comment blocks can be folded.

-
See also:
setFoldComments()
+
See also
setFoldComments()
- +
@@ -367,14 +501,13 @@
const
-
-
+

Returns true if trailing blank lines are included in a fold block.

-
See also:
setFoldCompact()
+
See also
setFoldCompact()
- +
@@ -386,32 +519,38 @@
-
-
+

If enabled is true then support for HSS is enabled. The default is false.

-
See also:
HSSLanguage()
+
See also
HSSLanguage()
- +
+ + + + + +
- +
bool QsciLexerCSS::HSSLanguage ( ) const [inline] const
- -
+
+inline
+

Returns true if support for HSS is enabled.

-
See also:
setHSSLanguage()
+
See also
setHSSLanguage()
- +
@@ -423,32 +562,38 @@
-
-
+

If enabled is true then support for Less CSS is enabled. The default is false.

-
See also:
LessLanguage()
+
See also
LessLanguage()
- +
+ + + + + +
- +
bool QsciLexerCSS::LessLanguage ( ) const [inline] const
- -
+
+inline
+

Returns true if support for Less CSS is enabled.

-
See also:
setLessLanguage()
+
See also
setLessLanguage()
- +
@@ -460,72 +605,95 @@
-
-
+

If enabled is true then support for Sassy CSS is enabled. The default is false.

-
See also:
SCSSLanguage()
+
See also
SCSSLanguage()
- +
+ + + + + +
- +
bool QsciLexerCSS::SCSSLanguage ( ) const [inline] const
- -
+
+inline
+

Returns true if support for Sassy CSS is enabled.

-
See also:
setSCSSLanguage()
+
See also
setSCSSLanguage()
- +
+ + + + + +
- +
virtual void QsciLexerCSS::setFoldComments ( bool  fold) [virtual, slot]
- -
+
+virtualslot
+

If fold is true then multi-line comment blocks can be folded. The default is false.

-
See also:
foldComments()
+
See also
foldComments()
- +
+ + + + + +
- +
virtual void QsciLexerCSS::setFoldCompact ( bool  fold) [virtual, slot]
- -
+
+virtualslot
+

If fold is true then trailing blank lines are included in a fold block. The default is true.

-
See also:
foldCompact()
+
See also
foldCompact()
- +
+ + + + + +
@@ -542,20 +710,27 @@ - +
bool QsciLexerCSS::readProperties
) [protected, virtual]
- -
+
+protectedvirtual
+

The lexer's properties are read from the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

Reimplemented from QsciLexer.

- +
+ + + + + +
@@ -572,25 +747,27 @@ - +
bool QsciLexerCSS::writeProperties
) const [protected, virtual] const
- -
+
+protectedvirtual
+

The lexer's properties are written to the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

Reimplemented from QsciLexer.

- - - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerCSS-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerCSS-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerCSS-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerCSS-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerCSS Member List
-
+
-This is the complete list of members for QsciLexerCSS, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
apis() const QsciLexer
AtRule enum valueQsciLexerCSS
Attribute enum valueQsciLexerCSS
autoCompletionFillups() const (defined in QsciLexer)QsciLexer [virtual]
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexer [virtual]
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexerCSS)QsciLexerCSS [virtual]
blockLookback() const (defined in QsciLexer)QsciLexer [virtual]
blockStart(int *style=0) const (defined in QsciLexerCSS)QsciLexerCSS [virtual]
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
braceStyle() const (defined in QsciLexer)QsciLexer [virtual]
caseSensitive() const (defined in QsciLexer)QsciLexer [virtual]
ClassSelector enum valueQsciLexerCSS
color(int style) const QsciLexer [virtual]
colorChanged(const QColor &c, int style)QsciLexer [signal]
Comment enum valueQsciLexerCSS
CSS1Property enum valueQsciLexerCSS
CSS2Property enum valueQsciLexerCSS
CSS3Property enum valueQsciLexerCSS
Default enum valueQsciLexerCSS
defaultColor(int style) const QsciLexerCSS [virtual]
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexer [virtual]
defaultFont(int style) const QsciLexerCSS [virtual]
QsciLexer::defaultFont() const QsciLexer
defaultPaper() const QsciLexer
defaultPaper(int style) const QsciLexer [virtual]
defaultStyle() const (defined in QsciLexer)QsciLexer [virtual]
description(int style) const QsciLexerCSS [virtual]
DoubleQuotedString enum valueQsciLexerCSS
editor() const QsciLexer [inline]
eolFill(int style) const QsciLexer [virtual]
eolFillChanged(bool eolfilled, int style)QsciLexer [signal]
ExtendedCSSProperty enum valueQsciLexerCSS
ExtendedPseudoClass enum valueQsciLexerCSS
ExtendedPseudoElement enum valueQsciLexerCSS
foldComments() const QsciLexerCSS
foldCompact() const QsciLexerCSS
font(int style) const QsciLexer [virtual]
fontChanged(const QFont &f, int style)QsciLexer [signal]
HSSLanguage() const QsciLexerCSS [inline]
IDSelector enum valueQsciLexerCSS
Important enum valueQsciLexerCSS
indentationGuideView() const (defined in QsciLexer)QsciLexer [virtual]
keywords(int set) const QsciLexerCSS [virtual]
language() const QsciLexerCSS [virtual]
LessLanguage() const QsciLexerCSS [inline]
lexer() const QsciLexerCSS [virtual]
lexerId() const QsciLexer [virtual]
MediaRule enum valueQsciLexerCSS
Operator enum valueQsciLexerCSS
paper(int style) const QsciLexer [virtual]
paperChanged(const QColor &c, int style)QsciLexer [signal]
propertyChanged(const char *prop, const char *val)QsciLexer [signal]
PseudoClass enum valueQsciLexerCSS
PseudoElement enum valueQsciLexerCSS
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerCSS(QObject *parent=0)QsciLexerCSS
readProperties(QSettings &qs, const QString &prefix)QsciLexerCSS [protected, virtual]
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerCSS [virtual]
SCSSLanguage() const QsciLexerCSS [inline]
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexer [virtual, slot]
setColor(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexer [virtual]
setEolFill(bool eoffill, int style=-1)QsciLexer [virtual, slot]
setFoldComments(bool fold)QsciLexerCSS [virtual, slot]
setFoldCompact(bool fold)QsciLexerCSS [virtual, slot]
setFont(const QFont &f, int style=-1)QsciLexer [virtual, slot]
setHSSLanguage(bool enabled)QsciLexerCSS
setLessLanguage(bool enabled)QsciLexerCSS
setPaper(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setSCSSLanguage(bool enabled)QsciLexerCSS
SingleQuotedString enum valueQsciLexerCSS
styleBitsNeeded() const QsciLexer [virtual]
Tag enum valueQsciLexerCSS
UnknownProperty enum valueQsciLexerCSS
UnknownPseudoClass enum valueQsciLexerCSS
Value enum valueQsciLexerCSS
Variable enum valueQsciLexerCSS
wordCharacters() const QsciLexerCSS [virtual]
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerCSS [protected, virtual]
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexer [virtual]
~QsciLexerCSS()QsciLexerCSS [virtual]
- +

This is the complete list of members for QsciLexerCSS, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
apis() const QsciLexer
AtRule enum valueQsciLexerCSS
Attribute enum valueQsciLexerCSS
autoCompletionFillups() const (defined in QsciLexer)QsciLexervirtual
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexervirtual
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexerCSS)QsciLexerCSSvirtual
blockLookback() const (defined in QsciLexer)QsciLexervirtual
blockStart(int *style=0) const (defined in QsciLexerCSS)QsciLexerCSSvirtual
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexervirtual
braceStyle() const (defined in QsciLexer)QsciLexervirtual
caseSensitive() const (defined in QsciLexer)QsciLexervirtual
ClassSelector enum valueQsciLexerCSS
color(int style) const QsciLexervirtual
colorChanged(const QColor &c, int style)QsciLexersignal
Comment enum valueQsciLexerCSS
CSS1Property enum valueQsciLexerCSS
CSS2Property enum valueQsciLexerCSS
CSS3Property enum valueQsciLexerCSS
Default enum valueQsciLexerCSS
defaultColor(int style) const QsciLexerCSSvirtual
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexervirtual
defaultFont(int style) const QsciLexerCSSvirtual
QsciLexer::defaultFont() const QsciLexer
defaultPaper() const QsciLexer
defaultPaper(int style) const QsciLexervirtual
defaultStyle() const (defined in QsciLexer)QsciLexervirtual
description(int style) const QsciLexerCSSvirtual
DoubleQuotedString enum valueQsciLexerCSS
editor() const QsciLexerinline
eolFill(int style) const QsciLexervirtual
eolFillChanged(bool eolfilled, int style)QsciLexersignal
ExtendedCSSProperty enum valueQsciLexerCSS
ExtendedPseudoClass enum valueQsciLexerCSS
ExtendedPseudoElement enum valueQsciLexerCSS
foldComments() const QsciLexerCSS
foldCompact() const QsciLexerCSS
font(int style) const QsciLexervirtual
fontChanged(const QFont &f, int style)QsciLexersignal
HSSLanguage() const QsciLexerCSSinline
IDSelector enum valueQsciLexerCSS
Important enum valueQsciLexerCSS
indentationGuideView() const (defined in QsciLexer)QsciLexervirtual
keywords(int set) const QsciLexerCSSvirtual
language() const QsciLexerCSSvirtual
LessLanguage() const QsciLexerCSSinline
lexer() const QsciLexerCSSvirtual
lexerId() const QsciLexervirtual
MediaRule enum valueQsciLexerCSS
Operator enum valueQsciLexerCSS
paper(int style) const QsciLexervirtual
paperChanged(const QColor &c, int style)QsciLexersignal
propertyChanged(const char *prop, const char *val)QsciLexersignal
PseudoClass enum valueQsciLexerCSS
PseudoElement enum valueQsciLexerCSS
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerCSS(QObject *parent=0)QsciLexerCSS
readProperties(QSettings &qs, const QString &prefix)QsciLexerCSSprotectedvirtual
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerCSSvirtual
SCSSLanguage() const QsciLexerCSSinline
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexervirtualslot
setColor(const QColor &c, int style=-1)QsciLexervirtualslot
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexervirtual
setEolFill(bool eoffill, int style=-1)QsciLexervirtualslot
setFoldComments(bool fold)QsciLexerCSSvirtualslot
setFoldCompact(bool fold)QsciLexerCSSvirtualslot
setFont(const QFont &f, int style=-1)QsciLexervirtualslot
setHSSLanguage(bool enabled)QsciLexerCSS
setLessLanguage(bool enabled)QsciLexerCSS
setPaper(const QColor &c, int style=-1)QsciLexervirtualslot
setSCSSLanguage(bool enabled)QsciLexerCSS
SingleQuotedString enum valueQsciLexerCSS
styleBitsNeeded() const QsciLexervirtual
Tag enum valueQsciLexerCSS
UnknownProperty enum valueQsciLexerCSS
UnknownPseudoClass enum valueQsciLexerCSS
Value enum valueQsciLexerCSS
Variable enum valueQsciLexerCSS
wordCharacters() const QsciLexerCSSvirtual
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerCSSprotectedvirtual
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexervirtual
~QsciLexerCSS()QsciLexerCSSvirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerCustom.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerCustom.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerCustom.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerCustom.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciLexerCustom Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
-
QsciLexerCustom Class Reference
- +
QsciLexerCustom Class Referenceabstract
+
- +

#include <qscilexercustom.h>

Inherits QsciLexer.

- -

List of all members.

-

-Public Member Functions

- -

Detailed Description

-

The QsciLexerCustom class is an abstract class used as a base for new language lexers.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 QsciLexerCustom (QObject *parent=0)
 
+virtual ~QsciLexerCustom ()
 
void setStyling (int length, int style)
 
void setStyling (int length, const QsciStyle &style)
 
void startStyling (int pos, int styleBits=0)
 
virtual void styleText (int start, int end)=0
 
+virtual void setEditor (QsciScintilla *editor)
 
virtual int styleBitsNeeded () const
 
- Public Member Functions inherited from QsciLexer
 QsciLexer (QObject *parent=0)
 
+virtual ~QsciLexer ()
 
virtual const char * language () const =0
 
virtual const char * lexer () const
 
virtual int lexerId () const
 
QsciAbstractAPIsapis () const
 
+virtual const char * autoCompletionFillups () const
 
+virtual QStringList autoCompletionWordSeparators () const
 
int autoIndentStyle ()
 
+virtual const char * blockEnd (int *style=0) const
 
+virtual int blockLookback () const
 
+virtual const char * blockStart (int *style=0) const
 
+virtual const char * blockStartKeyword (int *style=0) const
 
+virtual int braceStyle () const
 
+virtual bool caseSensitive () const
 
virtual QColor color (int style) const
 
virtual bool eolFill (int style) const
 
virtual QFont font (int style) const
 
+virtual int indentationGuideView () const
 
virtual const char * keywords (int set) const
 
+virtual int defaultStyle () const
 
virtual QString description (int style) const =0
 
virtual QColor paper (int style) const
 
QColor defaultColor () const
 
+virtual QColor defaultColor (int style) const
 
virtual bool defaultEolFill (int style) const
 
QFont defaultFont () const
 
+virtual QFont defaultFont (int style) const
 
QColor defaultPaper () const
 
+virtual QColor defaultPaper (int style) const
 
QsciScintillaeditor () const
 
void setAPIs (QsciAbstractAPIs *apis)
 
void setDefaultColor (const QColor &c)
 
void setDefaultFont (const QFont &f)
 
void setDefaultPaper (const QColor &c)
 
bool readSettings (QSettings &qs, const char *prefix="/Scintilla")
 
virtual void refreshProperties ()
 
virtual const char * wordCharacters () const
 
bool writeSettings (QSettings &qs, const char *prefix="/Scintilla") const
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Additional Inherited Members

- Public Slots inherited from QsciLexer
virtual void setAutoIndentStyle (int autoindentstyle)
 
virtual void setColor (const QColor &c, int style=-1)
 
virtual void setEolFill (bool eoffill, int style=-1)
 
virtual void setFont (const QFont &f, int style=-1)
 
virtual void setPaper (const QColor &c, int style=-1)
 
- Signals inherited from QsciLexer
void colorChanged (const QColor &c, int style)
 
void eolFillChanged (bool eolfilled, int style)
 
void fontChanged (const QFont &f, int style)
 
void paperChanged (const QColor &c, int style)
 
void propertyChanged (const char *prop, const char *val)
 
- Protected Member Functions inherited from QsciLexer
virtual bool readProperties (QSettings &qs, const QString &prefix)
 
virtual bool writeProperties (QSettings &qs, const QString &prefix) const
 
+

Detailed Description

+

The QsciLexerCustom class is an abstract class used as a base for new language lexers.

The advantage of implementing a new lexer this way (as opposed to adding the lexer to the underlying Scintilla code) is that it does not require the QScintilla library to be re-compiled. It also makes it possible to integrate external lexers.

All that is necessary to implement a new lexer is to define appropriate styles and to re-implement the styleText() method.

-

Constructor & Destructor Documentation

- +

Constructor & Destructor Documentation

+
@@ -94,14 +215,13 @@
-
-
-

Construct a QsciLexerCustom with parent parent. parent is typically the QsciScintilla instance.

+
+

Construct a QsciLexerCustom with parent parent. parent is typically the QsciScintilla instance.

-

Member Function Documentation

- +

Member Function Documentation

+
@@ -123,14 +243,13 @@
-
-
+

The next length characters starting from the current styling position have their style set to style number style. The current styling position is moved. The styling position is initially set by calling startStyling().

-
See also:
startStyling(), styleText()
+
See also
startStyling(), styleText()
- +
@@ -152,14 +271,13 @@
-
-
+

The next length characters starting from the current styling position have their style set to style style. The current styling position is moved. The styling position is initially set by calling startStyling().

-
See also:
startStyling(), styleText()
+
See also
startStyling(), styleText()
- +
@@ -181,16 +299,18 @@
-
-
+

The styling position is set to start and the mask of style bits that can be set is set to styleBits. styleBits allows the styling of text to be done over several passes by setting different style bits on each pass. If styleBits is 0 then all style bits (as returned by styleBitsNeeded()) are set.

-
See also:
setStyling(), styleBitsNeeded(), styleText()
+
See also
setStyling(), styleBitsNeeded(), styleText()
- +
+ + + + + +
@@ -207,43 +327,52 @@ - +
virtual void QsciLexerCustom::styleText
) [pure virtual]
- -
+
+pure virtual
+

This is called when the section of text beginning at position start and up to position end needs to be styled. start will always be at the start of a line. The text is styled by calling startStyling() followed by one or more calls to setStyling(). It must be re-implemented by a sub-class.

-
See also:
setStyling(), startStyling()
+
See also
setStyling(), startStyling()
- +
+ + + + + +
- +
virtual int QsciLexerCustom::styleBitsNeeded ( ) const [virtual] const
- -
+
+virtual
+

This re-implementation returns 5 as the number of style bits needed.

Reimplemented from QsciLexer.

-
- - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerCustom-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerCustom-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerCustom-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerCustom-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerCustom Member List
-
+
-This is the complete list of members for QsciLexerCustom, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexer [virtual]
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexer [virtual]
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockLookback() const (defined in QsciLexer)QsciLexer [virtual]
blockStart(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
braceStyle() const (defined in QsciLexer)QsciLexer [virtual]
caseSensitive() const (defined in QsciLexer)QsciLexer [virtual]
color(int style) const QsciLexer [virtual]
colorChanged(const QColor &c, int style)QsciLexer [signal]
defaultColor() const QsciLexer
defaultColor(int style) const QsciLexer [virtual]
defaultEolFill(int style) const QsciLexer [virtual]
defaultFont() const QsciLexer
defaultFont(int style) const QsciLexer [virtual]
defaultPaper() const QsciLexer
defaultPaper(int style) const QsciLexer [virtual]
defaultStyle() const (defined in QsciLexer)QsciLexer [virtual]
description(int style) const =0QsciLexer [pure virtual]
editor() const QsciLexer [inline]
eolFill(int style) const QsciLexer [virtual]
eolFillChanged(bool eolfilled, int style)QsciLexer [signal]
font(int style) const QsciLexer [virtual]
fontChanged(const QFont &f, int style)QsciLexer [signal]
indentationGuideView() const (defined in QsciLexer)QsciLexer [virtual]
keywords(int set) const QsciLexer [virtual]
language() const =0QsciLexer [pure virtual]
lexer() const QsciLexer [virtual]
lexerId() const QsciLexer [virtual]
paper(int style) const QsciLexer [virtual]
paperChanged(const QColor &c, int style)QsciLexer [signal]
propertyChanged(const char *prop, const char *val)QsciLexer [signal]
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerCustom(QObject *parent=0)QsciLexerCustom
readProperties(QSettings &qs, const QString &prefix)QsciLexer [protected, virtual]
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexer [virtual]
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexer [virtual, slot]
setColor(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor)QsciLexerCustom [virtual]
setEolFill(bool eoffill, int style=-1)QsciLexer [virtual, slot]
setFont(const QFont &f, int style=-1)QsciLexer [virtual, slot]
setPaper(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setStyling(int length, int style)QsciLexerCustom
setStyling(int length, const QsciStyle &style)QsciLexerCustom
startStyling(int pos, int styleBits=0)QsciLexerCustom
styleBitsNeeded() const QsciLexerCustom [virtual]
styleText(int start, int end)=0QsciLexerCustom [pure virtual]
wordCharacters() const QsciLexer [virtual]
writeProperties(QSettings &qs, const QString &prefix) const QsciLexer [protected, virtual]
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexer [virtual]
~QsciLexerCustom()QsciLexerCustom [virtual]
- +

This is the complete list of members for QsciLexerCustom, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexervirtual
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexervirtual
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockLookback() const (defined in QsciLexer)QsciLexervirtual
blockStart(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexervirtual
braceStyle() const (defined in QsciLexer)QsciLexervirtual
caseSensitive() const (defined in QsciLexer)QsciLexervirtual
color(int style) const QsciLexervirtual
colorChanged(const QColor &c, int style)QsciLexersignal
defaultColor() const QsciLexer
defaultColor(int style) const QsciLexervirtual
defaultEolFill(int style) const QsciLexervirtual
defaultFont() const QsciLexer
defaultFont(int style) const QsciLexervirtual
defaultPaper() const QsciLexer
defaultPaper(int style) const QsciLexervirtual
defaultStyle() const (defined in QsciLexer)QsciLexervirtual
description(int style) const =0QsciLexerpure virtual
editor() const QsciLexerinline
eolFill(int style) const QsciLexervirtual
eolFillChanged(bool eolfilled, int style)QsciLexersignal
font(int style) const QsciLexervirtual
fontChanged(const QFont &f, int style)QsciLexersignal
indentationGuideView() const (defined in QsciLexer)QsciLexervirtual
keywords(int set) const QsciLexervirtual
language() const =0QsciLexerpure virtual
lexer() const QsciLexervirtual
lexerId() const QsciLexervirtual
paper(int style) const QsciLexervirtual
paperChanged(const QColor &c, int style)QsciLexersignal
propertyChanged(const char *prop, const char *val)QsciLexersignal
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerCustom(QObject *parent=0)QsciLexerCustom
readProperties(QSettings &qs, const QString &prefix)QsciLexerprotectedvirtual
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexervirtual
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexervirtualslot
setColor(const QColor &c, int style=-1)QsciLexervirtualslot
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor)QsciLexerCustomvirtual
setEolFill(bool eoffill, int style=-1)QsciLexervirtualslot
setFont(const QFont &f, int style=-1)QsciLexervirtualslot
setPaper(const QColor &c, int style=-1)QsciLexervirtualslot
setStyling(int length, int style)QsciLexerCustom
setStyling(int length, const QsciStyle &style)QsciLexerCustom
startStyling(int pos, int styleBits=0)QsciLexerCustom
styleBitsNeeded() const QsciLexerCustomvirtual
styleText(int start, int end)=0QsciLexerCustompure virtual
wordCharacters() const QsciLexervirtual
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerprotectedvirtual
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexervirtual
~QsciLexerCustom()QsciLexerCustomvirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerD.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerD.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerD.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerD.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciLexerD Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerD Class Reference
- +
- +

#include <qscilexerd.h>

Inherits QsciLexer.

- -

List of all members.

-

-Public Types

- -

-Public Slots

- -

-Public Member Functions

- -

-Protected Member Functions

- -

Detailed Description

-

The QsciLexerD class encapsulates the Scintilla D lexer.

-

Member Enumeration Documentation

- + + + + +

+Public Types

enum  {
+  Default = 0, +Comment = 1, +CommentLine = 2, +
+  CommentDoc = 3, +CommentNested = 4, +Number = 5, +
+  Keyword = 6, +KeywordSecondary = 7, +KeywordDoc = 8, +
+  Typedefs = 9, +String = 10, +UnclosedString = 11, +
+  Character = 12, +Operator = 13, +Identifier = 14, +
+  CommentLineDoc = 15, +CommentDocKeyword = 16, +CommentDocKeywordError = 17, +
+  BackquoteString = 18, +RawString = 19, +KeywordSet5 = 20, +
+  KeywordSet6 = 21, +KeywordSet7 = 22 +
+ }
 
+ + + + + + + + + + + + + + + + + + +

+Public Slots

virtual void setFoldAtElse (bool fold)
 
virtual void setFoldComments (bool fold)
 
virtual void setFoldCompact (bool fold)
 
- Public Slots inherited from QsciLexer
virtual void setAutoIndentStyle (int autoindentstyle)
 
virtual void setColor (const QColor &c, int style=-1)
 
virtual void setEolFill (bool eoffill, int style=-1)
 
virtual void setFont (const QFont &f, int style=-1)
 
virtual void setPaper (const QColor &c, int style=-1)
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 QsciLexerD (QObject *parent=0)
 
+virtual ~QsciLexerD ()
 
+const char * language () const
 
const char * lexer () const
 
+QStringList autoCompletionWordSeparators () const
 
+const char * blockEnd (int *style=0) const
 
+const char * blockStart (int *style=0) const
 
+const char * blockStartKeyword (int *style=0) const
 
+int braceStyle () const
 
+const char * wordCharacters () const
 
QColor defaultColor (int style) const
 
+bool defaultEolFill (int style) const
 
+QFont defaultFont (int style) const
 
QColor defaultPaper (int style) const
 
const char * keywords (int set) const
 
QString description (int style) const
 
void refreshProperties ()
 
bool foldAtElse () const
 
bool foldComments () const
 
bool foldCompact () const
 
- Public Member Functions inherited from QsciLexer
 QsciLexer (QObject *parent=0)
 
+virtual ~QsciLexer ()
 
virtual int lexerId () const
 
QsciAbstractAPIsapis () const
 
+virtual const char * autoCompletionFillups () const
 
int autoIndentStyle ()
 
+virtual int blockLookback () const
 
+virtual bool caseSensitive () const
 
virtual QColor color (int style) const
 
virtual bool eolFill (int style) const
 
virtual QFont font (int style) const
 
+virtual int indentationGuideView () const
 
+virtual int defaultStyle () const
 
virtual QColor paper (int style) const
 
QColor defaultColor () const
 
QFont defaultFont () const
 
QColor defaultPaper () const
 
QsciScintillaeditor () const
 
void setAPIs (QsciAbstractAPIs *apis)
 
void setDefaultColor (const QColor &c)
 
void setDefaultFont (const QFont &f)
 
void setDefaultPaper (const QColor &c)
 
+virtual void setEditor (QsciScintilla *editor)
 
bool readSettings (QSettings &qs, const char *prefix="/Scintilla")
 
virtual int styleBitsNeeded () const
 
bool writeSettings (QSettings &qs, const char *prefix="/Scintilla") const
 
+ + + + + +

+Protected Member Functions

bool readProperties (QSettings &qs, const QString &prefix)
 
bool writeProperties (QSettings &qs, const QString &prefix) const
 
+ + + + + + + + + + + + +

+Additional Inherited Members

- Signals inherited from QsciLexer
void colorChanged (const QColor &c, int style)
 
void eolFillChanged (bool eolfilled, int style)
 
void fontChanged (const QFont &f, int style)
 
void paperChanged (const QColor &c, int style)
 
void propertyChanged (const char *prop, const char *val)
 
+

Detailed Description

+

The QsciLexerD class encapsulates the Scintilla D lexer.

+

Member Enumeration Documentation

+
@@ -161,87 +259,84 @@
anonymous enum
-
-
+

This enum defines the meanings of the different styles used by the D lexer.

-
Enumerator:
-
Default  + + - - - - - - - - - - - - - - - - - - - - - -
Enumerator
Default 

The default.

Comment  +
Comment 

A comment.

CommentLine  +
CommentLine 

A comment line.

CommentDoc  +
CommentDoc 

A JavaDoc and Doxygen comment.

CommentNested  +
CommentNested 

A nested comment.

Number  +
Number 

A number.

Keyword  +
Keyword 

A keyword.

KeywordSecondary  +
KeywordSecondary 

A secondary keyword.

KeywordDoc  +
KeywordDoc 

A doc keyword.

Typedefs  +
Typedefs 

Typedefs and aliases.

String  +
String 

A string.

UnclosedString  +
UnclosedString 

The end of a line where a string is not closed.

Character  +
Character 

A character.

Operator  +
Operator 

An operator.

Identifier  +
Identifier 

An identifier.

CommentLineDoc  +
CommentLineDoc 

A JavaDoc and Doxygen line.

CommentDocKeyword  +
CommentDocKeyword 

A JavaDoc and Doxygen keyword.

CommentDocKeywordError  +
CommentDocKeywordError 

A JavaDoc and Doxygen keyword error.

BackquoteString  +
BackquoteString 

A backquoted string.

RawString  +
RawString 

A raw, hexadecimal or delimited string.

KeywordSet5  +
KeywordSet5 

A keyword defined in keyword set number 5. The class must be sub-classed and re-implement keywords() to make use of this style.

KeywordSet6  +
KeywordSet6 

A keyword defined in keyword set number 6. The class must be sub-classed and re-implement keywords() to make use of this style.

KeywordSet7  +
KeywordSet7 

A keyword defined in keyword set number 7. The class must be sub-classed and re-implement keywords() to make use of this style.

- - -

Constructor & Destructor Documentation

- +

Constructor & Destructor Documentation

+
@@ -253,134 +348,175 @@
-
-
-

Construct a QsciLexerD with parent parent. parent is typically the QsciScintilla instance.

+
+

Construct a QsciLexerD with parent parent. parent is typically the QsciScintilla instance.

-

Member Function Documentation

- +

Member Function Documentation

+
+ + + + + +
- +
const char* QsciLexerD::lexer ( ) const [virtual] const
- -
+
+virtual
+

Returns the name of the lexer. Some lexers support a number of languages.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerD::defaultColor ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the foreground colour of the text for style number style.

-
See also:
defaultPaper()
+
See also
defaultPaper()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerD::defaultPaper ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the background colour of the text for style number style.

-
See also:
defaultColor()
+
See also
defaultColor()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
const char* QsciLexerD::keywords ( int  set) const [virtual] const
- -
+
+virtual
+

Returns the set of keywords for the keyword set set recognised by the lexer as a space separated string.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QString QsciLexerD::description ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the descriptive name for style number style. If the style is invalid for this language then an empty QString is returned. This is intended to be used in user preference dialogs.

Implements QsciLexer.

- +
+ + + + + +
- +
void QsciLexerD::refreshProperties ( ) [virtual]
- -
+
+virtual
+

Causes all properties to be refreshed by emitting the propertyChanged() signal as required.

Reimplemented from QsciLexer.

- +
@@ -391,14 +527,13 @@
const
-
-
+

Returns true if "} else {" lines can be folded.

-
See also:
setFoldAtElse()
+
See also
setFoldAtElse()
- +
@@ -409,14 +544,13 @@
const
-
-
+

Returns true if multi-line comment blocks can be folded.

-
See also:
setFoldComments()
+
See also
setFoldComments()
- +
@@ -427,73 +561,96 @@
const
-
-
+

Returns true if trailing blank lines are included in a fold block.

-
See also:
setFoldCompact()
+
See also
setFoldCompact()
- +
+ + + + + +
- +
virtual void QsciLexerD::setFoldAtElse ( bool  fold) [virtual, slot]
- -
+
+virtualslot
+

If fold is true then "} else {" lines can be folded. The default is false.

-
See also:
foldAtElse()
+
See also
foldAtElse()
- +
+ + + + + +
- +
virtual void QsciLexerD::setFoldComments ( bool  fold) [virtual, slot]
- -
+
+virtualslot
+

If fold is true then multi-line comment blocks can be folded. The default is false.

-
See also:
foldComments()
+
See also
foldComments()
- +
+ + + + + +
- +
virtual void QsciLexerD::setFoldCompact ( bool  fold) [virtual, slot]
- -
+
+virtualslot
+

If fold is true then trailing blank lines are included in a fold block. The default is true.

-
See also:
foldCompact()
+
See also
foldCompact()
- +
+ + + + + +
@@ -510,21 +667,28 @@ - +
bool QsciLexerD::readProperties
) [protected, virtual]
- -
+
+protectedvirtual
+

The lexer's properties are read from the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

-
See also:
writeProperties()
+
See also
writeProperties()

Reimplemented from QsciLexer.

- +
+ + + + + +
@@ -541,26 +705,28 @@ - +
bool QsciLexerD::writeProperties
) const [protected, virtual] const
- -
+
+protectedvirtual
+

The lexer's properties are written to the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

-
See also:
readProperties()
+
See also
readProperties()

Reimplemented from QsciLexer.

- - - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerDiff.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerDiff.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerDiff.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerDiff.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciLexerDiff Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerDiff Class Reference
- +
- +

#include <qscilexerdiff.h>

Inherits QsciLexer.

- -

List of all members.

-

-Public Types

- -

-Public Member Functions

- -

Detailed Description

-

The QsciLexerDiff class encapsulates the Scintilla Diff lexer.

-

Member Enumeration Documentation

- + + + + +

+Public Types

enum  {
+  Default = 0, +Comment = 1, +Command = 2, +
+  Header = 3, +Position = 4, +LineRemoved = 5, +
+  LineAdded = 6, +LineChanged = 7 +
+ }
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 QsciLexerDiff (QObject *parent=0)
 
+virtual ~QsciLexerDiff ()
 
+const char * language () const
 
const char * lexer () const
 
+const char * wordCharacters () const
 
+QColor defaultColor (int style) const
 
QString description (int style) const
 
- Public Member Functions inherited from QsciLexer
 QsciLexer (QObject *parent=0)
 
+virtual ~QsciLexer ()
 
virtual int lexerId () const
 
QsciAbstractAPIsapis () const
 
+virtual const char * autoCompletionFillups () const
 
+virtual QStringList autoCompletionWordSeparators () const
 
int autoIndentStyle ()
 
+virtual const char * blockEnd (int *style=0) const
 
+virtual int blockLookback () const
 
+virtual const char * blockStart (int *style=0) const
 
+virtual const char * blockStartKeyword (int *style=0) const
 
+virtual int braceStyle () const
 
+virtual bool caseSensitive () const
 
virtual QColor color (int style) const
 
virtual bool eolFill (int style) const
 
virtual QFont font (int style) const
 
+virtual int indentationGuideView () const
 
virtual const char * keywords (int set) const
 
+virtual int defaultStyle () const
 
virtual QColor paper (int style) const
 
QColor defaultColor () const
 
virtual bool defaultEolFill (int style) const
 
QFont defaultFont () const
 
+virtual QFont defaultFont (int style) const
 
QColor defaultPaper () const
 
+virtual QColor defaultPaper (int style) const
 
QsciScintillaeditor () const
 
void setAPIs (QsciAbstractAPIs *apis)
 
void setDefaultColor (const QColor &c)
 
void setDefaultFont (const QFont &f)
 
void setDefaultPaper (const QColor &c)
 
+virtual void setEditor (QsciScintilla *editor)
 
bool readSettings (QSettings &qs, const char *prefix="/Scintilla")
 
virtual void refreshProperties ()
 
virtual int styleBitsNeeded () const
 
bool writeSettings (QSettings &qs, const char *prefix="/Scintilla") const
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Additional Inherited Members

- Public Slots inherited from QsciLexer
virtual void setAutoIndentStyle (int autoindentstyle)
 
virtual void setColor (const QColor &c, int style=-1)
 
virtual void setEolFill (bool eoffill, int style=-1)
 
virtual void setFont (const QFont &f, int style=-1)
 
virtual void setPaper (const QColor &c, int style=-1)
 
- Signals inherited from QsciLexer
void colorChanged (const QColor &c, int style)
 
void eolFillChanged (bool eolfilled, int style)
 
void fontChanged (const QFont &f, int style)
 
void paperChanged (const QColor &c, int style)
 
void propertyChanged (const char *prop, const char *val)
 
- Protected Member Functions inherited from QsciLexer
virtual bool readProperties (QSettings &qs, const QString &prefix)
 
virtual bool writeProperties (QSettings &qs, const QString &prefix) const
 
+

Detailed Description

+

The QsciLexerDiff class encapsulates the Scintilla Diff lexer.

+

Member Enumeration Documentation

+
@@ -107,42 +221,39 @@
anonymous enum
-
-
+

This enum defines the meanings of the different styles used by the Diff lexer.

-
Enumerator:
-
Default  + + - - - - - - -
Enumerator
Default 

The default.

Comment  +
Comment 

A comment.

Command  +
Command 

A command.

Header  +
Header 

A header.

Position  +
Position 

A position.

LineRemoved  +
LineRemoved 

A removed line.

LineAdded  +
LineAdded 

An added line.

LineChanged  +
LineChanged 

A changed line.

- - -

Constructor & Destructor Documentation

- +

Constructor & Destructor Documentation

+
@@ -154,60 +265,71 @@
-
-
-

Construct a QsciLexerDiff with parent parent. parent is typically the QsciScintilla instance.

+
+

Construct a QsciLexerDiff with parent parent. parent is typically the QsciScintilla instance.

-

Member Function Documentation

- +

Member Function Documentation

+
+ + + + + +
- +
const char* QsciLexerDiff::lexer ( ) const [virtual] const
- -
+
+virtual
+

Returns the name of the lexer. Some lexers support a number of languages.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QString QsciLexerDiff::description ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the descriptive name for style number style. If the style is invalid for this language then an empty QString is returned. This is intended to be used in user preference dialogs.

Implements QsciLexer.

- - - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerDiff-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerDiff-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerDiff-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerDiff-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerDiff Member List
-
+
-This is the complete list of members for QsciLexerDiff, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexer [virtual]
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexer [virtual]
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockLookback() const (defined in QsciLexer)QsciLexer [virtual]
blockStart(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
braceStyle() const (defined in QsciLexer)QsciLexer [virtual]
caseSensitive() const (defined in QsciLexer)QsciLexer [virtual]
color(int style) const QsciLexer [virtual]
colorChanged(const QColor &c, int style)QsciLexer [signal]
Command enum valueQsciLexerDiff
Comment enum valueQsciLexerDiff
Default enum valueQsciLexerDiff
defaultColor(int style) const QsciLexerDiff [virtual]
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexer [virtual]
defaultFont() const QsciLexer
defaultFont(int style) const QsciLexer [virtual]
defaultPaper() const QsciLexer
defaultPaper(int style) const QsciLexer [virtual]
defaultStyle() const (defined in QsciLexer)QsciLexer [virtual]
description(int style) const QsciLexerDiff [virtual]
editor() const QsciLexer [inline]
eolFill(int style) const QsciLexer [virtual]
eolFillChanged(bool eolfilled, int style)QsciLexer [signal]
font(int style) const QsciLexer [virtual]
fontChanged(const QFont &f, int style)QsciLexer [signal]
Header enum valueQsciLexerDiff
indentationGuideView() const (defined in QsciLexer)QsciLexer [virtual]
keywords(int set) const QsciLexer [virtual]
language() const QsciLexerDiff [virtual]
lexer() const QsciLexerDiff [virtual]
lexerId() const QsciLexer [virtual]
LineAdded enum valueQsciLexerDiff
LineChanged enum valueQsciLexerDiff
LineRemoved enum valueQsciLexerDiff
paper(int style) const QsciLexer [virtual]
paperChanged(const QColor &c, int style)QsciLexer [signal]
Position enum valueQsciLexerDiff
propertyChanged(const char *prop, const char *val)QsciLexer [signal]
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerDiff(QObject *parent=0)QsciLexerDiff
readProperties(QSettings &qs, const QString &prefix)QsciLexer [protected, virtual]
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexer [virtual]
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexer [virtual, slot]
setColor(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexer [virtual]
setEolFill(bool eoffill, int style=-1)QsciLexer [virtual, slot]
setFont(const QFont &f, int style=-1)QsciLexer [virtual, slot]
setPaper(const QColor &c, int style=-1)QsciLexer [virtual, slot]
styleBitsNeeded() const QsciLexer [virtual]
wordCharacters() const QsciLexerDiff [virtual]
writeProperties(QSettings &qs, const QString &prefix) const QsciLexer [protected, virtual]
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexer [virtual]
~QsciLexerDiff()QsciLexerDiff [virtual]
- +

This is the complete list of members for QsciLexerDiff, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexervirtual
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexervirtual
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockLookback() const (defined in QsciLexer)QsciLexervirtual
blockStart(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexervirtual
braceStyle() const (defined in QsciLexer)QsciLexervirtual
caseSensitive() const (defined in QsciLexer)QsciLexervirtual
color(int style) const QsciLexervirtual
colorChanged(const QColor &c, int style)QsciLexersignal
Command enum valueQsciLexerDiff
Comment enum valueQsciLexerDiff
Default enum valueQsciLexerDiff
defaultColor(int style) const QsciLexerDiffvirtual
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexervirtual
defaultFont() const QsciLexer
defaultFont(int style) const QsciLexervirtual
defaultPaper() const QsciLexer
defaultPaper(int style) const QsciLexervirtual
defaultStyle() const (defined in QsciLexer)QsciLexervirtual
description(int style) const QsciLexerDiffvirtual
editor() const QsciLexerinline
eolFill(int style) const QsciLexervirtual
eolFillChanged(bool eolfilled, int style)QsciLexersignal
font(int style) const QsciLexervirtual
fontChanged(const QFont &f, int style)QsciLexersignal
Header enum valueQsciLexerDiff
indentationGuideView() const (defined in QsciLexer)QsciLexervirtual
keywords(int set) const QsciLexervirtual
language() const QsciLexerDiffvirtual
lexer() const QsciLexerDiffvirtual
lexerId() const QsciLexervirtual
LineAdded enum valueQsciLexerDiff
LineChanged enum valueQsciLexerDiff
LineRemoved enum valueQsciLexerDiff
paper(int style) const QsciLexervirtual
paperChanged(const QColor &c, int style)QsciLexersignal
Position enum valueQsciLexerDiff
propertyChanged(const char *prop, const char *val)QsciLexersignal
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerDiff(QObject *parent=0)QsciLexerDiff
readProperties(QSettings &qs, const QString &prefix)QsciLexerprotectedvirtual
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexervirtual
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexervirtualslot
setColor(const QColor &c, int style=-1)QsciLexervirtualslot
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexervirtual
setEolFill(bool eoffill, int style=-1)QsciLexervirtualslot
setFont(const QFont &f, int style=-1)QsciLexervirtualslot
setPaper(const QColor &c, int style=-1)QsciLexervirtualslot
styleBitsNeeded() const QsciLexervirtual
wordCharacters() const QsciLexerDiffvirtual
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerprotectedvirtual
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexervirtual
~QsciLexerDiff()QsciLexerDiffvirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerD-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerD-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerD-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerD-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerD Member List
-
+
-This is the complete list of members for QsciLexerD, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexer [virtual]
autoCompletionWordSeparators() const (defined in QsciLexerD)QsciLexerD [virtual]
autoIndentStyle()QsciLexer
BackquoteString enum valueQsciLexerD
blockEnd(int *style=0) const (defined in QsciLexerD)QsciLexerD [virtual]
blockLookback() const (defined in QsciLexer)QsciLexer [virtual]
blockStart(int *style=0) const (defined in QsciLexerD)QsciLexerD [virtual]
blockStartKeyword(int *style=0) const (defined in QsciLexerD)QsciLexerD [virtual]
braceStyle() const (defined in QsciLexerD)QsciLexerD [virtual]
caseSensitive() const (defined in QsciLexer)QsciLexer [virtual]
Character enum valueQsciLexerD
color(int style) const QsciLexer [virtual]
colorChanged(const QColor &c, int style)QsciLexer [signal]
Comment enum valueQsciLexerD
CommentDoc enum valueQsciLexerD
CommentDocKeyword enum valueQsciLexerD
CommentDocKeywordError enum valueQsciLexerD
CommentLine enum valueQsciLexerD
CommentLineDoc enum valueQsciLexerD
CommentNested enum valueQsciLexerD
Default enum valueQsciLexerD
defaultColor(int style) const QsciLexerD [virtual]
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerD [virtual]
defaultFont(int style) const QsciLexerD [virtual]
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerD [virtual]
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexer [virtual]
description(int style) const QsciLexerD [virtual]
editor() const QsciLexer [inline]
eolFill(int style) const QsciLexer [virtual]
eolFillChanged(bool eolfilled, int style)QsciLexer [signal]
foldAtElse() const QsciLexerD
foldComments() const QsciLexerD
foldCompact() const QsciLexerD
font(int style) const QsciLexer [virtual]
fontChanged(const QFont &f, int style)QsciLexer [signal]
Identifier enum valueQsciLexerD
indentationGuideView() const (defined in QsciLexer)QsciLexer [virtual]
Keyword enum valueQsciLexerD
KeywordDoc enum valueQsciLexerD
keywords(int set) const QsciLexerD [virtual]
KeywordSecondary enum valueQsciLexerD
KeywordSet5 enum valueQsciLexerD
KeywordSet6 enum valueQsciLexerD
KeywordSet7 enum valueQsciLexerD
language() const QsciLexerD [virtual]
lexer() const QsciLexerD [virtual]
lexerId() const QsciLexer [virtual]
Number enum valueQsciLexerD
Operator enum valueQsciLexerD
paper(int style) const QsciLexer [virtual]
paperChanged(const QColor &c, int style)QsciLexer [signal]
propertyChanged(const char *prop, const char *val)QsciLexer [signal]
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerD(QObject *parent=0)QsciLexerD
RawString enum valueQsciLexerD
readProperties(QSettings &qs, const QString &prefix)QsciLexerD [protected, virtual]
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerD [virtual]
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexer [virtual, slot]
setColor(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexer [virtual]
setEolFill(bool eoffill, int style=-1)QsciLexer [virtual, slot]
setFoldAtElse(bool fold)QsciLexerD [virtual, slot]
setFoldComments(bool fold)QsciLexerD [virtual, slot]
setFoldCompact(bool fold)QsciLexerD [virtual, slot]
setFont(const QFont &f, int style=-1)QsciLexer [virtual, slot]
setPaper(const QColor &c, int style=-1)QsciLexer [virtual, slot]
String enum valueQsciLexerD
styleBitsNeeded() const QsciLexer [virtual]
Typedefs enum valueQsciLexerD
UnclosedString enum valueQsciLexerD
wordCharacters() const QsciLexerD [virtual]
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerD [protected, virtual]
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexer [virtual]
~QsciLexerD()QsciLexerD [virtual]
- +

This is the complete list of members for QsciLexerD, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexervirtual
autoCompletionWordSeparators() const (defined in QsciLexerD)QsciLexerDvirtual
autoIndentStyle()QsciLexer
BackquoteString enum valueQsciLexerD
blockEnd(int *style=0) const (defined in QsciLexerD)QsciLexerDvirtual
blockLookback() const (defined in QsciLexer)QsciLexervirtual
blockStart(int *style=0) const (defined in QsciLexerD)QsciLexerDvirtual
blockStartKeyword(int *style=0) const (defined in QsciLexerD)QsciLexerDvirtual
braceStyle() const (defined in QsciLexerD)QsciLexerDvirtual
caseSensitive() const (defined in QsciLexer)QsciLexervirtual
Character enum valueQsciLexerD
color(int style) const QsciLexervirtual
colorChanged(const QColor &c, int style)QsciLexersignal
Comment enum valueQsciLexerD
CommentDoc enum valueQsciLexerD
CommentDocKeyword enum valueQsciLexerD
CommentDocKeywordError enum valueQsciLexerD
CommentLine enum valueQsciLexerD
CommentLineDoc enum valueQsciLexerD
CommentNested enum valueQsciLexerD
Default enum valueQsciLexerD
defaultColor(int style) const QsciLexerDvirtual
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerDvirtual
defaultFont(int style) const QsciLexerDvirtual
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerDvirtual
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexervirtual
description(int style) const QsciLexerDvirtual
editor() const QsciLexerinline
eolFill(int style) const QsciLexervirtual
eolFillChanged(bool eolfilled, int style)QsciLexersignal
foldAtElse() const QsciLexerD
foldComments() const QsciLexerD
foldCompact() const QsciLexerD
font(int style) const QsciLexervirtual
fontChanged(const QFont &f, int style)QsciLexersignal
Identifier enum valueQsciLexerD
indentationGuideView() const (defined in QsciLexer)QsciLexervirtual
Keyword enum valueQsciLexerD
KeywordDoc enum valueQsciLexerD
keywords(int set) const QsciLexerDvirtual
KeywordSecondary enum valueQsciLexerD
KeywordSet5 enum valueQsciLexerD
KeywordSet6 enum valueQsciLexerD
KeywordSet7 enum valueQsciLexerD
language() const QsciLexerDvirtual
lexer() const QsciLexerDvirtual
lexerId() const QsciLexervirtual
Number enum valueQsciLexerD
Operator enum valueQsciLexerD
paper(int style) const QsciLexervirtual
paperChanged(const QColor &c, int style)QsciLexersignal
propertyChanged(const char *prop, const char *val)QsciLexersignal
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerD(QObject *parent=0)QsciLexerD
RawString enum valueQsciLexerD
readProperties(QSettings &qs, const QString &prefix)QsciLexerDprotectedvirtual
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerDvirtual
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexervirtualslot
setColor(const QColor &c, int style=-1)QsciLexervirtualslot
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexervirtual
setEolFill(bool eoffill, int style=-1)QsciLexervirtualslot
setFoldAtElse(bool fold)QsciLexerDvirtualslot
setFoldComments(bool fold)QsciLexerDvirtualslot
setFoldCompact(bool fold)QsciLexerDvirtualslot
setFont(const QFont &f, int style=-1)QsciLexervirtualslot
setPaper(const QColor &c, int style=-1)QsciLexervirtualslot
String enum valueQsciLexerD
styleBitsNeeded() const QsciLexervirtual
Typedefs enum valueQsciLexerD
UnclosedString enum valueQsciLexerD
wordCharacters() const QsciLexerDvirtual
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerDprotectedvirtual
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexervirtual
~QsciLexerD()QsciLexerDvirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerFortran77.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerFortran77.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerFortran77.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerFortran77.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciLexerFortran77 Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerFortran77 Class Reference
- +
- +

#include <qscilexerfortran77.h>

Inherits QsciLexer.

Inherited by QsciLexerFortran.

- -

List of all members.

-

-Public Types

- -

-Public Slots

- -

-Public Member Functions

- -

-Protected Member Functions

- -

Detailed Description

-

The QsciLexerFortran77 class encapsulates the Scintilla Fortran77 lexer.

-

Member Enumeration Documentation

- + + + + +

+Public Types

enum  {
+  Default = 0, +Comment = 1, +Number = 2, +
+  SingleQuotedString = 3, +DoubleQuotedString = 4, +UnclosedString = 5, +
+  Operator = 6, +Identifier = 7, +Keyword = 8, +
+  IntrinsicFunction = 9, +ExtendedFunction = 10, +PreProcessor = 11, +
+  DottedOperator = 12, +Label = 13, +Continuation = 14 +
+ }
 
+ + + + + + + + + + + + + + +

+Public Slots

virtual void setFoldCompact (bool fold)
 
- Public Slots inherited from QsciLexer
virtual void setAutoIndentStyle (int autoindentstyle)
 
virtual void setColor (const QColor &c, int style=-1)
 
virtual void setEolFill (bool eoffill, int style=-1)
 
virtual void setFont (const QFont &f, int style=-1)
 
virtual void setPaper (const QColor &c, int style=-1)
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 QsciLexerFortran77 (QObject *parent=0)
 
+virtual ~QsciLexerFortran77 ()
 
+const char * language () const
 
const char * lexer () const
 
+int braceStyle () const
 
QColor defaultColor (int style) const
 
+bool defaultEolFill (int style) const
 
+QFont defaultFont (int style) const
 
QColor defaultPaper (int style) const
 
const char * keywords (int set) const
 
QString description (int style) const
 
void refreshProperties ()
 
bool foldCompact () const
 
- Public Member Functions inherited from QsciLexer
 QsciLexer (QObject *parent=0)
 
+virtual ~QsciLexer ()
 
virtual int lexerId () const
 
QsciAbstractAPIsapis () const
 
+virtual const char * autoCompletionFillups () const
 
+virtual QStringList autoCompletionWordSeparators () const
 
int autoIndentStyle ()
 
+virtual const char * blockEnd (int *style=0) const
 
+virtual int blockLookback () const
 
+virtual const char * blockStart (int *style=0) const
 
+virtual const char * blockStartKeyword (int *style=0) const
 
+virtual bool caseSensitive () const
 
virtual QColor color (int style) const
 
virtual bool eolFill (int style) const
 
virtual QFont font (int style) const
 
+virtual int indentationGuideView () const
 
+virtual int defaultStyle () const
 
virtual QColor paper (int style) const
 
QColor defaultColor () const
 
QFont defaultFont () const
 
QColor defaultPaper () const
 
QsciScintillaeditor () const
 
void setAPIs (QsciAbstractAPIs *apis)
 
void setDefaultColor (const QColor &c)
 
void setDefaultFont (const QFont &f)
 
void setDefaultPaper (const QColor &c)
 
+virtual void setEditor (QsciScintilla *editor)
 
bool readSettings (QSettings &qs, const char *prefix="/Scintilla")
 
virtual int styleBitsNeeded () const
 
virtual const char * wordCharacters () const
 
bool writeSettings (QSettings &qs, const char *prefix="/Scintilla") const
 
+ + + + + +

+Protected Member Functions

bool readProperties (QSettings &qs, const QString &prefix)
 
bool writeProperties (QSettings &qs, const QString &prefix) const
 
+ + + + + + + + + + + + +

+Additional Inherited Members

- Signals inherited from QsciLexer
void colorChanged (const QColor &c, int style)
 
void eolFillChanged (bool eolfilled, int style)
 
void fontChanged (const QFont &f, int style)
 
void paperChanged (const QColor &c, int style)
 
void propertyChanged (const char *prop, const char *val)
 
+

Detailed Description

+

The QsciLexerFortran77 class encapsulates the Scintilla Fortran77 lexer.

+

Member Enumeration Documentation

+
@@ -138,63 +241,60 @@
anonymous enum
-
-
+

This enum defines the meanings of the different styles used by the Fortran77 lexer.

-
Enumerator:
-
Default  + + - - - - - - - - - - - - - -
Enumerator
Default 

The default.

Comment  +
Comment 

A comment.

Number  +
Number 

A number.

SingleQuotedString  +
SingleQuotedString 

A single-quoted string.

DoubleQuotedString  +
DoubleQuotedString 

A double-quoted string.

UnclosedString  +
UnclosedString 

The end of a line where a string is not closed.

Operator  +
Operator 

An operator.

Identifier  +
Identifier 

An identifier.

Keyword  +
Keyword 

A keyword.

IntrinsicFunction  +
IntrinsicFunction 

An intrinsic function.

ExtendedFunction  +
ExtendedFunction 

An extended, non-standard or user defined function.

PreProcessor  +
PreProcessor 

A pre-processor block.

DottedOperator  +
DottedOperator 

An operator in .NAME. format.

Label  +
Label 

A label.

Continuation  +
Continuation 

A continuation.

- - -

Constructor & Destructor Documentation

- +

Constructor & Destructor Documentation

+
@@ -206,138 +306,175 @@
-
-
-

Construct a QsciLexerFortran77 with parent parent. parent is typically the QsciScintilla instance.

+
+

Construct a QsciLexerFortran77 with parent parent. parent is typically the QsciScintilla instance.

-

Member Function Documentation

- +

Member Function Documentation

+
+ + + + + +
- +
const char* QsciLexerFortran77::lexer ( ) const [virtual] const
- -
+
+virtual
+

Returns the name of the lexer. Some lexers support a number of languages.

Reimplemented from QsciLexer.

-

Reimplemented in QsciLexerFortran.

-
- +
+ + + + + +
- +
QColor QsciLexerFortran77::defaultColor ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the foreground colour of the text for style number style.

-
See also:
defaultPaper()
+
See also
defaultPaper()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerFortran77::defaultPaper ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the background colour of the text for style number style.

-
See also:
defaultColor()
+
See also
defaultColor()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
const char* QsciLexerFortran77::keywords ( int  set) const [virtual] const
- -
+
+virtual
+

Returns the set of keywords for the keyword set set recognised by the lexer as a space separated string.

Reimplemented from QsciLexer.

-

Reimplemented in QsciLexerFortran.

-
- +
+ + + + + +
- +
QString QsciLexerFortran77::description ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the descriptive name for style number style. If the style is invalid for this language then an empty QString is returned. This is intended to be used in user preference dialogs.

Implements QsciLexer.

- +
+ + + + + +
- +
void QsciLexerFortran77::refreshProperties ( ) [virtual]
- -
+
+virtual
+

Causes all properties to be refreshed by emitting the propertyChanged() signal as required.

Reimplemented from QsciLexer.

- +
@@ -348,35 +485,44 @@
const
-
-
+

Returns true if trailing blank lines are included in a fold block.

-
See also:
setFoldCompact()
+
See also
setFoldCompact()
- +
+ + + + + +
- +
virtual void QsciLexerFortran77::setFoldCompact ( bool  fold) [virtual, slot]
- -
+
+virtualslot
+

If fold is true then trailing blank lines are included in a fold block. The default is true.

-
See also:
foldCompact()
+
See also
foldCompact()
- +
+ + + + + +
@@ -393,21 +539,28 @@ - +
bool QsciLexerFortran77::readProperties
) [protected, virtual]
- -
+
+protectedvirtual
+

The lexer's properties are read from the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

-
See also:
writeProperties()
+
See also
writeProperties()

Reimplemented from QsciLexer.

- +
+ + + + + +
@@ -424,26 +577,28 @@ - +
bool QsciLexerFortran77::writeProperties
) const [protected, virtual] const
- -
+
+protectedvirtual
+

The lexer's properties are written to the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

-
See also:
readProperties()
+
See also
readProperties()

Reimplemented from QsciLexer.

- - - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerFortran77-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerFortran77-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerFortran77-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerFortran77-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerFortran77 Member List
-
+
-This is the complete list of members for QsciLexerFortran77, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexer [virtual]
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexer [virtual]
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockLookback() const (defined in QsciLexer)QsciLexer [virtual]
blockStart(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
braceStyle() const (defined in QsciLexerFortran77)QsciLexerFortran77 [virtual]
caseSensitive() const (defined in QsciLexer)QsciLexer [virtual]
color(int style) const QsciLexer [virtual]
colorChanged(const QColor &c, int style)QsciLexer [signal]
Comment enum valueQsciLexerFortran77
Continuation enum valueQsciLexerFortran77
Default enum valueQsciLexerFortran77
defaultColor(int style) const QsciLexerFortran77 [virtual]
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerFortran77 [virtual]
defaultFont(int style) const QsciLexerFortran77 [virtual]
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerFortran77 [virtual]
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexer [virtual]
description(int style) const QsciLexerFortran77 [virtual]
DottedOperator enum valueQsciLexerFortran77
DoubleQuotedString enum valueQsciLexerFortran77
editor() const QsciLexer [inline]
eolFill(int style) const QsciLexer [virtual]
eolFillChanged(bool eolfilled, int style)QsciLexer [signal]
ExtendedFunction enum valueQsciLexerFortran77
foldCompact() const QsciLexerFortran77
font(int style) const QsciLexer [virtual]
fontChanged(const QFont &f, int style)QsciLexer [signal]
Identifier enum valueQsciLexerFortran77
indentationGuideView() const (defined in QsciLexer)QsciLexer [virtual]
IntrinsicFunction enum valueQsciLexerFortran77
Keyword enum valueQsciLexerFortran77
keywords(int set) const QsciLexerFortran77 [virtual]
Label enum valueQsciLexerFortran77
language() const QsciLexerFortran77 [virtual]
lexer() const QsciLexerFortran77 [virtual]
lexerId() const QsciLexer [virtual]
Number enum valueQsciLexerFortran77
Operator enum valueQsciLexerFortran77
paper(int style) const QsciLexer [virtual]
paperChanged(const QColor &c, int style)QsciLexer [signal]
PreProcessor enum valueQsciLexerFortran77
propertyChanged(const char *prop, const char *val)QsciLexer [signal]
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerFortran77(QObject *parent=0)QsciLexerFortran77
readProperties(QSettings &qs, const QString &prefix)QsciLexerFortran77 [protected, virtual]
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerFortran77 [virtual]
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexer [virtual, slot]
setColor(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexer [virtual]
setEolFill(bool eoffill, int style=-1)QsciLexer [virtual, slot]
setFoldCompact(bool fold)QsciLexerFortran77 [virtual, slot]
setFont(const QFont &f, int style=-1)QsciLexer [virtual, slot]
setPaper(const QColor &c, int style=-1)QsciLexer [virtual, slot]
SingleQuotedString enum valueQsciLexerFortran77
styleBitsNeeded() const QsciLexer [virtual]
UnclosedString enum valueQsciLexerFortran77
wordCharacters() const QsciLexer [virtual]
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerFortran77 [protected, virtual]
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexer [virtual]
~QsciLexerFortran77()QsciLexerFortran77 [virtual]
- +

This is the complete list of members for QsciLexerFortran77, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexervirtual
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexervirtual
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockLookback() const (defined in QsciLexer)QsciLexervirtual
blockStart(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexervirtual
braceStyle() const (defined in QsciLexerFortran77)QsciLexerFortran77virtual
caseSensitive() const (defined in QsciLexer)QsciLexervirtual
color(int style) const QsciLexervirtual
colorChanged(const QColor &c, int style)QsciLexersignal
Comment enum valueQsciLexerFortran77
Continuation enum valueQsciLexerFortran77
Default enum valueQsciLexerFortran77
defaultColor(int style) const QsciLexerFortran77virtual
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerFortran77virtual
defaultFont(int style) const QsciLexerFortran77virtual
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerFortran77virtual
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexervirtual
description(int style) const QsciLexerFortran77virtual
DottedOperator enum valueQsciLexerFortran77
DoubleQuotedString enum valueQsciLexerFortran77
editor() const QsciLexerinline
eolFill(int style) const QsciLexervirtual
eolFillChanged(bool eolfilled, int style)QsciLexersignal
ExtendedFunction enum valueQsciLexerFortran77
foldCompact() const QsciLexerFortran77
font(int style) const QsciLexervirtual
fontChanged(const QFont &f, int style)QsciLexersignal
Identifier enum valueQsciLexerFortran77
indentationGuideView() const (defined in QsciLexer)QsciLexervirtual
IntrinsicFunction enum valueQsciLexerFortran77
Keyword enum valueQsciLexerFortran77
keywords(int set) const QsciLexerFortran77virtual
Label enum valueQsciLexerFortran77
language() const QsciLexerFortran77virtual
lexer() const QsciLexerFortran77virtual
lexerId() const QsciLexervirtual
Number enum valueQsciLexerFortran77
Operator enum valueQsciLexerFortran77
paper(int style) const QsciLexervirtual
paperChanged(const QColor &c, int style)QsciLexersignal
PreProcessor enum valueQsciLexerFortran77
propertyChanged(const char *prop, const char *val)QsciLexersignal
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerFortran77(QObject *parent=0)QsciLexerFortran77
readProperties(QSettings &qs, const QString &prefix)QsciLexerFortran77protectedvirtual
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerFortran77virtual
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexervirtualslot
setColor(const QColor &c, int style=-1)QsciLexervirtualslot
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexervirtual
setEolFill(bool eoffill, int style=-1)QsciLexervirtualslot
setFoldCompact(bool fold)QsciLexerFortran77virtualslot
setFont(const QFont &f, int style=-1)QsciLexervirtualslot
setPaper(const QColor &c, int style=-1)QsciLexervirtualslot
SingleQuotedString enum valueQsciLexerFortran77
styleBitsNeeded() const QsciLexervirtual
UnclosedString enum valueQsciLexerFortran77
wordCharacters() const QsciLexervirtual
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerFortran77protectedvirtual
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexervirtual
~QsciLexerFortran77()QsciLexerFortran77virtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerFortran.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerFortran.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerFortran.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerFortran.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciLexerFortran Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerFortran Class Reference
- +
- +

#include <qscilexerfortran.h>

Inherits QsciLexerFortran77.

- -

List of all members.

-

-Public Member Functions

- -

Detailed Description

-

The QsciLexerFortran class encapsulates the Scintilla Fortran lexer.

-

Constructor & Destructor Documentation

- + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 QsciLexerFortran (QObject *parent=0)
 
+virtual ~QsciLexerFortran ()
 
+const char * language () const
 
const char * lexer () const
 
const char * keywords (int set) const
 
- Public Member Functions inherited from QsciLexerFortran77
 QsciLexerFortran77 (QObject *parent=0)
 
+virtual ~QsciLexerFortran77 ()
 
+const char * language () const
 
const char * lexer () const
 
+int braceStyle () const
 
QColor defaultColor (int style) const
 
+bool defaultEolFill (int style) const
 
+QFont defaultFont (int style) const
 
QColor defaultPaper (int style) const
 
const char * keywords (int set) const
 
QString description (int style) const
 
void refreshProperties ()
 
bool foldCompact () const
 
- Public Member Functions inherited from QsciLexer
 QsciLexer (QObject *parent=0)
 
+virtual ~QsciLexer ()
 
virtual int lexerId () const
 
QsciAbstractAPIsapis () const
 
+virtual const char * autoCompletionFillups () const
 
+virtual QStringList autoCompletionWordSeparators () const
 
int autoIndentStyle ()
 
+virtual const char * blockEnd (int *style=0) const
 
+virtual int blockLookback () const
 
+virtual const char * blockStart (int *style=0) const
 
+virtual const char * blockStartKeyword (int *style=0) const
 
+virtual bool caseSensitive () const
 
virtual QColor color (int style) const
 
virtual bool eolFill (int style) const
 
virtual QFont font (int style) const
 
+virtual int indentationGuideView () const
 
+virtual int defaultStyle () const
 
virtual QColor paper (int style) const
 
QColor defaultColor () const
 
QFont defaultFont () const
 
QColor defaultPaper () const
 
QsciScintillaeditor () const
 
void setAPIs (QsciAbstractAPIs *apis)
 
void setDefaultColor (const QColor &c)
 
void setDefaultFont (const QFont &f)
 
void setDefaultPaper (const QColor &c)
 
+virtual void setEditor (QsciScintilla *editor)
 
bool readSettings (QSettings &qs, const char *prefix="/Scintilla")
 
virtual int styleBitsNeeded () const
 
virtual const char * wordCharacters () const
 
bool writeSettings (QSettings &qs, const char *prefix="/Scintilla") const
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Additional Inherited Members

- Public Types inherited from QsciLexerFortran77
enum  {
+  Default = 0, +Comment = 1, +Number = 2, +
+  SingleQuotedString = 3, +DoubleQuotedString = 4, +UnclosedString = 5, +
+  Operator = 6, +Identifier = 7, +Keyword = 8, +
+  IntrinsicFunction = 9, +ExtendedFunction = 10, +PreProcessor = 11, +
+  DottedOperator = 12, +Label = 13, +Continuation = 14 +
+ }
 
- Public Slots inherited from QsciLexerFortran77
virtual void setFoldCompact (bool fold)
 
- Public Slots inherited from QsciLexer
virtual void setAutoIndentStyle (int autoindentstyle)
 
virtual void setColor (const QColor &c, int style=-1)
 
virtual void setEolFill (bool eoffill, int style=-1)
 
virtual void setFont (const QFont &f, int style=-1)
 
virtual void setPaper (const QColor &c, int style=-1)
 
- Signals inherited from QsciLexer
void colorChanged (const QColor &c, int style)
 
void eolFillChanged (bool eolfilled, int style)
 
void fontChanged (const QFont &f, int style)
 
void paperChanged (const QColor &c, int style)
 
void propertyChanged (const char *prop, const char *val)
 
- Protected Member Functions inherited from QsciLexerFortran77
bool readProperties (QSettings &qs, const QString &prefix)
 
bool writeProperties (QSettings &qs, const QString &prefix) const
 
+

Detailed Description

+

The QsciLexerFortran class encapsulates the Scintilla Fortran lexer.

+

Constructor & Destructor Documentation

+
@@ -89,60 +247,71 @@
-
-
-

Construct a QsciLexerFortran with parent parent. parent is typically the QsciScintilla instance.

+
+

Construct a QsciLexerFortran with parent parent. parent is typically the QsciScintilla instance.

-

Member Function Documentation

- +

Member Function Documentation

+
+ + + + + +
- +
const char* QsciLexerFortran::lexer ( ) const [virtual] const
- -
+
+virtual
+

Returns the name of the lexer. Some lexers support a number of languages.

-

Reimplemented from QsciLexerFortran77.

+

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
const char* QsciLexerFortran::keywords ( int  set) const [virtual] const
- -
+
+virtual
+

Returns the set of keywords for the keyword set set recognised by the lexer as a space separated string.

-

Reimplemented from QsciLexerFortran77.

+

Reimplemented from QsciLexer.

-
- - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerFortran-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerFortran-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerFortran-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerFortran-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerFortran Member List
-
+
-This is the complete list of members for QsciLexerFortran, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexer [virtual]
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexer [virtual]
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockLookback() const (defined in QsciLexer)QsciLexer [virtual]
blockStart(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
braceStyle() const (defined in QsciLexerFortran77)QsciLexerFortran77 [virtual]
caseSensitive() const (defined in QsciLexer)QsciLexer [virtual]
color(int style) const QsciLexer [virtual]
colorChanged(const QColor &c, int style)QsciLexer [signal]
Comment enum valueQsciLexerFortran77
Continuation enum valueQsciLexerFortran77
Default enum valueQsciLexerFortran77
defaultColor(int style) const QsciLexerFortran77 [virtual]
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerFortran77 [virtual]
defaultFont(int style) const QsciLexerFortran77 [virtual]
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerFortran77 [virtual]
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexer [virtual]
description(int style) const QsciLexerFortran77 [virtual]
DottedOperator enum valueQsciLexerFortran77
DoubleQuotedString enum valueQsciLexerFortran77
editor() const QsciLexer [inline]
eolFill(int style) const QsciLexer [virtual]
eolFillChanged(bool eolfilled, int style)QsciLexer [signal]
ExtendedFunction enum valueQsciLexerFortran77
foldCompact() const QsciLexerFortran77
font(int style) const QsciLexer [virtual]
fontChanged(const QFont &f, int style)QsciLexer [signal]
Identifier enum valueQsciLexerFortran77
indentationGuideView() const (defined in QsciLexer)QsciLexer [virtual]
IntrinsicFunction enum valueQsciLexerFortran77
Keyword enum valueQsciLexerFortran77
keywords(int set) const QsciLexerFortran [virtual]
Label enum valueQsciLexerFortran77
language() const QsciLexerFortran [virtual]
lexer() const QsciLexerFortran [virtual]
lexerId() const QsciLexer [virtual]
Number enum valueQsciLexerFortran77
Operator enum valueQsciLexerFortran77
paper(int style) const QsciLexer [virtual]
paperChanged(const QColor &c, int style)QsciLexer [signal]
PreProcessor enum valueQsciLexerFortran77
propertyChanged(const char *prop, const char *val)QsciLexer [signal]
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerFortran(QObject *parent=0)QsciLexerFortran
QsciLexerFortran77(QObject *parent=0)QsciLexerFortran77
readProperties(QSettings &qs, const QString &prefix)QsciLexerFortran77 [protected, virtual]
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerFortran77 [virtual]
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexer [virtual, slot]
setColor(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexer [virtual]
setEolFill(bool eoffill, int style=-1)QsciLexer [virtual, slot]
setFoldCompact(bool fold)QsciLexerFortran77 [virtual, slot]
setFont(const QFont &f, int style=-1)QsciLexer [virtual, slot]
setPaper(const QColor &c, int style=-1)QsciLexer [virtual, slot]
SingleQuotedString enum valueQsciLexerFortran77
styleBitsNeeded() const QsciLexer [virtual]
UnclosedString enum valueQsciLexerFortran77
wordCharacters() const QsciLexer [virtual]
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerFortran77 [protected, virtual]
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexer [virtual]
~QsciLexerFortran()QsciLexerFortran [virtual]
~QsciLexerFortran77()QsciLexerFortran77 [virtual]
- +

This is the complete list of members for QsciLexerFortran, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexervirtual
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexervirtual
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockLookback() const (defined in QsciLexer)QsciLexervirtual
blockStart(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexervirtual
braceStyle() const (defined in QsciLexerFortran77)QsciLexerFortran77virtual
caseSensitive() const (defined in QsciLexer)QsciLexervirtual
color(int style) const QsciLexervirtual
colorChanged(const QColor &c, int style)QsciLexersignal
Comment enum valueQsciLexerFortran77
Continuation enum valueQsciLexerFortran77
Default enum valueQsciLexerFortran77
defaultColor(int style) const QsciLexerFortran77virtual
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerFortran77virtual
defaultFont(int style) const QsciLexerFortran77virtual
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerFortran77virtual
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexervirtual
description(int style) const QsciLexerFortran77virtual
DottedOperator enum valueQsciLexerFortran77
DoubleQuotedString enum valueQsciLexerFortran77
editor() const QsciLexerinline
eolFill(int style) const QsciLexervirtual
eolFillChanged(bool eolfilled, int style)QsciLexersignal
ExtendedFunction enum valueQsciLexerFortran77
foldCompact() const QsciLexerFortran77
font(int style) const QsciLexervirtual
fontChanged(const QFont &f, int style)QsciLexersignal
Identifier enum valueQsciLexerFortran77
indentationGuideView() const (defined in QsciLexer)QsciLexervirtual
IntrinsicFunction enum valueQsciLexerFortran77
Keyword enum valueQsciLexerFortran77
keywords(int set) const QsciLexerFortranvirtual
Label enum valueQsciLexerFortran77
language() const QsciLexerFortranvirtual
lexer() const QsciLexerFortranvirtual
lexerId() const QsciLexervirtual
Number enum valueQsciLexerFortran77
Operator enum valueQsciLexerFortran77
paper(int style) const QsciLexervirtual
paperChanged(const QColor &c, int style)QsciLexersignal
PreProcessor enum valueQsciLexerFortran77
propertyChanged(const char *prop, const char *val)QsciLexersignal
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerFortran(QObject *parent=0)QsciLexerFortran
QsciLexerFortran77(QObject *parent=0)QsciLexerFortran77
readProperties(QSettings &qs, const QString &prefix)QsciLexerFortran77protectedvirtual
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerFortran77virtual
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexervirtualslot
setColor(const QColor &c, int style=-1)QsciLexervirtualslot
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexervirtual
setEolFill(bool eoffill, int style=-1)QsciLexervirtualslot
setFoldCompact(bool fold)QsciLexerFortran77virtualslot
setFont(const QFont &f, int style=-1)QsciLexervirtualslot
setPaper(const QColor &c, int style=-1)QsciLexervirtualslot
SingleQuotedString enum valueQsciLexerFortran77
styleBitsNeeded() const QsciLexervirtual
UnclosedString enum valueQsciLexerFortran77
wordCharacters() const QsciLexervirtual
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerFortran77protectedvirtual
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexervirtual
~QsciLexerFortran()QsciLexerFortranvirtual
~QsciLexerFortran77()QsciLexerFortran77virtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexer.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexer.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexer.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexer.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciLexer Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
-
QsciLexer Class Reference
- +
QsciLexer Class Referenceabstract
+
- +

#include <qscilexer.h>

-

Inherited by QsciLexerAVS, QsciLexerBash, QsciLexerBatch, QsciLexerCMake, QsciLexerCoffeeScript, QsciLexerCPP, QsciLexerCSS, QsciLexerCustom, QsciLexerD, QsciLexerDiff, QsciLexerFortran77, QsciLexerHTML, QsciLexerLua, QsciLexerMakefile, QsciLexerMatlab, QsciLexerPascal, QsciLexerPerl, QsciLexerPO, QsciLexerPostScript, QsciLexerPOV, QsciLexerProperties, QsciLexerPython, QsciLexerRuby, QsciLexerSpice, QsciLexerSQL, QsciLexerTCL, QsciLexerTeX, QsciLexerVerilog, QsciLexerVHDL, and QsciLexerYAML.

+

Inherits QObject.

-

List of all members.

-

-Classes

-
    -
  • struct StyleData -
  • struct StyleDataMap -
-

-Public Slots

-
    -
  • virtual void setAutoIndentStyle (int autoindentstyle) -
  • virtual void setColor (const QColor &c, int style=-1) -
  • virtual void setEolFill (bool eoffill, int style=-1) -
  • virtual void setFont (const QFont &f, int style=-1) -
  • virtual void setPaper (const QColor &c, int style=-1) -
-

-Signals

- -

-Public Member Functions

- -

-Protected Member Functions

-
    -
  • virtual bool readProperties (QSettings &qs, const QString &prefix) -
  • virtual bool writeProperties (QSettings &qs, const QString &prefix) const -
-

Detailed Description

-

The QsciLexer class is an abstract class used as a base for language lexers.

+

Inherited by QsciLexerAVS, QsciLexerBash, QsciLexerBatch, QsciLexerCMake, QsciLexerCoffeeScript, QsciLexerCPP, QsciLexerCSS, QsciLexerCustom, QsciLexerD, QsciLexerDiff, QsciLexerFortran77, QsciLexerHTML, QsciLexerLua, QsciLexerMakefile, QsciLexerMatlab, QsciLexerPascal, QsciLexerPerl, QsciLexerPO, QsciLexerPostScript, QsciLexerPOV, QsciLexerProperties, QsciLexerPython, QsciLexerRuby, QsciLexerSpice, QsciLexerSQL, QsciLexerTCL, QsciLexerTeX, QsciLexerVerilog, QsciLexerVHDL, and QsciLexerYAML.

+ + + + + + + + + + + + +

+Public Slots

virtual void setAutoIndentStyle (int autoindentstyle)
 
virtual void setColor (const QColor &c, int style=-1)
 
virtual void setEolFill (bool eoffill, int style=-1)
 
virtual void setFont (const QFont &f, int style=-1)
 
virtual void setPaper (const QColor &c, int style=-1)
 
+ + + + + + + + + + + +

+Signals

void colorChanged (const QColor &c, int style)
 
void eolFillChanged (bool eolfilled, int style)
 
void fontChanged (const QFont &f, int style)
 
void paperChanged (const QColor &c, int style)
 
void propertyChanged (const char *prop, const char *val)
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 QsciLexer (QObject *parent=0)
 
+virtual ~QsciLexer ()
 
virtual const char * language () const =0
 
virtual const char * lexer () const
 
virtual int lexerId () const
 
QsciAbstractAPIsapis () const
 
+virtual const char * autoCompletionFillups () const
 
+virtual QStringList autoCompletionWordSeparators () const
 
int autoIndentStyle ()
 
+virtual const char * blockEnd (int *style=0) const
 
+virtual int blockLookback () const
 
+virtual const char * blockStart (int *style=0) const
 
+virtual const char * blockStartKeyword (int *style=0) const
 
+virtual int braceStyle () const
 
+virtual bool caseSensitive () const
 
virtual QColor color (int style) const
 
virtual bool eolFill (int style) const
 
virtual QFont font (int style) const
 
+virtual int indentationGuideView () const
 
virtual const char * keywords (int set) const
 
+virtual int defaultStyle () const
 
virtual QString description (int style) const =0
 
virtual QColor paper (int style) const
 
QColor defaultColor () const
 
+virtual QColor defaultColor (int style) const
 
virtual bool defaultEolFill (int style) const
 
QFont defaultFont () const
 
+virtual QFont defaultFont (int style) const
 
QColor defaultPaper () const
 
+virtual QColor defaultPaper (int style) const
 
QsciScintillaeditor () const
 
void setAPIs (QsciAbstractAPIs *apis)
 
void setDefaultColor (const QColor &c)
 
void setDefaultFont (const QFont &f)
 
void setDefaultPaper (const QColor &c)
 
+virtual void setEditor (QsciScintilla *editor)
 
bool readSettings (QSettings &qs, const char *prefix="/Scintilla")
 
virtual void refreshProperties ()
 
virtual int styleBitsNeeded () const
 
virtual const char * wordCharacters () const
 
bool writeSettings (QSettings &qs, const char *prefix="/Scintilla") const
 
+ + + + + +

+Protected Member Functions

virtual bool readProperties (QSettings &qs, const QString &prefix)
 
virtual bool writeProperties (QSettings &qs, const QString &prefix) const
 
+

Detailed Description

+

The QsciLexer class is an abstract class used as a base for language lexers.

A lexer scans the text breaking it up into separate language objects, e.g. keywords, strings, operators. The lexer then uses a different style to draw each object. A style is identified by a style number and has a number of attributes, including colour and font. A specific language lexer will implement appropriate default styles which can be overriden by an application by further sub-classing the specific language lexer.

A lexer may provide one or more sets of words to be recognised as keywords. Most lexers only provide one set, but some may support languages embedded in other languages and provide several sets.

-

QsciLexer provides convenience methods for saving and restoring user preferences for fonts and colours.

-

If you want to write a lexer for a new language then you can add it to the underlying Scintilla code and implement a corresponding QsciLexer sub-class to manage the different styles used. Alternatively you can implement a sub-class of QsciLexerCustom.

-

Constructor & Destructor Documentation

- +

QsciLexer provides convenience methods for saving and restoring user preferences for fonts and colours.

+

If you want to write a lexer for a new language then you can add it to the underlying Scintilla code and implement a corresponding QsciLexer sub-class to manage the different styles used. Alternatively you can implement a sub-class of QsciLexerCustom.

+

Constructor & Destructor Documentation

+
@@ -176,71 +212,91 @@
-
-
-

Construct a QsciLexer with parent parent. parent is typically the QsciScintilla instance.

+
+

Construct a QsciLexer with parent parent. parent is typically the QsciScintilla instance.

-

Member Function Documentation

- +

Member Function Documentation

+ - + - +
+ + + + + +
- +
virtual int QsciLexer::lexerId ( ) const [virtual] const
- -
-

Returns the identifier (i.e. a QsciScintillaBase::SCLEX_* value) of the lexer. This is only used if lexer() returns 0. The default implementation returns QsciScintillaBase::SCLEX_CONTAINER.

-
See also:
lexer()
+
+virtual
+
+

Returns the identifier (i.e. a QsciScintillaBase::SCLEX_* value) of the lexer. This is only used if lexer() returns 0. The default implementation returns QsciScintillaBase::SCLEX_CONTAINER.

+
See also
lexer()
- +
@@ -251,14 +307,13 @@
const
-
-
+

Returns the current API set or 0 if there isn't one.

-
See also:
setAPIs()
+
See also
setAPIs()
- +
@@ -269,129 +324,170 @@
-
-
-

Returns the auto-indentation style. The default is 0 if the language is block structured, or QsciScintilla::AiMaintain if not.

-
See also:
setAutoIndentStyle(), QsciScintilla::AiMaintain, QsciScintilla::AiOpening, QsciScintilla::AiClosing
+
+

Returns the auto-indentation style. The default is 0 if the language is block structured, or QsciScintilla::AiMaintain if not.

+
See also
setAutoIndentStyle(), QsciScintilla::AiMaintain, QsciScintilla::AiOpening, QsciScintilla::AiClosing
- +
+ + + + + +
- +
virtual QColor QsciLexer::color ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the foreground colour of the text for style number style. The default colour is that returned by defaultColor().

-
See also:
defaultColor(), paper()
+
See also
defaultColor(), paper()
- +
+ + + + + +
- +
virtual bool QsciLexer::eolFill ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the end-of-line for style number style. The default is false.

- +
+ + + + + +
- +
virtual QFont QsciLexer::font ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the font for style number style. The default font is that returned by defaultFont().

-
See also:
defaultFont()
+
See also
defaultFont()
- + - + - +
+ + + + + +
- +
virtual QColor QsciLexer::paper ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the background colour of the text for style number style.

-
See also:
defaultPaper(), color()
+
See also
defaultPaper(), color()
- +
@@ -402,34 +498,40 @@
const
-
-
+

Returns the default text colour.

-
See also:
setDefaultColor()
+
See also
setDefaultColor()
- + - +
@@ -440,14 +542,13 @@
const
-
-
+

Returns the default font.

-
See also:
setDefaultFont()
+
See also
setDefaultFont()
- +
@@ -458,31 +559,37 @@
const
-
-
+

Returns the default paper colour.

-
See also:
setDefaultPaper()
+
See also
setDefaultPaper()
- +
+ + + + + +
- +
QsciScintilla* QsciLexer::editor ( ) const [inline] const
- -
+
+inline
+

Returns the QsciScintilla instance that the lexer is currently attached to or 0 if it is unattached.

- +
@@ -494,14 +601,13 @@
-
-
+

The current set of APIs is set to apis. If apis is 0 then any existing APIs for this lexer are removed.

-
See also:
apis()
+
See also
apis()
- +
@@ -513,14 +619,13 @@
-
-
+

The default text colour is set to c.

-
See also:
defaultColor(), color()
+
See also
defaultColor(), color()
- +
@@ -532,14 +637,13 @@
-
-
+

The default font is set to f.

-
See also:
defaultFont(), font()
+
See also
defaultFont(), font()
- +
@@ -551,14 +655,13 @@
-
-
+

The default paper colour is set to c.

-
See also:
defaultPaper(), paper()
+
See also
defaultPaper(), paper()
- +
@@ -580,71 +683,91 @@
-
-
+

The colour, paper, font and end-of-line for each style number, and all lexer specific properties are read from the settings qs. prefix is prepended to the key of each entry. true is returned if there was no error.

-
See also:
writeSettings(), QsciScintilla::setLexer()
+
See also
writeSettings(), QsciScintilla::setLexer()
- + - +
+ + + + + +
- +
virtual int QsciLexer::styleBitsNeeded ( ) const [virtual] const
- -
+
+virtual
+

Returns the number of style bits needed by the lexer. Normally this should only be re-implemented by custom lexers.

Reimplemented in QsciLexerCustom.

- +
+ + + + + +
- +
virtual const char* QsciLexer::wordCharacters ( ) const [virtual] const
- -
+
+virtual
+
- +
@@ -666,35 +789,44 @@
const
-
-
+

The colour, paper, font and end-of-line for each style number, and all lexer specific properties are written to the settings qs. prefix is prepended to the key of each entry. true is returned if there was no error.

-
See also:
readSettings()
+
See also
readSettings()
- +
+ + + + + +
- +
virtual void QsciLexer::setAutoIndentStyle ( int  autoindentstyle) [virtual, slot]
- -
+
+virtualslot
+
- +
+ + + + + +
@@ -711,18 +843,25 @@ - +
virtual void QsciLexer::setColor
) [virtual, slot]
- -
+
+virtualslot
+

The foreground colour for style number style is set to c. If style is -1 then the colour is set for all styles.

- +
+ + + + + +
@@ -739,18 +878,25 @@ - +
virtual void QsciLexer::setEolFill
) [virtual, slot]
- -
+
+virtualslot
+

The end-of-line fill for style number style is set to eoffill. If style is -1 then the fill is set for all styles.

- +
+ + + + + +
@@ -767,18 +913,25 @@ - +
virtual void QsciLexer::setFont
) [virtual, slot]
- -
+
+virtualslot
+

The font for style number style is set to f. If style is -1 then the font is set for all styles.

- +
+ + + + + +
@@ -795,18 +948,25 @@ - +
virtual void QsciLexer::setPaper
) [virtual, slot]
- -
+
+virtualslot
+

The background colour for style number style is set to c. If style is -1 then the colour is set for all styles.

- +
+ + + + + +
@@ -823,18 +983,25 @@ - +
void QsciLexer::colorChanged
) [signal]
- -
+
+signal
+

This signal is emitted when the foreground colour of style number style has changed. The new colour is c.

- +
+ + + + + +
@@ -851,18 +1018,25 @@ - +
void QsciLexer::eolFillChanged
) [signal]
- -
+
+signal
+

This signal is emitted when the end-of-file fill of style number style has changed. The new fill is eolfilled.

- +
+ + + + + +
@@ -879,18 +1053,25 @@ - +
void QsciLexer::fontChanged
) [signal]
- -
+
+signal
+

This signal is emitted when the font of style number style has changed. The new font is f.

- +
+ + + + + +
@@ -907,18 +1088,25 @@ - +
void QsciLexer::paperChanged
) [signal]
- -
+
+signal
+

This signal is emitted when the background colour of style number style has changed. The new colour is c.

- +
+ + + + + +
@@ -935,18 +1123,25 @@ - +
void QsciLexer::propertyChanged
) [signal]
- -
+
+signal
+

This signal is emitted when the value of the lexer property prop needs to be changed. The new value is val.

- + - +
+ + + + + +
@@ -993,25 +1195,27 @@ - +
virtual bool QsciLexer::writeProperties
) const [protected, virtual] const
- -
+
+protectedvirtual
+
-
- - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerHTML.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerHTML.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerHTML.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerHTML.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciLexerHTML Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerHTML Class Reference
- +
- +

#include <qscilexerhtml.h>

Inherits QsciLexer.

Inherited by QsciLexerXML.

- -

List of all members.

-

-Public Types

- -

-Public Slots

- -

-Public Member Functions

- -

-Protected Member Functions

- -

Detailed Description

-

The QsciLexerHTML class encapsulates the Scintilla HTML lexer.

-

Member Enumeration Documentation

- + + + + +

+Public Types

enum  {
+  Default = 0, +Tag = 1, +UnknownTag = 2, +
+  Attribute = 3, +UnknownAttribute = 4, +HTMLNumber = 5, +
+  HTMLDoubleQuotedString = 6, +HTMLSingleQuotedString = 7, +OtherInTag = 8, +
+  HTMLComment = 9, +Entity = 10, +XMLTagEnd = 11, +
+  XMLStart = 12, +XMLEnd = 13, +Script = 14, +
+  ASPAtStart = 15, +ASPStart = 16, +CDATA = 17, +
+  PHPStart = 18, +HTMLValue = 19, +ASPXCComment = 20, +
+  SGMLDefault = 21, +SGMLCommand = 22, +SGMLParameter = 23, +
+  SGMLDoubleQuotedString = 24, +SGMLSingleQuotedString = 25, +SGMLError = 26, +
+  SGMLSpecial = 27, +SGMLEntity = 28, +SGMLComment = 29, +
+  SGMLParameterComment = 30, +SGMLBlockDefault = 31, +JavaScriptStart = 40, +
+  JavaScriptDefault = 41, +JavaScriptComment = 42, +JavaScriptCommentLine = 43, +
+  JavaScriptCommentDoc = 44, +JavaScriptNumber = 45, +JavaScriptWord = 46, +
+  JavaScriptKeyword = 47, +JavaScriptDoubleQuotedString = 48, +JavaScriptSingleQuotedString = 49, +
+  JavaScriptSymbol = 50, +JavaScriptUnclosedString = 51, +JavaScriptRegex = 52, +
+  ASPJavaScriptStart = 55, +ASPJavaScriptDefault = 56, +ASPJavaScriptComment = 57, +
+  ASPJavaScriptCommentLine = 58, +ASPJavaScriptCommentDoc = 59, +ASPJavaScriptNumber = 60, +
+  ASPJavaScriptWord = 61, +ASPJavaScriptKeyword = 62, +ASPJavaScriptDoubleQuotedString = 63, +
+  ASPJavaScriptSingleQuotedString = 64, +ASPJavaScriptSymbol = 65, +ASPJavaScriptUnclosedString = 66, +
+  ASPJavaScriptRegex = 67, +VBScriptStart = 70, +VBScriptDefault = 71, +
+  VBScriptComment = 72, +VBScriptNumber = 73, +VBScriptKeyword = 74, +
+  VBScriptString = 75, +VBScriptIdentifier = 76, +VBScriptUnclosedString = 77, +
+  ASPVBScriptStart = 80, +ASPVBScriptDefault = 81, +ASPVBScriptComment = 82, +
+  ASPVBScriptNumber = 83, +ASPVBScriptKeyword = 84, +ASPVBScriptString = 85, +
+  ASPVBScriptIdentifier = 86, +ASPVBScriptUnclosedString = 87, +PythonStart = 90, +
+  PythonDefault = 91, +PythonComment = 92, +PythonNumber = 93, +
+  PythonDoubleQuotedString = 94, +PythonSingleQuotedString = 95, +PythonKeyword = 96, +
+  PythonTripleSingleQuotedString = 97, +PythonTripleDoubleQuotedString = 98, +PythonClassName = 99, +
+  PythonFunctionMethodName = 100, +PythonOperator = 101, +PythonIdentifier = 102, +
+  ASPPythonStart = 105, +ASPPythonDefault = 106, +ASPPythonComment = 107, +
+  ASPPythonNumber = 108, +ASPPythonDoubleQuotedString = 109, +ASPPythonSingleQuotedString = 110, +
+  ASPPythonKeyword = 111, +ASPPythonTripleSingleQuotedString = 112, +ASPPythonTripleDoubleQuotedString = 113, +
+  ASPPythonClassName = 114, +ASPPythonFunctionMethodName = 115, +ASPPythonOperator = 116, +
+  ASPPythonIdentifier = 117, +PHPDefault = 118, +PHPDoubleQuotedString = 119, +
+  PHPSingleQuotedString = 120, +PHPKeyword = 121, +PHPNumber = 122, +
+  PHPVariable = 123, +PHPComment = 124, +PHPCommentLine = 125, +
+  PHPDoubleQuotedVariable = 126, +PHPOperator = 127 +
+ }
 
+ + + + + + + + + + + + + + + + + + +

+Public Slots

virtual void setFoldCompact (bool fold)
 
virtual void setFoldPreprocessor (bool fold)
 
virtual void setCaseSensitiveTags (bool sens)
 
- Public Slots inherited from QsciLexer
virtual void setAutoIndentStyle (int autoindentstyle)
 
virtual void setColor (const QColor &c, int style=-1)
 
virtual void setEolFill (bool eoffill, int style=-1)
 
virtual void setFont (const QFont &f, int style=-1)
 
virtual void setPaper (const QColor &c, int style=-1)
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 QsciLexerHTML (QObject *parent=0)
 
+virtual ~QsciLexerHTML ()
 
+const char * language () const
 
const char * lexer () const
 
+const char * autoCompletionFillups () const
 
+const char * wordCharacters () const
 
QColor defaultColor (int style) const
 
+bool defaultEolFill (int style) const
 
+QFont defaultFont (int style) const
 
QColor defaultPaper (int style) const
 
const char * keywords (int set) const
 
QString description (int style) const
 
void refreshProperties ()
 
bool caseSensitiveTags () const
 
void setDjangoTemplates (bool enabled)
 
bool djangoTemplates () const
 
bool foldCompact () const
 
bool foldPreprocessor () const
 
void setFoldScriptComments (bool fold)
 
bool foldScriptComments () const
 
void setFoldScriptHeredocs (bool fold)
 
bool foldScriptHeredocs () const
 
void setMakoTemplates (bool enabled)
 
bool makoTemplates () const
 
- Public Member Functions inherited from QsciLexer
 QsciLexer (QObject *parent=0)
 
+virtual ~QsciLexer ()
 
virtual int lexerId () const
 
QsciAbstractAPIsapis () const
 
+virtual QStringList autoCompletionWordSeparators () const
 
int autoIndentStyle ()
 
+virtual const char * blockEnd (int *style=0) const
 
+virtual int blockLookback () const
 
+virtual const char * blockStart (int *style=0) const
 
+virtual const char * blockStartKeyword (int *style=0) const
 
+virtual int braceStyle () const
 
+virtual bool caseSensitive () const
 
virtual QColor color (int style) const
 
virtual bool eolFill (int style) const
 
virtual QFont font (int style) const
 
+virtual int indentationGuideView () const
 
+virtual int defaultStyle () const
 
virtual QColor paper (int style) const
 
QColor defaultColor () const
 
QFont defaultFont () const
 
QColor defaultPaper () const
 
QsciScintillaeditor () const
 
void setAPIs (QsciAbstractAPIs *apis)
 
void setDefaultColor (const QColor &c)
 
void setDefaultFont (const QFont &f)
 
void setDefaultPaper (const QColor &c)
 
+virtual void setEditor (QsciScintilla *editor)
 
bool readSettings (QSettings &qs, const char *prefix="/Scintilla")
 
virtual int styleBitsNeeded () const
 
bool writeSettings (QSettings &qs, const char *prefix="/Scintilla") const
 
+ + + + + +

+Protected Member Functions

bool readProperties (QSettings &qs, const QString &prefix)
 
bool writeProperties (QSettings &qs, const QString &prefix) const
 
+ + + + + + + + + + + + +

+Additional Inherited Members

- Signals inherited from QsciLexer
void colorChanged (const QColor &c, int style)
 
void eolFillChanged (bool eolfilled, int style)
 
void fontChanged (const QFont &f, int style)
 
void paperChanged (const QColor &c, int style)
 
void propertyChanged (const char *prop, const char *val)
 
+

Detailed Description

+

The QsciLexerHTML class encapsulates the Scintilla HTML lexer.

+

Member Enumeration Documentation

+
@@ -279,348 +393,345 @@
anonymous enum
-
-
+

This enum defines the meanings of the different styles used by the HTML lexer.

-
Enumerator:
-
Default  + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Enumerator
Default 

The default.

Tag  +
Tag 

A tag.

UnknownTag  +
UnknownTag 

An unknown tag.

Attribute  +
Attribute 

An attribute.

UnknownAttribute  +
UnknownAttribute 

An unknown attribute.

HTMLNumber  +
HTMLNumber 

An HTML number.

HTMLDoubleQuotedString  +
HTMLDoubleQuotedString 

An HTML double-quoted string.

HTMLSingleQuotedString  +
HTMLSingleQuotedString 

An HTML single-quoted string.

OtherInTag  +
OtherInTag 

Other text within a tag.

HTMLComment  +
HTMLComment 

An HTML comment.

Entity  +
Entity 

An entity.

XMLTagEnd  +
XMLTagEnd 

The end of an XML style tag.

XMLStart  +
XMLStart 

The start of an XML fragment.

XMLEnd  +
XMLEnd 

The end of an XML fragment.

Script  +
Script 

A script tag.

ASPAtStart  -

The start of an ASP fragment with @.

+
ASPAtStart  +

The start of an ASP fragment with

ASPStart  +
ASPStart 

The start of an ASP fragment.

CDATA  +
CDATA 

CDATA.

PHPStart  +
PHPStart 

The start of a PHP fragment.

HTMLValue  +
HTMLValue 

An unquoted HTML value.

ASPXCComment  +
ASPXCComment 

An ASP X-Code comment.

SGMLDefault  +
SGMLDefault 

The default for SGML.

SGMLCommand  +
SGMLCommand 

An SGML command.

SGMLParameter  +
SGMLParameter 

The first parameter of an SGML command.

SGMLDoubleQuotedString  +
SGMLDoubleQuotedString 

An SGML double-quoted string.

SGMLSingleQuotedString  +
SGMLSingleQuotedString 

An SGML single-quoted string.

SGMLError  +
SGMLError 

An SGML error.

SGMLSpecial  +
SGMLSpecial 

An SGML special entity.

SGMLEntity  +
SGMLEntity 

An SGML entity.

SGMLComment  +
SGMLComment 

An SGML comment.

SGMLParameterComment  +
SGMLParameterComment 

A comment with the first parameter of an SGML command.

SGMLBlockDefault  +
SGMLBlockDefault 

The default for an SGML block.

JavaScriptStart  +
JavaScriptStart 

The start of a JavaScript fragment.

JavaScriptDefault  +
JavaScriptDefault 

The default for JavaScript.

JavaScriptComment  +
JavaScriptComment 

A JavaScript comment.

JavaScriptCommentLine  +
JavaScriptCommentLine 

A JavaScript line comment.

JavaScriptCommentDoc  +
JavaScriptCommentDoc 

A JavaDoc style JavaScript comment.

JavaScriptNumber  +
JavaScriptNumber 

A JavaScript number.

JavaScriptWord  +
JavaScriptWord 

A JavaScript word.

JavaScriptKeyword  +
JavaScriptKeyword 

A JavaScript keyword.

JavaScriptDoubleQuotedString  +
JavaScriptDoubleQuotedString 

A JavaScript double-quoted string.

JavaScriptSingleQuotedString  +
JavaScriptSingleQuotedString 

A JavaScript single-quoted string.

JavaScriptSymbol  +
JavaScriptSymbol 

A JavaScript symbol.

JavaScriptUnclosedString  +
JavaScriptUnclosedString 

The end of a JavaScript line where a string is not closed.

JavaScriptRegex  +
JavaScriptRegex 

A JavaScript regular expression.

ASPJavaScriptStart  +
ASPJavaScriptStart 

The start of an ASP JavaScript fragment.

ASPJavaScriptDefault  +
ASPJavaScriptDefault 

The default for ASP JavaScript.

ASPJavaScriptComment  +
ASPJavaScriptComment 

An ASP JavaScript comment.

ASPJavaScriptCommentLine  +
ASPJavaScriptCommentLine 

An ASP JavaScript line comment.

ASPJavaScriptCommentDoc  +
ASPJavaScriptCommentDoc 

An ASP JavaDoc style JavaScript comment.

ASPJavaScriptNumber  +
ASPJavaScriptNumber 

An ASP JavaScript number.

ASPJavaScriptWord  +
ASPJavaScriptWord 

An ASP JavaScript word.

ASPJavaScriptKeyword  +
ASPJavaScriptKeyword 

An ASP JavaScript keyword.

ASPJavaScriptDoubleQuotedString  +
ASPJavaScriptDoubleQuotedString 

An ASP JavaScript double-quoted string.

ASPJavaScriptSingleQuotedString  +
ASPJavaScriptSingleQuotedString 

An ASP JavaScript single-quoted string.

ASPJavaScriptSymbol  +
ASPJavaScriptSymbol 

An ASP JavaScript symbol.

ASPJavaScriptUnclosedString  +
ASPJavaScriptUnclosedString 

The end of an ASP JavaScript line where a string is not closed.

ASPJavaScriptRegex  +
ASPJavaScriptRegex 

An ASP JavaScript regular expression.

VBScriptStart  +
VBScriptStart 

The start of a VBScript fragment.

VBScriptDefault  +
VBScriptDefault 

The default for VBScript.

VBScriptComment  +
VBScriptComment 

A VBScript comment.

VBScriptNumber  +
VBScriptNumber 

A VBScript number.

VBScriptKeyword  +
VBScriptKeyword 

A VBScript keyword.

VBScriptString  +
VBScriptString 

A VBScript string.

VBScriptIdentifier  +
VBScriptIdentifier 

A VBScript identifier.

VBScriptUnclosedString  +
VBScriptUnclosedString 

The end of a VBScript line where a string is not closed.

ASPVBScriptStart  +
ASPVBScriptStart 

The start of an ASP VBScript fragment.

ASPVBScriptDefault  +
ASPVBScriptDefault 

The default for ASP VBScript.

ASPVBScriptComment  +
ASPVBScriptComment 

An ASP VBScript comment.

ASPVBScriptNumber  +
ASPVBScriptNumber 

An ASP VBScript number.

ASPVBScriptKeyword  +
ASPVBScriptKeyword 

An ASP VBScript keyword.

ASPVBScriptString  +
ASPVBScriptString 

An ASP VBScript string.

ASPVBScriptIdentifier  +
ASPVBScriptIdentifier 

An ASP VBScript identifier.

ASPVBScriptUnclosedString  +
ASPVBScriptUnclosedString 

The end of an ASP VBScript line where a string is not closed.

PythonStart  +
PythonStart 

The start of a Python fragment.

PythonDefault  +
PythonDefault 

The default for Python.

PythonComment  +
PythonComment 

A Python comment.

PythonNumber  +
PythonNumber 

A Python number.

PythonDoubleQuotedString  +
PythonDoubleQuotedString 

A Python double-quoted string.

PythonSingleQuotedString  +
PythonSingleQuotedString 

A Python single-quoted string.

PythonKeyword  +
PythonKeyword 

A Python keyword.

PythonTripleSingleQuotedString  +
PythonTripleSingleQuotedString 

A Python triple single-quoted string.

PythonTripleDoubleQuotedString  +
PythonTripleDoubleQuotedString 

A Python triple double-quoted string.

PythonClassName  +
PythonClassName 

The name of a Python class.

PythonFunctionMethodName  +
PythonFunctionMethodName 

The name of a Python function or method.

PythonOperator  +
PythonOperator 

A Python operator.

PythonIdentifier  +
PythonIdentifier 

A Python identifier.

ASPPythonStart  +
ASPPythonStart 

The start of an ASP Python fragment.

ASPPythonDefault  +
ASPPythonDefault 

The default for ASP Python.

ASPPythonComment  +
ASPPythonComment 

An ASP Python comment.

ASPPythonNumber  +
ASPPythonNumber 

An ASP Python number.

ASPPythonDoubleQuotedString  +
ASPPythonDoubleQuotedString 

An ASP Python double-quoted string.

ASPPythonSingleQuotedString  +
ASPPythonSingleQuotedString 

An ASP Python single-quoted string.

ASPPythonKeyword  +
ASPPythonKeyword 

An ASP Python keyword.

ASPPythonTripleSingleQuotedString  +
ASPPythonTripleSingleQuotedString 

An ASP Python triple single-quoted string.

ASPPythonTripleDoubleQuotedString  +
ASPPythonTripleDoubleQuotedString 

An ASP Python triple double-quoted string.

ASPPythonClassName  +
ASPPythonClassName 

The name of an ASP Python class.

ASPPythonFunctionMethodName  +
ASPPythonFunctionMethodName 

The name of an ASP Python function or method.

ASPPythonOperator  +
ASPPythonOperator 

An ASP Python operator.

ASPPythonIdentifier  +
ASPPythonIdentifier 

An ASP Python identifier.

PHPDefault  +
PHPDefault 

The default for PHP.

PHPDoubleQuotedString  +
PHPDoubleQuotedString 

A PHP double-quoted string.

PHPSingleQuotedString  +
PHPSingleQuotedString 

A PHP single-quoted string.

PHPKeyword  +
PHPKeyword 

A PHP keyword.

PHPNumber  +
PHPNumber 

A PHP number.

PHPVariable  +
PHPVariable 

A PHP variable.

PHPComment  +
PHPComment 

A PHP comment.

PHPCommentLine  +
PHPCommentLine 

A PHP line comment.

PHPDoubleQuotedVariable  +
PHPDoubleQuotedVariable 

A PHP double-quoted variable.

PHPOperator  +
PHPOperator 

A PHP operator.

- - -

Constructor & Destructor Documentation

- +

Constructor & Destructor Documentation

+
@@ -632,26 +743,32 @@
-
-
-

Construct a QsciLexerHTML with parent parent. parent is typically the QsciScintilla instance.

+
+

Construct a QsciLexerHTML with parent parent. parent is typically the QsciScintilla instance.

-

Member Function Documentation

- +

Member Function Documentation

+
+ + + + + +
- +
const char* QsciLexerHTML::lexer ( ) const [virtual] const
- -
+
+virtual
+

Returns the name of the lexer. Some lexers support a number of languages.

Reimplemented from QsciLexer.

@@ -660,22 +777,29 @@
- +
+ + + + + +
- +
QColor QsciLexerHTML::defaultColor ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the foreground colour of the text for style number style.

-
See also:
defaultPaper()
+
See also
defaultPaper()

Reimplemented from QsciLexer.

@@ -683,22 +807,29 @@
- +
+ + + + + +
- +
QColor QsciLexerHTML::defaultPaper ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the background colour of the text for style number style.

-
See also:
defaultColor()
+
See also
defaultColor()

Reimplemented from QsciLexer.

@@ -706,20 +837,27 @@
- +
+ + + + + +
- +
const char* QsciLexerHTML::keywords ( int  set) const [virtual] const
- -
+
+virtual
+

Returns the set of keywords for the keyword set set recognised by the lexer as a space separated string.

Reimplemented from QsciLexer.

@@ -728,39 +866,53 @@
- +
+ + + + + +
- +
QString QsciLexerHTML::description ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the descriptive name for style number style. If the style is invalid for this language then an empty QString is returned. This is intended to be used in user preference dialogs.

Implements QsciLexer.

- +
+ + + + + +
- +
void QsciLexerHTML::refreshProperties ( ) [virtual]
- -
+
+virtual
+

Causes all properties to be refreshed by emitting the propertyChanged() signal as required.

Reimplemented from QsciLexer.

@@ -769,25 +921,32 @@
- +
+ + + + + +
- +
bool QsciLexerHTML::caseSensitiveTags ( ) const [inline] const
- -
+
+inline
+

Returns true if tags are case sensitive.

-
See also:
setCaseSensitiveTags()
+
See also
setCaseSensitiveTags()
- +
@@ -799,68 +958,88 @@
-
-
+

If enabled is true then Django templates are enabled. The default is false.

-
See also:
djangoTemplates()
+
See also
djangoTemplates()
- +
+ + + + + +
- +
bool QsciLexerHTML::djangoTemplates ( ) const [inline] const
- -
+
+inline
+

Returns true if support for Django templates is enabled.

-
See also:
setDjangoTemplates()
+
See also
setDjangoTemplates()
- +
+ + + + + +
- +
bool QsciLexerHTML::foldCompact ( ) const [inline] const
- -
+
+inline
+

Returns true if trailing blank lines are included in a fold block.

-
See also:
setFoldCompact()
+
See also
setFoldCompact()
- +
+ + + + + +
- +
bool QsciLexerHTML::foldPreprocessor ( ) const [inline] const
- -
+
+inline
+

Returns true if preprocessor blocks can be folded.

-
See also:
setFoldPreprocessor()
+
See also
setFoldPreprocessor()
- +
@@ -872,32 +1051,38 @@
-
-
+

If fold is true then script comments can be folded. The default is false.

-
See also:
foldScriptComments()
+
See also
foldScriptComments()
- +
+ + + + + +
- +
bool QsciLexerHTML::foldScriptComments ( ) const [inline] const
- -
+
+inline
+

Returns true if script comments can be folded.

-
See also:
setFoldScriptComments()
+
See also
setFoldScriptComments()
- +
@@ -909,32 +1094,38 @@
-
-
+

If fold is true then script heredocs can be folded. The default is false.

-
See also:
foldScriptHeredocs()
+
See also
foldScriptHeredocs()
- +
+ + + + + +
- +
bool QsciLexerHTML::foldScriptHeredocs ( ) const [inline] const
- -
+
+inline
+

Returns true if script heredocs can be folded.

-
See also:
setFoldScriptHeredocs()
+
See also
setFoldScriptHeredocs()
- +
@@ -946,91 +1137,121 @@
-
-
+

If enabled is true then Mako templates are enabled. The default is false.

-
See also:
makoTemplates()
+
See also
makoTemplates()
- +
+ + + + + +
- +
bool QsciLexerHTML::makoTemplates ( ) const [inline] const
- -
+
+inline
+

Returns true if support for Mako templates is enabled.

-
See also:
setMakoTemplates()
+
See also
setMakoTemplates()
- +
+ + + + + +
- +
virtual void QsciLexerHTML::setFoldCompact ( bool  fold) [virtual, slot]
- -
+
+virtualslot
+

If fold is true then trailing blank lines are included in a fold block. The default is true.

-
See also:
foldCompact()
+
See also
foldCompact()
- +
+ + + + + +
- +
virtual void QsciLexerHTML::setFoldPreprocessor ( bool  fold) [virtual, slot]
- -
+
+virtualslot
+

If fold is true then preprocessor blocks can be folded. The default is false.

-
See also:
foldPreprocessor()
+
See also
foldPreprocessor()
- +
+ + + + + +
- +
virtual void QsciLexerHTML::setCaseSensitiveTags ( bool  sens) [virtual, slot]
- -
+
+virtualslot
+

If sens is true then tags are case sensitive. The default is false.

-
See also:
caseSensitiveTags()
+
See also
caseSensitiveTags()
- +
+ + + + + +
@@ -1047,11 +1268,15 @@ - +
bool QsciLexerHTML::readProperties
) [protected, virtual]
- -
+
+protectedvirtual
+

The lexer's properties are read from the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

Reimplemented from QsciLexer.

@@ -1060,9 +1285,12 @@
- +
+ + + + + +
@@ -1079,11 +1307,15 @@ - +
bool QsciLexerHTML::writeProperties
) const [protected, virtual] const
- -
+
+protectedvirtual
+

The lexer's properties are written to the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

Reimplemented from QsciLexer.

@@ -1092,14 +1324,12 @@
- - - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerHTML-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerHTML-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerHTML-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerHTML-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerHTML Member List
-
+
-This is the complete list of members for QsciLexerHTML, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
apis() const QsciLexer
ASPAtStart enum valueQsciLexerHTML
ASPJavaScriptComment enum valueQsciLexerHTML
ASPJavaScriptCommentDoc enum valueQsciLexerHTML
ASPJavaScriptCommentLine enum valueQsciLexerHTML
ASPJavaScriptDefault enum valueQsciLexerHTML
ASPJavaScriptDoubleQuotedString enum valueQsciLexerHTML
ASPJavaScriptKeyword enum valueQsciLexerHTML
ASPJavaScriptNumber enum valueQsciLexerHTML
ASPJavaScriptRegex enum valueQsciLexerHTML
ASPJavaScriptSingleQuotedString enum valueQsciLexerHTML
ASPJavaScriptStart enum valueQsciLexerHTML
ASPJavaScriptSymbol enum valueQsciLexerHTML
ASPJavaScriptUnclosedString enum valueQsciLexerHTML
ASPJavaScriptWord enum valueQsciLexerHTML
ASPPythonClassName enum valueQsciLexerHTML
ASPPythonComment enum valueQsciLexerHTML
ASPPythonDefault enum valueQsciLexerHTML
ASPPythonDoubleQuotedString enum valueQsciLexerHTML
ASPPythonFunctionMethodName enum valueQsciLexerHTML
ASPPythonIdentifier enum valueQsciLexerHTML
ASPPythonKeyword enum valueQsciLexerHTML
ASPPythonNumber enum valueQsciLexerHTML
ASPPythonOperator enum valueQsciLexerHTML
ASPPythonSingleQuotedString enum valueQsciLexerHTML
ASPPythonStart enum valueQsciLexerHTML
ASPPythonTripleDoubleQuotedString enum valueQsciLexerHTML
ASPPythonTripleSingleQuotedString enum valueQsciLexerHTML
ASPStart enum valueQsciLexerHTML
ASPVBScriptComment enum valueQsciLexerHTML
ASPVBScriptDefault enum valueQsciLexerHTML
ASPVBScriptIdentifier enum valueQsciLexerHTML
ASPVBScriptKeyword enum valueQsciLexerHTML
ASPVBScriptNumber enum valueQsciLexerHTML
ASPVBScriptStart enum valueQsciLexerHTML
ASPVBScriptString enum valueQsciLexerHTML
ASPVBScriptUnclosedString enum valueQsciLexerHTML
ASPXCComment enum valueQsciLexerHTML
Attribute enum valueQsciLexerHTML
autoCompletionFillups() const (defined in QsciLexerHTML)QsciLexerHTML [virtual]
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexer [virtual]
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockLookback() const (defined in QsciLexer)QsciLexer [virtual]
blockStart(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
braceStyle() const (defined in QsciLexer)QsciLexer [virtual]
caseSensitive() const (defined in QsciLexer)QsciLexer [virtual]
caseSensitiveTags() const QsciLexerHTML [inline]
CDATA enum valueQsciLexerHTML
color(int style) const QsciLexer [virtual]
colorChanged(const QColor &c, int style)QsciLexer [signal]
Default enum valueQsciLexerHTML
defaultColor(int style) const QsciLexerHTML [virtual]
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerHTML [virtual]
defaultFont(int style) const QsciLexerHTML [virtual]
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerHTML [virtual]
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexer [virtual]
description(int style) const QsciLexerHTML [virtual]
djangoTemplates() const QsciLexerHTML [inline]
editor() const QsciLexer [inline]
Entity enum valueQsciLexerHTML
eolFill(int style) const QsciLexer [virtual]
eolFillChanged(bool eolfilled, int style)QsciLexer [signal]
foldCompact() const QsciLexerHTML [inline]
foldPreprocessor() const QsciLexerHTML [inline]
foldScriptComments() const QsciLexerHTML [inline]
foldScriptHeredocs() const QsciLexerHTML [inline]
font(int style) const QsciLexer [virtual]
fontChanged(const QFont &f, int style)QsciLexer [signal]
HTMLComment enum valueQsciLexerHTML
HTMLDoubleQuotedString enum valueQsciLexerHTML
HTMLNumber enum valueQsciLexerHTML
HTMLSingleQuotedString enum valueQsciLexerHTML
HTMLValue enum valueQsciLexerHTML
indentationGuideView() const (defined in QsciLexer)QsciLexer [virtual]
JavaScriptComment enum valueQsciLexerHTML
JavaScriptCommentDoc enum valueQsciLexerHTML
JavaScriptCommentLine enum valueQsciLexerHTML
JavaScriptDefault enum valueQsciLexerHTML
JavaScriptDoubleQuotedString enum valueQsciLexerHTML
JavaScriptKeyword enum valueQsciLexerHTML
JavaScriptNumber enum valueQsciLexerHTML
JavaScriptRegex enum valueQsciLexerHTML
JavaScriptSingleQuotedString enum valueQsciLexerHTML
JavaScriptStart enum valueQsciLexerHTML
JavaScriptSymbol enum valueQsciLexerHTML
JavaScriptUnclosedString enum valueQsciLexerHTML
JavaScriptWord enum valueQsciLexerHTML
keywords(int set) const QsciLexerHTML [virtual]
language() const QsciLexerHTML [virtual]
lexer() const QsciLexerHTML [virtual]
lexerId() const QsciLexer [virtual]
makoTemplates() const QsciLexerHTML [inline]
OtherInTag enum valueQsciLexerHTML
paper(int style) const QsciLexer [virtual]
paperChanged(const QColor &c, int style)QsciLexer [signal]
PHPComment enum valueQsciLexerHTML
PHPCommentLine enum valueQsciLexerHTML
PHPDefault enum valueQsciLexerHTML
PHPDoubleQuotedString enum valueQsciLexerHTML
PHPDoubleQuotedVariable enum valueQsciLexerHTML
PHPKeyword enum valueQsciLexerHTML
PHPNumber enum valueQsciLexerHTML
PHPOperator enum valueQsciLexerHTML
PHPSingleQuotedString enum valueQsciLexerHTML
PHPStart enum valueQsciLexerHTML
PHPVariable enum valueQsciLexerHTML
propertyChanged(const char *prop, const char *val)QsciLexer [signal]
PythonClassName enum valueQsciLexerHTML
PythonComment enum valueQsciLexerHTML
PythonDefault enum valueQsciLexerHTML
PythonDoubleQuotedString enum valueQsciLexerHTML
PythonFunctionMethodName enum valueQsciLexerHTML
PythonIdentifier enum valueQsciLexerHTML
PythonKeyword enum valueQsciLexerHTML
PythonNumber enum valueQsciLexerHTML
PythonOperator enum valueQsciLexerHTML
PythonSingleQuotedString enum valueQsciLexerHTML
PythonStart enum valueQsciLexerHTML
PythonTripleDoubleQuotedString enum valueQsciLexerHTML
PythonTripleSingleQuotedString enum valueQsciLexerHTML
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerHTML(QObject *parent=0)QsciLexerHTML
readProperties(QSettings &qs, const QString &prefix)QsciLexerHTML [protected, virtual]
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerHTML [virtual]
Script enum valueQsciLexerHTML
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexer [virtual, slot]
setCaseSensitiveTags(bool sens)QsciLexerHTML [virtual, slot]
setColor(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setDjangoTemplates(bool enabled)QsciLexerHTML
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexer [virtual]
setEolFill(bool eoffill, int style=-1)QsciLexer [virtual, slot]
setFoldCompact(bool fold)QsciLexerHTML [virtual, slot]
setFoldPreprocessor(bool fold)QsciLexerHTML [virtual, slot]
setFoldScriptComments(bool fold)QsciLexerHTML
setFoldScriptHeredocs(bool fold)QsciLexerHTML
setFont(const QFont &f, int style=-1)QsciLexer [virtual, slot]
setMakoTemplates(bool enabled)QsciLexerHTML
setPaper(const QColor &c, int style=-1)QsciLexer [virtual, slot]
SGMLBlockDefault enum valueQsciLexerHTML
SGMLCommand enum valueQsciLexerHTML
SGMLComment enum valueQsciLexerHTML
SGMLDefault enum valueQsciLexerHTML
SGMLDoubleQuotedString enum valueQsciLexerHTML
SGMLEntity enum valueQsciLexerHTML
SGMLError enum valueQsciLexerHTML
SGMLParameter enum valueQsciLexerHTML
SGMLParameterComment enum valueQsciLexerHTML
SGMLSingleQuotedString enum valueQsciLexerHTML
SGMLSpecial enum valueQsciLexerHTML
styleBitsNeeded() const QsciLexer [virtual]
Tag enum valueQsciLexerHTML
UnknownAttribute enum valueQsciLexerHTML
UnknownTag enum valueQsciLexerHTML
VBScriptComment enum valueQsciLexerHTML
VBScriptDefault enum valueQsciLexerHTML
VBScriptIdentifier enum valueQsciLexerHTML
VBScriptKeyword enum valueQsciLexerHTML
VBScriptNumber enum valueQsciLexerHTML
VBScriptStart enum valueQsciLexerHTML
VBScriptString enum valueQsciLexerHTML
VBScriptUnclosedString enum valueQsciLexerHTML
wordCharacters() const QsciLexerHTML [virtual]
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerHTML [protected, virtual]
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
XMLEnd enum valueQsciLexerHTML
XMLStart enum valueQsciLexerHTML
XMLTagEnd enum valueQsciLexerHTML
~QsciLexer()QsciLexer [virtual]
~QsciLexerHTML()QsciLexerHTML [virtual]
- +

This is the complete list of members for QsciLexerHTML, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
apis() const QsciLexer
ASPAtStart enum valueQsciLexerHTML
ASPJavaScriptComment enum valueQsciLexerHTML
ASPJavaScriptCommentDoc enum valueQsciLexerHTML
ASPJavaScriptCommentLine enum valueQsciLexerHTML
ASPJavaScriptDefault enum valueQsciLexerHTML
ASPJavaScriptDoubleQuotedString enum valueQsciLexerHTML
ASPJavaScriptKeyword enum valueQsciLexerHTML
ASPJavaScriptNumber enum valueQsciLexerHTML
ASPJavaScriptRegex enum valueQsciLexerHTML
ASPJavaScriptSingleQuotedString enum valueQsciLexerHTML
ASPJavaScriptStart enum valueQsciLexerHTML
ASPJavaScriptSymbol enum valueQsciLexerHTML
ASPJavaScriptUnclosedString enum valueQsciLexerHTML
ASPJavaScriptWord enum valueQsciLexerHTML
ASPPythonClassName enum valueQsciLexerHTML
ASPPythonComment enum valueQsciLexerHTML
ASPPythonDefault enum valueQsciLexerHTML
ASPPythonDoubleQuotedString enum valueQsciLexerHTML
ASPPythonFunctionMethodName enum valueQsciLexerHTML
ASPPythonIdentifier enum valueQsciLexerHTML
ASPPythonKeyword enum valueQsciLexerHTML
ASPPythonNumber enum valueQsciLexerHTML
ASPPythonOperator enum valueQsciLexerHTML
ASPPythonSingleQuotedString enum valueQsciLexerHTML
ASPPythonStart enum valueQsciLexerHTML
ASPPythonTripleDoubleQuotedString enum valueQsciLexerHTML
ASPPythonTripleSingleQuotedString enum valueQsciLexerHTML
ASPStart enum valueQsciLexerHTML
ASPVBScriptComment enum valueQsciLexerHTML
ASPVBScriptDefault enum valueQsciLexerHTML
ASPVBScriptIdentifier enum valueQsciLexerHTML
ASPVBScriptKeyword enum valueQsciLexerHTML
ASPVBScriptNumber enum valueQsciLexerHTML
ASPVBScriptStart enum valueQsciLexerHTML
ASPVBScriptString enum valueQsciLexerHTML
ASPVBScriptUnclosedString enum valueQsciLexerHTML
ASPXCComment enum valueQsciLexerHTML
Attribute enum valueQsciLexerHTML
autoCompletionFillups() const (defined in QsciLexerHTML)QsciLexerHTMLvirtual
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexervirtual
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockLookback() const (defined in QsciLexer)QsciLexervirtual
blockStart(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexervirtual
braceStyle() const (defined in QsciLexer)QsciLexervirtual
caseSensitive() const (defined in QsciLexer)QsciLexervirtual
caseSensitiveTags() const QsciLexerHTMLinline
CDATA enum valueQsciLexerHTML
color(int style) const QsciLexervirtual
colorChanged(const QColor &c, int style)QsciLexersignal
Default enum valueQsciLexerHTML
defaultColor(int style) const QsciLexerHTMLvirtual
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerHTMLvirtual
defaultFont(int style) const QsciLexerHTMLvirtual
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerHTMLvirtual
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexervirtual
description(int style) const QsciLexerHTMLvirtual
djangoTemplates() const QsciLexerHTMLinline
editor() const QsciLexerinline
Entity enum valueQsciLexerHTML
eolFill(int style) const QsciLexervirtual
eolFillChanged(bool eolfilled, int style)QsciLexersignal
foldCompact() const QsciLexerHTMLinline
foldPreprocessor() const QsciLexerHTMLinline
foldScriptComments() const QsciLexerHTMLinline
foldScriptHeredocs() const QsciLexerHTMLinline
font(int style) const QsciLexervirtual
fontChanged(const QFont &f, int style)QsciLexersignal
HTMLComment enum valueQsciLexerHTML
HTMLDoubleQuotedString enum valueQsciLexerHTML
HTMLNumber enum valueQsciLexerHTML
HTMLSingleQuotedString enum valueQsciLexerHTML
HTMLValue enum valueQsciLexerHTML
indentationGuideView() const (defined in QsciLexer)QsciLexervirtual
JavaScriptComment enum valueQsciLexerHTML
JavaScriptCommentDoc enum valueQsciLexerHTML
JavaScriptCommentLine enum valueQsciLexerHTML
JavaScriptDefault enum valueQsciLexerHTML
JavaScriptDoubleQuotedString enum valueQsciLexerHTML
JavaScriptKeyword enum valueQsciLexerHTML
JavaScriptNumber enum valueQsciLexerHTML
JavaScriptRegex enum valueQsciLexerHTML
JavaScriptSingleQuotedString enum valueQsciLexerHTML
JavaScriptStart enum valueQsciLexerHTML
JavaScriptSymbol enum valueQsciLexerHTML
JavaScriptUnclosedString enum valueQsciLexerHTML
JavaScriptWord enum valueQsciLexerHTML
keywords(int set) const QsciLexerHTMLvirtual
language() const QsciLexerHTMLvirtual
lexer() const QsciLexerHTMLvirtual
lexerId() const QsciLexervirtual
makoTemplates() const QsciLexerHTMLinline
OtherInTag enum valueQsciLexerHTML
paper(int style) const QsciLexervirtual
paperChanged(const QColor &c, int style)QsciLexersignal
PHPComment enum valueQsciLexerHTML
PHPCommentLine enum valueQsciLexerHTML
PHPDefault enum valueQsciLexerHTML
PHPDoubleQuotedString enum valueQsciLexerHTML
PHPDoubleQuotedVariable enum valueQsciLexerHTML
PHPKeyword enum valueQsciLexerHTML
PHPNumber enum valueQsciLexerHTML
PHPOperator enum valueQsciLexerHTML
PHPSingleQuotedString enum valueQsciLexerHTML
PHPStart enum valueQsciLexerHTML
PHPVariable enum valueQsciLexerHTML
propertyChanged(const char *prop, const char *val)QsciLexersignal
PythonClassName enum valueQsciLexerHTML
PythonComment enum valueQsciLexerHTML
PythonDefault enum valueQsciLexerHTML
PythonDoubleQuotedString enum valueQsciLexerHTML
PythonFunctionMethodName enum valueQsciLexerHTML
PythonIdentifier enum valueQsciLexerHTML
PythonKeyword enum valueQsciLexerHTML
PythonNumber enum valueQsciLexerHTML
PythonOperator enum valueQsciLexerHTML
PythonSingleQuotedString enum valueQsciLexerHTML
PythonStart enum valueQsciLexerHTML
PythonTripleDoubleQuotedString enum valueQsciLexerHTML
PythonTripleSingleQuotedString enum valueQsciLexerHTML
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerHTML(QObject *parent=0)QsciLexerHTML
readProperties(QSettings &qs, const QString &prefix)QsciLexerHTMLprotectedvirtual
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerHTMLvirtual
Script enum valueQsciLexerHTML
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexervirtualslot
setCaseSensitiveTags(bool sens)QsciLexerHTMLvirtualslot
setColor(const QColor &c, int style=-1)QsciLexervirtualslot
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setDjangoTemplates(bool enabled)QsciLexerHTML
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexervirtual
setEolFill(bool eoffill, int style=-1)QsciLexervirtualslot
setFoldCompact(bool fold)QsciLexerHTMLvirtualslot
setFoldPreprocessor(bool fold)QsciLexerHTMLvirtualslot
setFoldScriptComments(bool fold)QsciLexerHTML
setFoldScriptHeredocs(bool fold)QsciLexerHTML
setFont(const QFont &f, int style=-1)QsciLexervirtualslot
setMakoTemplates(bool enabled)QsciLexerHTML
setPaper(const QColor &c, int style=-1)QsciLexervirtualslot
SGMLBlockDefault enum valueQsciLexerHTML
SGMLCommand enum valueQsciLexerHTML
SGMLComment enum valueQsciLexerHTML
SGMLDefault enum valueQsciLexerHTML
SGMLDoubleQuotedString enum valueQsciLexerHTML
SGMLEntity enum valueQsciLexerHTML
SGMLError enum valueQsciLexerHTML
SGMLParameter enum valueQsciLexerHTML
SGMLParameterComment enum valueQsciLexerHTML
SGMLSingleQuotedString enum valueQsciLexerHTML
SGMLSpecial enum valueQsciLexerHTML
styleBitsNeeded() const QsciLexervirtual
Tag enum valueQsciLexerHTML
UnknownAttribute enum valueQsciLexerHTML
UnknownTag enum valueQsciLexerHTML
VBScriptComment enum valueQsciLexerHTML
VBScriptDefault enum valueQsciLexerHTML
VBScriptIdentifier enum valueQsciLexerHTML
VBScriptKeyword enum valueQsciLexerHTML
VBScriptNumber enum valueQsciLexerHTML
VBScriptStart enum valueQsciLexerHTML
VBScriptString enum valueQsciLexerHTML
VBScriptUnclosedString enum valueQsciLexerHTML
wordCharacters() const QsciLexerHTMLvirtual
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerHTMLprotectedvirtual
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
XMLEnd enum valueQsciLexerHTML
XMLStart enum valueQsciLexerHTML
XMLTagEnd enum valueQsciLexerHTML
~QsciLexer()QsciLexervirtual
~QsciLexerHTML()QsciLexerHTMLvirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerIDL.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerIDL.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerIDL.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerIDL.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciLexerIDL Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerIDL Class Reference
- +
- +

#include <qscilexeridl.h>

Inherits QsciLexerCPP.

- -

List of all members.

-

-Public Member Functions

- -

Detailed Description

-

The QsciLexerIDL class encapsulates the Scintilla IDL lexer.

-

Constructor & Destructor Documentation

- + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 QsciLexerIDL (QObject *parent=0)
 
+virtual ~QsciLexerIDL ()
 
+const char * language () const
 
+QColor defaultColor (int style) const
 
const char * keywords (int set) const
 
QString description (int style) const
 
- Public Member Functions inherited from QsciLexerCPP
 QsciLexerCPP (QObject *parent=0, bool caseInsensitiveKeywords=false)
 
+virtual ~QsciLexerCPP ()
 
const char * lexer () const
 
+QStringList autoCompletionWordSeparators () const
 
+const char * blockEnd (int *style=0) const
 
+const char * blockStart (int *style=0) const
 
+const char * blockStartKeyword (int *style=0) const
 
+int braceStyle () const
 
+const char * wordCharacters () const
 
+bool defaultEolFill (int style) const
 
+QFont defaultFont (int style) const
 
QColor defaultPaper (int style) const
 
void refreshProperties ()
 
bool foldAtElse () const
 
bool foldComments () const
 
bool foldCompact () const
 
bool foldPreprocessor () const
 
bool stylePreprocessor () const
 
void setDollarsAllowed (bool allowed)
 
bool dollarsAllowed () const
 
void setHighlightTripleQuotedStrings (bool enabled)
 
bool highlightTripleQuotedStrings () const
 
void setHighlightHashQuotedStrings (bool enabled)
 
bool highlightHashQuotedStrings () const
 
void setHighlightBackQuotedStrings (bool enabled)
 
bool highlightBackQuotedStrings () const
 
void setHighlightEscapeSequences (bool enabled)
 
bool highlightEscapeSequences () const
 
void setVerbatimStringEscapeSequencesAllowed (bool allowed)
 
bool verbatimStringEscapeSequencesAllowed () const
 
- Public Member Functions inherited from QsciLexer
 QsciLexer (QObject *parent=0)
 
+virtual ~QsciLexer ()
 
virtual int lexerId () const
 
QsciAbstractAPIsapis () const
 
+virtual const char * autoCompletionFillups () const
 
int autoIndentStyle ()
 
+virtual int blockLookback () const
 
+virtual bool caseSensitive () const
 
virtual QColor color (int style) const
 
virtual bool eolFill (int style) const
 
virtual QFont font (int style) const
 
+virtual int indentationGuideView () const
 
+virtual int defaultStyle () const
 
virtual QColor paper (int style) const
 
QColor defaultColor () const
 
QFont defaultFont () const
 
QColor defaultPaper () const
 
QsciScintillaeditor () const
 
void setAPIs (QsciAbstractAPIs *apis)
 
void setDefaultColor (const QColor &c)
 
void setDefaultFont (const QFont &f)
 
void setDefaultPaper (const QColor &c)
 
+virtual void setEditor (QsciScintilla *editor)
 
bool readSettings (QSettings &qs, const char *prefix="/Scintilla")
 
virtual int styleBitsNeeded () const
 
bool writeSettings (QSettings &qs, const char *prefix="/Scintilla") const
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Additional Inherited Members

- Public Types inherited from QsciLexerCPP
enum  {
+  Default = 0, +InactiveDefault = Default + 64, +Comment = 1, +
+  InactiveComment = Comment + 64, +CommentLine = 2, +InactiveCommentLine = CommentLine + 64, +
+  CommentDoc = 3, +InactiveCommentDoc = CommentDoc + 64, +Number = 4, +
+  InactiveNumber = Number + 64, +Keyword = 5, +InactiveKeyword = Keyword + 64, +
+  DoubleQuotedString = 6, +InactiveDoubleQuotedString = DoubleQuotedString + 64, +SingleQuotedString = 7, +
+  InactiveSingleQuotedString = SingleQuotedString + 64, +UUID = 8, +InactiveUUID = UUID + 64, +
+  PreProcessor = 9, +InactivePreProcessor = PreProcessor + 64, +Operator = 10, +
+  InactiveOperator = Operator + 64, +Identifier = 11, +InactiveIdentifier = Identifier + 64, +
+  UnclosedString = 12, +InactiveUnclosedString = UnclosedString + 64, +VerbatimString = 13, +
+  InactiveVerbatimString = VerbatimString + 64, +Regex = 14, +InactiveRegex = Regex + 64, +
+  CommentLineDoc = 15, +InactiveCommentLineDoc = CommentLineDoc + 64, +KeywordSet2 = 16, +
+  InactiveKeywordSet2 = KeywordSet2 + 64, +CommentDocKeyword = 17, +InactiveCommentDocKeyword = CommentDocKeyword + 64, +
+  CommentDocKeywordError = 18, +InactiveCommentDocKeywordError = CommentDocKeywordError + 64, +GlobalClass = 19, +
+  InactiveGlobalClass = GlobalClass + 64, +RawString = 20, +InactiveRawString = RawString + 64, +
+  TripleQuotedVerbatimString = 21, +InactiveTripleQuotedVerbatimString = TripleQuotedVerbatimString + 64, +HashQuotedString = 22, +
+  InactiveHashQuotedString = HashQuotedString + 64, +PreProcessorComment = 23, +InactivePreProcessorComment = PreProcessorComment + 64, +
+  PreProcessorCommentLineDoc = 24, +InactivePreProcessorCommentLineDoc = PreProcessorCommentLineDoc + 64, +UserLiteral = 25, +
+  InactiveUserLiteral = UserLiteral + 64, +TaskMarker = 26, +InactiveTaskMarker = TaskMarker + 64, +
+  EscapeSequence = 27, +InactiveEscapeSequence = EscapeSequence + 64 +
+ }
 
- Public Slots inherited from QsciLexerCPP
virtual void setFoldAtElse (bool fold)
 
virtual void setFoldComments (bool fold)
 
virtual void setFoldCompact (bool fold)
 
virtual void setFoldPreprocessor (bool fold)
 
virtual void setStylePreprocessor (bool style)
 
- Public Slots inherited from QsciLexer
virtual void setAutoIndentStyle (int autoindentstyle)
 
virtual void setColor (const QColor &c, int style=-1)
 
virtual void setEolFill (bool eoffill, int style=-1)
 
virtual void setFont (const QFont &f, int style=-1)
 
virtual void setPaper (const QColor &c, int style=-1)
 
- Signals inherited from QsciLexer
void colorChanged (const QColor &c, int style)
 
void eolFillChanged (bool eolfilled, int style)
 
void fontChanged (const QFont &f, int style)
 
void paperChanged (const QColor &c, int style)
 
void propertyChanged (const char *prop, const char *val)
 
- Protected Member Functions inherited from QsciLexerCPP
bool readProperties (QSettings &qs, const QString &prefix)
 
bool writeProperties (QSettings &qs, const QString &prefix) const
 
+

Detailed Description

+

The QsciLexerIDL class encapsulates the Scintilla IDL lexer.

+

Constructor & Destructor Documentation

+
@@ -91,61 +337,72 @@
-
-
-

Construct a QsciLexerIDL with parent parent. parent is typically the QsciScintilla instance.

+
+

Construct a QsciLexerIDL with parent parent. parent is typically the QsciScintilla instance.

-

Member Function Documentation

- +

Member Function Documentation

+
+ + + + + +
- +
const char* QsciLexerIDL::keywords ( int  set) const [virtual] const
- -
+
+virtual
+

Returns the set of keywords for the keyword set set recognised by the lexer as a space separated string.

Reimplemented from QsciLexerCPP.

- +
+ + + + + +
- +
QString QsciLexerIDL::description ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the descriptive name for style number style. If the style is invalid for this language then an empty QString is returned. This is intended to be used in user preference dialogs.

Reimplemented from QsciLexerCPP.

-
- - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerIDL-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerIDL-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerIDL-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerIDL-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerIDL Member List
-
+
-This is the complete list of members for QsciLexerIDL, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexer [virtual]
autoCompletionWordSeparators() const (defined in QsciLexerCPP)QsciLexerCPP [virtual]
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexerCPP)QsciLexerCPP [virtual]
blockLookback() const (defined in QsciLexer)QsciLexer [virtual]
blockStart(int *style=0) const (defined in QsciLexerCPP)QsciLexerCPP [virtual]
blockStartKeyword(int *style=0) const (defined in QsciLexerCPP)QsciLexerCPP [virtual]
braceStyle() const (defined in QsciLexerCPP)QsciLexerCPP [virtual]
caseSensitive() const (defined in QsciLexer)QsciLexer [virtual]
color(int style) const QsciLexer [virtual]
colorChanged(const QColor &c, int style)QsciLexer [signal]
Comment enum valueQsciLexerCPP
CommentDoc enum valueQsciLexerCPP
CommentDocKeyword enum valueQsciLexerCPP
CommentDocKeywordError enum valueQsciLexerCPP
CommentLine enum valueQsciLexerCPP
CommentLineDoc enum valueQsciLexerCPP
Default enum valueQsciLexerCPP
defaultColor(int style) const QsciLexerIDL [virtual]
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerCPP [virtual]
defaultFont(int style) const QsciLexerCPP [virtual]
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerCPP [virtual]
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexer [virtual]
description(int style) const QsciLexerIDL [virtual]
dollarsAllowed() const QsciLexerCPP [inline]
DoubleQuotedString enum valueQsciLexerCPP
editor() const QsciLexer [inline]
eolFill(int style) const QsciLexer [virtual]
eolFillChanged(bool eolfilled, int style)QsciLexer [signal]
foldAtElse() const QsciLexerCPP [inline]
foldComments() const QsciLexerCPP [inline]
foldCompact() const QsciLexerCPP [inline]
foldPreprocessor() const QsciLexerCPP [inline]
font(int style) const QsciLexer [virtual]
fontChanged(const QFont &f, int style)QsciLexer [signal]
GlobalClass enum valueQsciLexerCPP
HashQuotedString enum valueQsciLexerCPP
highlightHashQuotedStrings() const QsciLexerCPP [inline]
highlightTripleQuotedStrings() const QsciLexerCPP [inline]
Identifier enum valueQsciLexerCPP
InactiveComment enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentDoc enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentDocKeyword enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentDocKeywordError enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentLine enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentLineDoc enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveDefault enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveDoubleQuotedString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveGlobalClass enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveHashQuotedString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveIdentifier enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveKeyword enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveKeywordSet2 enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveNumber enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveOperator enum value (defined in QsciLexerCPP)QsciLexerCPP
InactivePreProcessor enum value (defined in QsciLexerCPP)QsciLexerCPP
InactivePreProcessorComment enum value (defined in QsciLexerCPP)QsciLexerCPP
InactivePreProcessorCommentLineDoc enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveRawString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveRegex enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveSingleQuotedString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveTripleQuotedVerbatimString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveUnclosedString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveUUID enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveVerbatimString enum value (defined in QsciLexerCPP)QsciLexerCPP
indentationGuideView() const (defined in QsciLexer)QsciLexer [virtual]
Keyword enum valueQsciLexerCPP
keywords(int set) const QsciLexerIDL [virtual]
KeywordSet2 enum valueQsciLexerCPP
language() const QsciLexerIDL [virtual]
lexer() const QsciLexerCPP [virtual]
lexerId() const QsciLexer [virtual]
Number enum valueQsciLexerCPP
Operator enum valueQsciLexerCPP
paper(int style) const QsciLexer [virtual]
paperChanged(const QColor &c, int style)QsciLexer [signal]
PreProcessor enum valueQsciLexerCPP
PreProcessorComment enum valueQsciLexerCPP
PreProcessorCommentLineDoc enum valueQsciLexerCPP
propertyChanged(const char *prop, const char *val)QsciLexer [signal]
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerCPP(QObject *parent=0, bool caseInsensitiveKeywords=false)QsciLexerCPP
QsciLexerIDL(QObject *parent=0)QsciLexerIDL
RawString enum valueQsciLexerCPP
readProperties(QSettings &qs, const QString &prefix)QsciLexerCPP [protected, virtual]
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerCPP [virtual]
Regex enum valueQsciLexerCPP
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexer [virtual, slot]
setColor(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setDollarsAllowed(bool allowed)QsciLexerCPP
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexer [virtual]
setEolFill(bool eoffill, int style=-1)QsciLexer [virtual, slot]
setFoldAtElse(bool fold)QsciLexerCPP [virtual, slot]
setFoldComments(bool fold)QsciLexerCPP [virtual, slot]
setFoldCompact(bool fold)QsciLexerCPP [virtual, slot]
setFoldPreprocessor(bool fold)QsciLexerCPP [virtual, slot]
setFont(const QFont &f, int style=-1)QsciLexer [virtual, slot]
setHighlightHashQuotedStrings(bool enabled)QsciLexerCPP
setHighlightTripleQuotedStrings(bool enabled)QsciLexerCPP
setPaper(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setStylePreprocessor(bool style)QsciLexerCPP [virtual, slot]
SingleQuotedString enum valueQsciLexerCPP
styleBitsNeeded() const QsciLexer [virtual]
stylePreprocessor() const QsciLexerCPP [inline]
TripleQuotedVerbatimString enum valueQsciLexerCPP
UnclosedString enum valueQsciLexerCPP
UUID enum valueQsciLexerCPP
VerbatimString enum valueQsciLexerCPP
wordCharacters() const QsciLexerCPP [virtual]
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerCPP [protected, virtual]
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexer [virtual]
~QsciLexerCPP()QsciLexerCPP [virtual]
~QsciLexerIDL()QsciLexerIDL [virtual]
- +

This is the complete list of members for QsciLexerIDL, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexervirtual
autoCompletionWordSeparators() const (defined in QsciLexerCPP)QsciLexerCPPvirtual
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexerCPP)QsciLexerCPPvirtual
blockLookback() const (defined in QsciLexer)QsciLexervirtual
blockStart(int *style=0) const (defined in QsciLexerCPP)QsciLexerCPPvirtual
blockStartKeyword(int *style=0) const (defined in QsciLexerCPP)QsciLexerCPPvirtual
braceStyle() const (defined in QsciLexerCPP)QsciLexerCPPvirtual
caseSensitive() const (defined in QsciLexer)QsciLexervirtual
color(int style) const QsciLexervirtual
colorChanged(const QColor &c, int style)QsciLexersignal
Comment enum valueQsciLexerCPP
CommentDoc enum valueQsciLexerCPP
CommentDocKeyword enum valueQsciLexerCPP
CommentDocKeywordError enum valueQsciLexerCPP
CommentLine enum valueQsciLexerCPP
CommentLineDoc enum valueQsciLexerCPP
Default enum valueQsciLexerCPP
defaultColor(int style) const QsciLexerIDLvirtual
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerCPPvirtual
defaultFont(int style) const QsciLexerCPPvirtual
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerCPPvirtual
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexervirtual
description(int style) const QsciLexerIDLvirtual
dollarsAllowed() const QsciLexerCPPinline
DoubleQuotedString enum valueQsciLexerCPP
editor() const QsciLexerinline
eolFill(int style) const QsciLexervirtual
eolFillChanged(bool eolfilled, int style)QsciLexersignal
EscapeSequence enum valueQsciLexerCPP
foldAtElse() const QsciLexerCPPinline
foldComments() const QsciLexerCPPinline
foldCompact() const QsciLexerCPPinline
foldPreprocessor() const QsciLexerCPPinline
font(int style) const QsciLexervirtual
fontChanged(const QFont &f, int style)QsciLexersignal
GlobalClass enum valueQsciLexerCPP
HashQuotedString enum valueQsciLexerCPP
highlightBackQuotedStrings() const QsciLexerCPPinline
highlightEscapeSequences() const QsciLexerCPPinline
highlightHashQuotedStrings() const QsciLexerCPPinline
highlightTripleQuotedStrings() const QsciLexerCPPinline
Identifier enum valueQsciLexerCPP
InactiveComment enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentDoc enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentDocKeyword enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentDocKeywordError enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentLine enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentLineDoc enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveDefault enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveDoubleQuotedString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveEscapeSequence enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveGlobalClass enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveHashQuotedString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveIdentifier enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveKeyword enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveKeywordSet2 enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveNumber enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveOperator enum value (defined in QsciLexerCPP)QsciLexerCPP
InactivePreProcessor enum value (defined in QsciLexerCPP)QsciLexerCPP
InactivePreProcessorComment enum value (defined in QsciLexerCPP)QsciLexerCPP
InactivePreProcessorCommentLineDoc enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveRawString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveRegex enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveSingleQuotedString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveTaskMarker enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveTripleQuotedVerbatimString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveUnclosedString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveUserLiteral enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveUUID enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveVerbatimString enum value (defined in QsciLexerCPP)QsciLexerCPP
indentationGuideView() const (defined in QsciLexer)QsciLexervirtual
Keyword enum valueQsciLexerCPP
keywords(int set) const QsciLexerIDLvirtual
KeywordSet2 enum valueQsciLexerCPP
language() const QsciLexerIDLvirtual
lexer() const QsciLexerCPPvirtual
lexerId() const QsciLexervirtual
Number enum valueQsciLexerCPP
Operator enum valueQsciLexerCPP
paper(int style) const QsciLexervirtual
paperChanged(const QColor &c, int style)QsciLexersignal
PreProcessor enum valueQsciLexerCPP
PreProcessorComment enum valueQsciLexerCPP
PreProcessorCommentLineDoc enum valueQsciLexerCPP
propertyChanged(const char *prop, const char *val)QsciLexersignal
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerCPP(QObject *parent=0, bool caseInsensitiveKeywords=false)QsciLexerCPP
QsciLexerIDL(QObject *parent=0)QsciLexerIDL
RawString enum valueQsciLexerCPP
readProperties(QSettings &qs, const QString &prefix)QsciLexerCPPprotectedvirtual
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerCPPvirtual
Regex enum valueQsciLexerCPP
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexervirtualslot
setColor(const QColor &c, int style=-1)QsciLexervirtualslot
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setDollarsAllowed(bool allowed)QsciLexerCPP
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexervirtual
setEolFill(bool eoffill, int style=-1)QsciLexervirtualslot
setFoldAtElse(bool fold)QsciLexerCPPvirtualslot
setFoldComments(bool fold)QsciLexerCPPvirtualslot
setFoldCompact(bool fold)QsciLexerCPPvirtualslot
setFoldPreprocessor(bool fold)QsciLexerCPPvirtualslot
setFont(const QFont &f, int style=-1)QsciLexervirtualslot
setHighlightBackQuotedStrings(bool enabled)QsciLexerCPP
setHighlightEscapeSequences(bool enabled)QsciLexerCPP
setHighlightHashQuotedStrings(bool enabled)QsciLexerCPP
setHighlightTripleQuotedStrings(bool enabled)QsciLexerCPP
setPaper(const QColor &c, int style=-1)QsciLexervirtualslot
setStylePreprocessor(bool style)QsciLexerCPPvirtualslot
setVerbatimStringEscapeSequencesAllowed(bool allowed)QsciLexerCPP
SingleQuotedString enum valueQsciLexerCPP
styleBitsNeeded() const QsciLexervirtual
stylePreprocessor() const QsciLexerCPPinline
TaskMarker enum valueQsciLexerCPP
TripleQuotedVerbatimString enum valueQsciLexerCPP
UnclosedString enum valueQsciLexerCPP
UserLiteral enum valueQsciLexerCPP
UUID enum valueQsciLexerCPP
VerbatimString enum valueQsciLexerCPP
verbatimStringEscapeSequencesAllowed() const QsciLexerCPPinline
wordCharacters() const QsciLexerCPPvirtual
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerCPPprotectedvirtual
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexervirtual
~QsciLexerCPP()QsciLexerCPPvirtual
~QsciLexerIDL()QsciLexerIDLvirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerJava.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerJava.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerJava.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerJava.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciLexerJava Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerJava Class Reference
- +
- +

#include <qscilexerjava.h>

Inherits QsciLexerCPP.

- -

List of all members.

-

-Public Member Functions

- -

Detailed Description

-

The QsciLexerJava class encapsulates the Scintilla Java lexer.

-

Constructor & Destructor Documentation

- + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 QsciLexerJava (QObject *parent=0)
 
+virtual ~QsciLexerJava ()
 
+const char * language () const
 
const char * keywords (int set) const
 
- Public Member Functions inherited from QsciLexerCPP
 QsciLexerCPP (QObject *parent=0, bool caseInsensitiveKeywords=false)
 
+virtual ~QsciLexerCPP ()
 
const char * lexer () const
 
+QStringList autoCompletionWordSeparators () const
 
+const char * blockEnd (int *style=0) const
 
+const char * blockStart (int *style=0) const
 
+const char * blockStartKeyword (int *style=0) const
 
+int braceStyle () const
 
+const char * wordCharacters () const
 
QColor defaultColor (int style) const
 
+bool defaultEolFill (int style) const
 
+QFont defaultFont (int style) const
 
QColor defaultPaper (int style) const
 
QString description (int style) const
 
void refreshProperties ()
 
bool foldAtElse () const
 
bool foldComments () const
 
bool foldCompact () const
 
bool foldPreprocessor () const
 
bool stylePreprocessor () const
 
void setDollarsAllowed (bool allowed)
 
bool dollarsAllowed () const
 
void setHighlightTripleQuotedStrings (bool enabled)
 
bool highlightTripleQuotedStrings () const
 
void setHighlightHashQuotedStrings (bool enabled)
 
bool highlightHashQuotedStrings () const
 
void setHighlightBackQuotedStrings (bool enabled)
 
bool highlightBackQuotedStrings () const
 
void setHighlightEscapeSequences (bool enabled)
 
bool highlightEscapeSequences () const
 
void setVerbatimStringEscapeSequencesAllowed (bool allowed)
 
bool verbatimStringEscapeSequencesAllowed () const
 
- Public Member Functions inherited from QsciLexer
 QsciLexer (QObject *parent=0)
 
+virtual ~QsciLexer ()
 
virtual int lexerId () const
 
QsciAbstractAPIsapis () const
 
+virtual const char * autoCompletionFillups () const
 
int autoIndentStyle ()
 
+virtual int blockLookback () const
 
+virtual bool caseSensitive () const
 
virtual QColor color (int style) const
 
virtual bool eolFill (int style) const
 
virtual QFont font (int style) const
 
+virtual int indentationGuideView () const
 
+virtual int defaultStyle () const
 
virtual QColor paper (int style) const
 
QColor defaultColor () const
 
QFont defaultFont () const
 
QColor defaultPaper () const
 
QsciScintillaeditor () const
 
void setAPIs (QsciAbstractAPIs *apis)
 
void setDefaultColor (const QColor &c)
 
void setDefaultFont (const QFont &f)
 
void setDefaultPaper (const QColor &c)
 
+virtual void setEditor (QsciScintilla *editor)
 
bool readSettings (QSettings &qs, const char *prefix="/Scintilla")
 
virtual int styleBitsNeeded () const
 
bool writeSettings (QSettings &qs, const char *prefix="/Scintilla") const
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Additional Inherited Members

- Public Types inherited from QsciLexerCPP
enum  {
+  Default = 0, +InactiveDefault = Default + 64, +Comment = 1, +
+  InactiveComment = Comment + 64, +CommentLine = 2, +InactiveCommentLine = CommentLine + 64, +
+  CommentDoc = 3, +InactiveCommentDoc = CommentDoc + 64, +Number = 4, +
+  InactiveNumber = Number + 64, +Keyword = 5, +InactiveKeyword = Keyword + 64, +
+  DoubleQuotedString = 6, +InactiveDoubleQuotedString = DoubleQuotedString + 64, +SingleQuotedString = 7, +
+  InactiveSingleQuotedString = SingleQuotedString + 64, +UUID = 8, +InactiveUUID = UUID + 64, +
+  PreProcessor = 9, +InactivePreProcessor = PreProcessor + 64, +Operator = 10, +
+  InactiveOperator = Operator + 64, +Identifier = 11, +InactiveIdentifier = Identifier + 64, +
+  UnclosedString = 12, +InactiveUnclosedString = UnclosedString + 64, +VerbatimString = 13, +
+  InactiveVerbatimString = VerbatimString + 64, +Regex = 14, +InactiveRegex = Regex + 64, +
+  CommentLineDoc = 15, +InactiveCommentLineDoc = CommentLineDoc + 64, +KeywordSet2 = 16, +
+  InactiveKeywordSet2 = KeywordSet2 + 64, +CommentDocKeyword = 17, +InactiveCommentDocKeyword = CommentDocKeyword + 64, +
+  CommentDocKeywordError = 18, +InactiveCommentDocKeywordError = CommentDocKeywordError + 64, +GlobalClass = 19, +
+  InactiveGlobalClass = GlobalClass + 64, +RawString = 20, +InactiveRawString = RawString + 64, +
+  TripleQuotedVerbatimString = 21, +InactiveTripleQuotedVerbatimString = TripleQuotedVerbatimString + 64, +HashQuotedString = 22, +
+  InactiveHashQuotedString = HashQuotedString + 64, +PreProcessorComment = 23, +InactivePreProcessorComment = PreProcessorComment + 64, +
+  PreProcessorCommentLineDoc = 24, +InactivePreProcessorCommentLineDoc = PreProcessorCommentLineDoc + 64, +UserLiteral = 25, +
+  InactiveUserLiteral = UserLiteral + 64, +TaskMarker = 26, +InactiveTaskMarker = TaskMarker + 64, +
+  EscapeSequence = 27, +InactiveEscapeSequence = EscapeSequence + 64 +
+ }
 
- Public Slots inherited from QsciLexerCPP
virtual void setFoldAtElse (bool fold)
 
virtual void setFoldComments (bool fold)
 
virtual void setFoldCompact (bool fold)
 
virtual void setFoldPreprocessor (bool fold)
 
virtual void setStylePreprocessor (bool style)
 
- Public Slots inherited from QsciLexer
virtual void setAutoIndentStyle (int autoindentstyle)
 
virtual void setColor (const QColor &c, int style=-1)
 
virtual void setEolFill (bool eoffill, int style=-1)
 
virtual void setFont (const QFont &f, int style=-1)
 
virtual void setPaper (const QColor &c, int style=-1)
 
- Signals inherited from QsciLexer
void colorChanged (const QColor &c, int style)
 
void eolFillChanged (bool eolfilled, int style)
 
void fontChanged (const QFont &f, int style)
 
void paperChanged (const QColor &c, int style)
 
void propertyChanged (const char *prop, const char *val)
 
- Protected Member Functions inherited from QsciLexerCPP
bool readProperties (QSettings &qs, const QString &prefix)
 
bool writeProperties (QSettings &qs, const QString &prefix) const
 
+

Detailed Description

+

The QsciLexerJava class encapsulates the Scintilla Java lexer.

+

Constructor & Destructor Documentation

+
@@ -88,41 +336,45 @@
-
-
-

Construct a QsciLexerJava with parent parent. parent is typically the QsciScintilla instance.

+
+

Construct a QsciLexerJava with parent parent. parent is typically the QsciScintilla instance.

-

Member Function Documentation

- +

Member Function Documentation

+
+ + + + + +
- +
const char* QsciLexerJava::keywords ( int  set) const [virtual] const
- -
+
+virtual
+

Returns the set of keywords for the keyword set set recognised by the lexer as a space separated string.

Reimplemented from QsciLexerCPP.

-
- - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerJava-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerJava-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerJava-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerJava-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerJava Member List
-
+
-This is the complete list of members for QsciLexerJava, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexer [virtual]
autoCompletionWordSeparators() const (defined in QsciLexerCPP)QsciLexerCPP [virtual]
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexerCPP)QsciLexerCPP [virtual]
blockLookback() const (defined in QsciLexer)QsciLexer [virtual]
blockStart(int *style=0) const (defined in QsciLexerCPP)QsciLexerCPP [virtual]
blockStartKeyword(int *style=0) const (defined in QsciLexerCPP)QsciLexerCPP [virtual]
braceStyle() const (defined in QsciLexerCPP)QsciLexerCPP [virtual]
caseSensitive() const (defined in QsciLexer)QsciLexer [virtual]
color(int style) const QsciLexer [virtual]
colorChanged(const QColor &c, int style)QsciLexer [signal]
Comment enum valueQsciLexerCPP
CommentDoc enum valueQsciLexerCPP
CommentDocKeyword enum valueQsciLexerCPP
CommentDocKeywordError enum valueQsciLexerCPP
CommentLine enum valueQsciLexerCPP
CommentLineDoc enum valueQsciLexerCPP
Default enum valueQsciLexerCPP
defaultColor(int style) const QsciLexerCPP [virtual]
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerCPP [virtual]
defaultFont(int style) const QsciLexerCPP [virtual]
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerCPP [virtual]
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexer [virtual]
description(int style) const QsciLexerCPP [virtual]
dollarsAllowed() const QsciLexerCPP [inline]
DoubleQuotedString enum valueQsciLexerCPP
editor() const QsciLexer [inline]
eolFill(int style) const QsciLexer [virtual]
eolFillChanged(bool eolfilled, int style)QsciLexer [signal]
foldAtElse() const QsciLexerCPP [inline]
foldComments() const QsciLexerCPP [inline]
foldCompact() const QsciLexerCPP [inline]
foldPreprocessor() const QsciLexerCPP [inline]
font(int style) const QsciLexer [virtual]
fontChanged(const QFont &f, int style)QsciLexer [signal]
GlobalClass enum valueQsciLexerCPP
HashQuotedString enum valueQsciLexerCPP
highlightHashQuotedStrings() const QsciLexerCPP [inline]
highlightTripleQuotedStrings() const QsciLexerCPP [inline]
Identifier enum valueQsciLexerCPP
InactiveComment enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentDoc enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentDocKeyword enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentDocKeywordError enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentLine enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentLineDoc enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveDefault enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveDoubleQuotedString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveGlobalClass enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveHashQuotedString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveIdentifier enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveKeyword enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveKeywordSet2 enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveNumber enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveOperator enum value (defined in QsciLexerCPP)QsciLexerCPP
InactivePreProcessor enum value (defined in QsciLexerCPP)QsciLexerCPP
InactivePreProcessorComment enum value (defined in QsciLexerCPP)QsciLexerCPP
InactivePreProcessorCommentLineDoc enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveRawString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveRegex enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveSingleQuotedString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveTripleQuotedVerbatimString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveUnclosedString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveUUID enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveVerbatimString enum value (defined in QsciLexerCPP)QsciLexerCPP
indentationGuideView() const (defined in QsciLexer)QsciLexer [virtual]
Keyword enum valueQsciLexerCPP
keywords(int set) const QsciLexerJava [virtual]
KeywordSet2 enum valueQsciLexerCPP
language() const QsciLexerJava [virtual]
lexer() const QsciLexerCPP [virtual]
lexerId() const QsciLexer [virtual]
Number enum valueQsciLexerCPP
Operator enum valueQsciLexerCPP
paper(int style) const QsciLexer [virtual]
paperChanged(const QColor &c, int style)QsciLexer [signal]
PreProcessor enum valueQsciLexerCPP
PreProcessorComment enum valueQsciLexerCPP
PreProcessorCommentLineDoc enum valueQsciLexerCPP
propertyChanged(const char *prop, const char *val)QsciLexer [signal]
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerCPP(QObject *parent=0, bool caseInsensitiveKeywords=false)QsciLexerCPP
QsciLexerJava(QObject *parent=0)QsciLexerJava
RawString enum valueQsciLexerCPP
readProperties(QSettings &qs, const QString &prefix)QsciLexerCPP [protected, virtual]
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerCPP [virtual]
Regex enum valueQsciLexerCPP
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexer [virtual, slot]
setColor(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setDollarsAllowed(bool allowed)QsciLexerCPP
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexer [virtual]
setEolFill(bool eoffill, int style=-1)QsciLexer [virtual, slot]
setFoldAtElse(bool fold)QsciLexerCPP [virtual, slot]
setFoldComments(bool fold)QsciLexerCPP [virtual, slot]
setFoldCompact(bool fold)QsciLexerCPP [virtual, slot]
setFoldPreprocessor(bool fold)QsciLexerCPP [virtual, slot]
setFont(const QFont &f, int style=-1)QsciLexer [virtual, slot]
setHighlightHashQuotedStrings(bool enabled)QsciLexerCPP
setHighlightTripleQuotedStrings(bool enabled)QsciLexerCPP
setPaper(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setStylePreprocessor(bool style)QsciLexerCPP [virtual, slot]
SingleQuotedString enum valueQsciLexerCPP
styleBitsNeeded() const QsciLexer [virtual]
stylePreprocessor() const QsciLexerCPP [inline]
TripleQuotedVerbatimString enum valueQsciLexerCPP
UnclosedString enum valueQsciLexerCPP
UUID enum valueQsciLexerCPP
VerbatimString enum valueQsciLexerCPP
wordCharacters() const QsciLexerCPP [virtual]
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerCPP [protected, virtual]
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexer [virtual]
~QsciLexerCPP()QsciLexerCPP [virtual]
~QsciLexerJava()QsciLexerJava [virtual]
- +

This is the complete list of members for QsciLexerJava, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexervirtual
autoCompletionWordSeparators() const (defined in QsciLexerCPP)QsciLexerCPPvirtual
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexerCPP)QsciLexerCPPvirtual
blockLookback() const (defined in QsciLexer)QsciLexervirtual
blockStart(int *style=0) const (defined in QsciLexerCPP)QsciLexerCPPvirtual
blockStartKeyword(int *style=0) const (defined in QsciLexerCPP)QsciLexerCPPvirtual
braceStyle() const (defined in QsciLexerCPP)QsciLexerCPPvirtual
caseSensitive() const (defined in QsciLexer)QsciLexervirtual
color(int style) const QsciLexervirtual
colorChanged(const QColor &c, int style)QsciLexersignal
Comment enum valueQsciLexerCPP
CommentDoc enum valueQsciLexerCPP
CommentDocKeyword enum valueQsciLexerCPP
CommentDocKeywordError enum valueQsciLexerCPP
CommentLine enum valueQsciLexerCPP
CommentLineDoc enum valueQsciLexerCPP
Default enum valueQsciLexerCPP
defaultColor(int style) const QsciLexerCPPvirtual
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerCPPvirtual
defaultFont(int style) const QsciLexerCPPvirtual
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerCPPvirtual
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexervirtual
description(int style) const QsciLexerCPPvirtual
dollarsAllowed() const QsciLexerCPPinline
DoubleQuotedString enum valueQsciLexerCPP
editor() const QsciLexerinline
eolFill(int style) const QsciLexervirtual
eolFillChanged(bool eolfilled, int style)QsciLexersignal
EscapeSequence enum valueQsciLexerCPP
foldAtElse() const QsciLexerCPPinline
foldComments() const QsciLexerCPPinline
foldCompact() const QsciLexerCPPinline
foldPreprocessor() const QsciLexerCPPinline
font(int style) const QsciLexervirtual
fontChanged(const QFont &f, int style)QsciLexersignal
GlobalClass enum valueQsciLexerCPP
HashQuotedString enum valueQsciLexerCPP
highlightBackQuotedStrings() const QsciLexerCPPinline
highlightEscapeSequences() const QsciLexerCPPinline
highlightHashQuotedStrings() const QsciLexerCPPinline
highlightTripleQuotedStrings() const QsciLexerCPPinline
Identifier enum valueQsciLexerCPP
InactiveComment enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentDoc enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentDocKeyword enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentDocKeywordError enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentLine enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentLineDoc enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveDefault enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveDoubleQuotedString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveEscapeSequence enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveGlobalClass enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveHashQuotedString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveIdentifier enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveKeyword enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveKeywordSet2 enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveNumber enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveOperator enum value (defined in QsciLexerCPP)QsciLexerCPP
InactivePreProcessor enum value (defined in QsciLexerCPP)QsciLexerCPP
InactivePreProcessorComment enum value (defined in QsciLexerCPP)QsciLexerCPP
InactivePreProcessorCommentLineDoc enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveRawString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveRegex enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveSingleQuotedString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveTaskMarker enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveTripleQuotedVerbatimString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveUnclosedString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveUserLiteral enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveUUID enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveVerbatimString enum value (defined in QsciLexerCPP)QsciLexerCPP
indentationGuideView() const (defined in QsciLexer)QsciLexervirtual
Keyword enum valueQsciLexerCPP
keywords(int set) const QsciLexerJavavirtual
KeywordSet2 enum valueQsciLexerCPP
language() const QsciLexerJavavirtual
lexer() const QsciLexerCPPvirtual
lexerId() const QsciLexervirtual
Number enum valueQsciLexerCPP
Operator enum valueQsciLexerCPP
paper(int style) const QsciLexervirtual
paperChanged(const QColor &c, int style)QsciLexersignal
PreProcessor enum valueQsciLexerCPP
PreProcessorComment enum valueQsciLexerCPP
PreProcessorCommentLineDoc enum valueQsciLexerCPP
propertyChanged(const char *prop, const char *val)QsciLexersignal
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerCPP(QObject *parent=0, bool caseInsensitiveKeywords=false)QsciLexerCPP
QsciLexerJava(QObject *parent=0)QsciLexerJava
RawString enum valueQsciLexerCPP
readProperties(QSettings &qs, const QString &prefix)QsciLexerCPPprotectedvirtual
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerCPPvirtual
Regex enum valueQsciLexerCPP
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexervirtualslot
setColor(const QColor &c, int style=-1)QsciLexervirtualslot
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setDollarsAllowed(bool allowed)QsciLexerCPP
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexervirtual
setEolFill(bool eoffill, int style=-1)QsciLexervirtualslot
setFoldAtElse(bool fold)QsciLexerCPPvirtualslot
setFoldComments(bool fold)QsciLexerCPPvirtualslot
setFoldCompact(bool fold)QsciLexerCPPvirtualslot
setFoldPreprocessor(bool fold)QsciLexerCPPvirtualslot
setFont(const QFont &f, int style=-1)QsciLexervirtualslot
setHighlightBackQuotedStrings(bool enabled)QsciLexerCPP
setHighlightEscapeSequences(bool enabled)QsciLexerCPP
setHighlightHashQuotedStrings(bool enabled)QsciLexerCPP
setHighlightTripleQuotedStrings(bool enabled)QsciLexerCPP
setPaper(const QColor &c, int style=-1)QsciLexervirtualslot
setStylePreprocessor(bool style)QsciLexerCPPvirtualslot
setVerbatimStringEscapeSequencesAllowed(bool allowed)QsciLexerCPP
SingleQuotedString enum valueQsciLexerCPP
styleBitsNeeded() const QsciLexervirtual
stylePreprocessor() const QsciLexerCPPinline
TaskMarker enum valueQsciLexerCPP
TripleQuotedVerbatimString enum valueQsciLexerCPP
UnclosedString enum valueQsciLexerCPP
UserLiteral enum valueQsciLexerCPP
UUID enum valueQsciLexerCPP
VerbatimString enum valueQsciLexerCPP
verbatimStringEscapeSequencesAllowed() const QsciLexerCPPinline
wordCharacters() const QsciLexerCPPvirtual
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerCPPprotectedvirtual
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexervirtual
~QsciLexerCPP()QsciLexerCPPvirtual
~QsciLexerJava()QsciLexerJavavirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerJavaScript.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerJavaScript.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerJavaScript.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerJavaScript.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciLexerJavaScript Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerJavaScript Class Reference
- +
- +

#include <qscilexerjavascript.h>

Inherits QsciLexerCPP.

- -

List of all members.

-

-Public Member Functions

- -

Detailed Description

-

The QsciLexerJavaScript class encapsulates the Scintilla JavaScript lexer.

-

Constructor & Destructor Documentation

- + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 QsciLexerJavaScript (QObject *parent=0)
 
+virtual ~QsciLexerJavaScript ()
 
+const char * language () const
 
QColor defaultColor (int style) const
 
+bool defaultEolFill (int style) const
 
+QFont defaultFont (int style) const
 
QColor defaultPaper (int style) const
 
const char * keywords (int set) const
 
QString description (int style) const
 
- Public Member Functions inherited from QsciLexerCPP
 QsciLexerCPP (QObject *parent=0, bool caseInsensitiveKeywords=false)
 
+virtual ~QsciLexerCPP ()
 
const char * lexer () const
 
+QStringList autoCompletionWordSeparators () const
 
+const char * blockEnd (int *style=0) const
 
+const char * blockStart (int *style=0) const
 
+const char * blockStartKeyword (int *style=0) const
 
+int braceStyle () const
 
+const char * wordCharacters () const
 
void refreshProperties ()
 
bool foldAtElse () const
 
bool foldComments () const
 
bool foldCompact () const
 
bool foldPreprocessor () const
 
bool stylePreprocessor () const
 
void setDollarsAllowed (bool allowed)
 
bool dollarsAllowed () const
 
void setHighlightTripleQuotedStrings (bool enabled)
 
bool highlightTripleQuotedStrings () const
 
void setHighlightHashQuotedStrings (bool enabled)
 
bool highlightHashQuotedStrings () const
 
void setHighlightBackQuotedStrings (bool enabled)
 
bool highlightBackQuotedStrings () const
 
void setHighlightEscapeSequences (bool enabled)
 
bool highlightEscapeSequences () const
 
void setVerbatimStringEscapeSequencesAllowed (bool allowed)
 
bool verbatimStringEscapeSequencesAllowed () const
 
- Public Member Functions inherited from QsciLexer
 QsciLexer (QObject *parent=0)
 
+virtual ~QsciLexer ()
 
virtual int lexerId () const
 
QsciAbstractAPIsapis () const
 
+virtual const char * autoCompletionFillups () const
 
int autoIndentStyle ()
 
+virtual int blockLookback () const
 
+virtual bool caseSensitive () const
 
virtual QColor color (int style) const
 
virtual bool eolFill (int style) const
 
virtual QFont font (int style) const
 
+virtual int indentationGuideView () const
 
+virtual int defaultStyle () const
 
virtual QColor paper (int style) const
 
QColor defaultColor () const
 
QFont defaultFont () const
 
QColor defaultPaper () const
 
QsciScintillaeditor () const
 
void setAPIs (QsciAbstractAPIs *apis)
 
void setDefaultColor (const QColor &c)
 
void setDefaultFont (const QFont &f)
 
void setDefaultPaper (const QColor &c)
 
+virtual void setEditor (QsciScintilla *editor)
 
bool readSettings (QSettings &qs, const char *prefix="/Scintilla")
 
virtual int styleBitsNeeded () const
 
bool writeSettings (QSettings &qs, const char *prefix="/Scintilla") const
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Additional Inherited Members

- Public Types inherited from QsciLexerCPP
enum  {
+  Default = 0, +InactiveDefault = Default + 64, +Comment = 1, +
+  InactiveComment = Comment + 64, +CommentLine = 2, +InactiveCommentLine = CommentLine + 64, +
+  CommentDoc = 3, +InactiveCommentDoc = CommentDoc + 64, +Number = 4, +
+  InactiveNumber = Number + 64, +Keyword = 5, +InactiveKeyword = Keyword + 64, +
+  DoubleQuotedString = 6, +InactiveDoubleQuotedString = DoubleQuotedString + 64, +SingleQuotedString = 7, +
+  InactiveSingleQuotedString = SingleQuotedString + 64, +UUID = 8, +InactiveUUID = UUID + 64, +
+  PreProcessor = 9, +InactivePreProcessor = PreProcessor + 64, +Operator = 10, +
+  InactiveOperator = Operator + 64, +Identifier = 11, +InactiveIdentifier = Identifier + 64, +
+  UnclosedString = 12, +InactiveUnclosedString = UnclosedString + 64, +VerbatimString = 13, +
+  InactiveVerbatimString = VerbatimString + 64, +Regex = 14, +InactiveRegex = Regex + 64, +
+  CommentLineDoc = 15, +InactiveCommentLineDoc = CommentLineDoc + 64, +KeywordSet2 = 16, +
+  InactiveKeywordSet2 = KeywordSet2 + 64, +CommentDocKeyword = 17, +InactiveCommentDocKeyword = CommentDocKeyword + 64, +
+  CommentDocKeywordError = 18, +InactiveCommentDocKeywordError = CommentDocKeywordError + 64, +GlobalClass = 19, +
+  InactiveGlobalClass = GlobalClass + 64, +RawString = 20, +InactiveRawString = RawString + 64, +
+  TripleQuotedVerbatimString = 21, +InactiveTripleQuotedVerbatimString = TripleQuotedVerbatimString + 64, +HashQuotedString = 22, +
+  InactiveHashQuotedString = HashQuotedString + 64, +PreProcessorComment = 23, +InactivePreProcessorComment = PreProcessorComment + 64, +
+  PreProcessorCommentLineDoc = 24, +InactivePreProcessorCommentLineDoc = PreProcessorCommentLineDoc + 64, +UserLiteral = 25, +
+  InactiveUserLiteral = UserLiteral + 64, +TaskMarker = 26, +InactiveTaskMarker = TaskMarker + 64, +
+  EscapeSequence = 27, +InactiveEscapeSequence = EscapeSequence + 64 +
+ }
 
- Public Slots inherited from QsciLexerCPP
virtual void setFoldAtElse (bool fold)
 
virtual void setFoldComments (bool fold)
 
virtual void setFoldCompact (bool fold)
 
virtual void setFoldPreprocessor (bool fold)
 
virtual void setStylePreprocessor (bool style)
 
- Public Slots inherited from QsciLexer
virtual void setAutoIndentStyle (int autoindentstyle)
 
virtual void setColor (const QColor &c, int style=-1)
 
virtual void setEolFill (bool eoffill, int style=-1)
 
virtual void setFont (const QFont &f, int style=-1)
 
virtual void setPaper (const QColor &c, int style=-1)
 
- Signals inherited from QsciLexer
void colorChanged (const QColor &c, int style)
 
void eolFillChanged (bool eolfilled, int style)
 
void fontChanged (const QFont &f, int style)
 
void paperChanged (const QColor &c, int style)
 
void propertyChanged (const char *prop, const char *val)
 
- Protected Member Functions inherited from QsciLexerCPP
bool readProperties (QSettings &qs, const QString &prefix)
 
bool writeProperties (QSettings &qs, const QString &prefix) const
 
+

Detailed Description

+

The QsciLexerJavaScript class encapsulates the Scintilla JavaScript lexer.

+

Constructor & Destructor Documentation

+
@@ -95,103 +336,128 @@
-
-
-

Construct a QsciLexerJavaScript with parent parent. parent is typically the QsciScintilla instance.

+
+

Construct a QsciLexerJavaScript with parent parent. parent is typically the QsciScintilla instance.

-

Member Function Documentation

- +

Member Function Documentation

+
+ + + + + +
- +
QColor QsciLexerJavaScript::defaultColor ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the foreground colour of the text for style number style.

-
See also:
defaultPaper()
+
See also
defaultPaper()

Reimplemented from QsciLexerCPP.

- +
+ + + + + +
- +
QColor QsciLexerJavaScript::defaultPaper ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the background colour of the text for style number style.

-
See also:
defaultColor()
+
See also
defaultColor()

Reimplemented from QsciLexerCPP.

- +
+ + + + + +
- +
const char* QsciLexerJavaScript::keywords ( int  set) const [virtual] const
- -
+
+virtual
+

Returns the set of keywords for the keyword set set recognised by the lexer as a space separated string.

Reimplemented from QsciLexerCPP.

- +
+ + + + + +
- +
QString QsciLexerJavaScript::description ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the descriptive name for style number style. If the style is invalid for this language then an empty QString is returned. This is intended to be used in user preference dialogs.

Reimplemented from QsciLexerCPP.

-
- - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerJavaScript-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerJavaScript-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerJavaScript-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerJavaScript-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerJavaScript Member List
-
+
-This is the complete list of members for QsciLexerJavaScript, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexer [virtual]
autoCompletionWordSeparators() const (defined in QsciLexerCPP)QsciLexerCPP [virtual]
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexerCPP)QsciLexerCPP [virtual]
blockLookback() const (defined in QsciLexer)QsciLexer [virtual]
blockStart(int *style=0) const (defined in QsciLexerCPP)QsciLexerCPP [virtual]
blockStartKeyword(int *style=0) const (defined in QsciLexerCPP)QsciLexerCPP [virtual]
braceStyle() const (defined in QsciLexerCPP)QsciLexerCPP [virtual]
caseSensitive() const (defined in QsciLexer)QsciLexer [virtual]
color(int style) const QsciLexer [virtual]
colorChanged(const QColor &c, int style)QsciLexer [signal]
Comment enum valueQsciLexerCPP
CommentDoc enum valueQsciLexerCPP
CommentDocKeyword enum valueQsciLexerCPP
CommentDocKeywordError enum valueQsciLexerCPP
CommentLine enum valueQsciLexerCPP
CommentLineDoc enum valueQsciLexerCPP
Default enum valueQsciLexerCPP
defaultColor(int style) const QsciLexerJavaScript [virtual]
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerJavaScript [virtual]
defaultFont(int style) const QsciLexerJavaScript [virtual]
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerJavaScript [virtual]
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexer [virtual]
description(int style) const QsciLexerJavaScript [virtual]
dollarsAllowed() const QsciLexerCPP [inline]
DoubleQuotedString enum valueQsciLexerCPP
editor() const QsciLexer [inline]
eolFill(int style) const QsciLexer [virtual]
eolFillChanged(bool eolfilled, int style)QsciLexer [signal]
foldAtElse() const QsciLexerCPP [inline]
foldComments() const QsciLexerCPP [inline]
foldCompact() const QsciLexerCPP [inline]
foldPreprocessor() const QsciLexerCPP [inline]
font(int style) const QsciLexer [virtual]
fontChanged(const QFont &f, int style)QsciLexer [signal]
GlobalClass enum valueQsciLexerCPP
HashQuotedString enum valueQsciLexerCPP
highlightHashQuotedStrings() const QsciLexerCPP [inline]
highlightTripleQuotedStrings() const QsciLexerCPP [inline]
Identifier enum valueQsciLexerCPP
InactiveComment enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentDoc enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentDocKeyword enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentDocKeywordError enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentLine enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentLineDoc enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveDefault enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveDoubleQuotedString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveGlobalClass enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveHashQuotedString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveIdentifier enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveKeyword enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveKeywordSet2 enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveNumber enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveOperator enum value (defined in QsciLexerCPP)QsciLexerCPP
InactivePreProcessor enum value (defined in QsciLexerCPP)QsciLexerCPP
InactivePreProcessorComment enum value (defined in QsciLexerCPP)QsciLexerCPP
InactivePreProcessorCommentLineDoc enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveRawString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveRegex enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveSingleQuotedString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveTripleQuotedVerbatimString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveUnclosedString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveUUID enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveVerbatimString enum value (defined in QsciLexerCPP)QsciLexerCPP
indentationGuideView() const (defined in QsciLexer)QsciLexer [virtual]
Keyword enum valueQsciLexerCPP
keywords(int set) const QsciLexerJavaScript [virtual]
KeywordSet2 enum valueQsciLexerCPP
language() const QsciLexerJavaScript [virtual]
lexer() const QsciLexerCPP [virtual]
lexerId() const QsciLexer [virtual]
Number enum valueQsciLexerCPP
Operator enum valueQsciLexerCPP
paper(int style) const QsciLexer [virtual]
paperChanged(const QColor &c, int style)QsciLexer [signal]
PreProcessor enum valueQsciLexerCPP
PreProcessorComment enum valueQsciLexerCPP
PreProcessorCommentLineDoc enum valueQsciLexerCPP
propertyChanged(const char *prop, const char *val)QsciLexer [signal]
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerCPP(QObject *parent=0, bool caseInsensitiveKeywords=false)QsciLexerCPP
QsciLexerJavaScript(QObject *parent=0)QsciLexerJavaScript
RawString enum valueQsciLexerCPP
readProperties(QSettings &qs, const QString &prefix)QsciLexerCPP [protected, virtual]
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerCPP [virtual]
Regex enum valueQsciLexerCPP
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexer [virtual, slot]
setColor(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setDollarsAllowed(bool allowed)QsciLexerCPP
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexer [virtual]
setEolFill(bool eoffill, int style=-1)QsciLexer [virtual, slot]
setFoldAtElse(bool fold)QsciLexerCPP [virtual, slot]
setFoldComments(bool fold)QsciLexerCPP [virtual, slot]
setFoldCompact(bool fold)QsciLexerCPP [virtual, slot]
setFoldPreprocessor(bool fold)QsciLexerCPP [virtual, slot]
setFont(const QFont &f, int style=-1)QsciLexer [virtual, slot]
setHighlightHashQuotedStrings(bool enabled)QsciLexerCPP
setHighlightTripleQuotedStrings(bool enabled)QsciLexerCPP
setPaper(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setStylePreprocessor(bool style)QsciLexerCPP [virtual, slot]
SingleQuotedString enum valueQsciLexerCPP
styleBitsNeeded() const QsciLexer [virtual]
stylePreprocessor() const QsciLexerCPP [inline]
TripleQuotedVerbatimString enum valueQsciLexerCPP
UnclosedString enum valueQsciLexerCPP
UUID enum valueQsciLexerCPP
VerbatimString enum valueQsciLexerCPP
wordCharacters() const QsciLexerCPP [virtual]
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerCPP [protected, virtual]
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexer [virtual]
~QsciLexerCPP()QsciLexerCPP [virtual]
~QsciLexerJavaScript()QsciLexerJavaScript [virtual]
- +

This is the complete list of members for QsciLexerJavaScript, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexervirtual
autoCompletionWordSeparators() const (defined in QsciLexerCPP)QsciLexerCPPvirtual
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexerCPP)QsciLexerCPPvirtual
blockLookback() const (defined in QsciLexer)QsciLexervirtual
blockStart(int *style=0) const (defined in QsciLexerCPP)QsciLexerCPPvirtual
blockStartKeyword(int *style=0) const (defined in QsciLexerCPP)QsciLexerCPPvirtual
braceStyle() const (defined in QsciLexerCPP)QsciLexerCPPvirtual
caseSensitive() const (defined in QsciLexer)QsciLexervirtual
color(int style) const QsciLexervirtual
colorChanged(const QColor &c, int style)QsciLexersignal
Comment enum valueQsciLexerCPP
CommentDoc enum valueQsciLexerCPP
CommentDocKeyword enum valueQsciLexerCPP
CommentDocKeywordError enum valueQsciLexerCPP
CommentLine enum valueQsciLexerCPP
CommentLineDoc enum valueQsciLexerCPP
Default enum valueQsciLexerCPP
defaultColor(int style) const QsciLexerJavaScriptvirtual
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerJavaScriptvirtual
defaultFont(int style) const QsciLexerJavaScriptvirtual
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerJavaScriptvirtual
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexervirtual
description(int style) const QsciLexerJavaScriptvirtual
dollarsAllowed() const QsciLexerCPPinline
DoubleQuotedString enum valueQsciLexerCPP
editor() const QsciLexerinline
eolFill(int style) const QsciLexervirtual
eolFillChanged(bool eolfilled, int style)QsciLexersignal
EscapeSequence enum valueQsciLexerCPP
foldAtElse() const QsciLexerCPPinline
foldComments() const QsciLexerCPPinline
foldCompact() const QsciLexerCPPinline
foldPreprocessor() const QsciLexerCPPinline
font(int style) const QsciLexervirtual
fontChanged(const QFont &f, int style)QsciLexersignal
GlobalClass enum valueQsciLexerCPP
HashQuotedString enum valueQsciLexerCPP
highlightBackQuotedStrings() const QsciLexerCPPinline
highlightEscapeSequences() const QsciLexerCPPinline
highlightHashQuotedStrings() const QsciLexerCPPinline
highlightTripleQuotedStrings() const QsciLexerCPPinline
Identifier enum valueQsciLexerCPP
InactiveComment enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentDoc enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentDocKeyword enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentDocKeywordError enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentLine enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveCommentLineDoc enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveDefault enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveDoubleQuotedString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveEscapeSequence enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveGlobalClass enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveHashQuotedString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveIdentifier enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveKeyword enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveKeywordSet2 enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveNumber enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveOperator enum value (defined in QsciLexerCPP)QsciLexerCPP
InactivePreProcessor enum value (defined in QsciLexerCPP)QsciLexerCPP
InactivePreProcessorComment enum value (defined in QsciLexerCPP)QsciLexerCPP
InactivePreProcessorCommentLineDoc enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveRawString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveRegex enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveSingleQuotedString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveTaskMarker enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveTripleQuotedVerbatimString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveUnclosedString enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveUserLiteral enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveUUID enum value (defined in QsciLexerCPP)QsciLexerCPP
InactiveVerbatimString enum value (defined in QsciLexerCPP)QsciLexerCPP
indentationGuideView() const (defined in QsciLexer)QsciLexervirtual
Keyword enum valueQsciLexerCPP
keywords(int set) const QsciLexerJavaScriptvirtual
KeywordSet2 enum valueQsciLexerCPP
language() const QsciLexerJavaScriptvirtual
lexer() const QsciLexerCPPvirtual
lexerId() const QsciLexervirtual
Number enum valueQsciLexerCPP
Operator enum valueQsciLexerCPP
paper(int style) const QsciLexervirtual
paperChanged(const QColor &c, int style)QsciLexersignal
PreProcessor enum valueQsciLexerCPP
PreProcessorComment enum valueQsciLexerCPP
PreProcessorCommentLineDoc enum valueQsciLexerCPP
propertyChanged(const char *prop, const char *val)QsciLexersignal
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerCPP(QObject *parent=0, bool caseInsensitiveKeywords=false)QsciLexerCPP
QsciLexerJavaScript(QObject *parent=0)QsciLexerJavaScript
RawString enum valueQsciLexerCPP
readProperties(QSettings &qs, const QString &prefix)QsciLexerCPPprotectedvirtual
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerCPPvirtual
Regex enum valueQsciLexerCPP
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexervirtualslot
setColor(const QColor &c, int style=-1)QsciLexervirtualslot
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setDollarsAllowed(bool allowed)QsciLexerCPP
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexervirtual
setEolFill(bool eoffill, int style=-1)QsciLexervirtualslot
setFoldAtElse(bool fold)QsciLexerCPPvirtualslot
setFoldComments(bool fold)QsciLexerCPPvirtualslot
setFoldCompact(bool fold)QsciLexerCPPvirtualslot
setFoldPreprocessor(bool fold)QsciLexerCPPvirtualslot
setFont(const QFont &f, int style=-1)QsciLexervirtualslot
setHighlightBackQuotedStrings(bool enabled)QsciLexerCPP
setHighlightEscapeSequences(bool enabled)QsciLexerCPP
setHighlightHashQuotedStrings(bool enabled)QsciLexerCPP
setHighlightTripleQuotedStrings(bool enabled)QsciLexerCPP
setPaper(const QColor &c, int style=-1)QsciLexervirtualslot
setStylePreprocessor(bool style)QsciLexerCPPvirtualslot
setVerbatimStringEscapeSequencesAllowed(bool allowed)QsciLexerCPP
SingleQuotedString enum valueQsciLexerCPP
styleBitsNeeded() const QsciLexervirtual
stylePreprocessor() const QsciLexerCPPinline
TaskMarker enum valueQsciLexerCPP
TripleQuotedVerbatimString enum valueQsciLexerCPP
UnclosedString enum valueQsciLexerCPP
UserLiteral enum valueQsciLexerCPP
UUID enum valueQsciLexerCPP
VerbatimString enum valueQsciLexerCPP
verbatimStringEscapeSequencesAllowed() const QsciLexerCPPinline
wordCharacters() const QsciLexerCPPvirtual
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerCPPprotectedvirtual
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexervirtual
~QsciLexerCPP()QsciLexerCPPvirtual
~QsciLexerJavaScript()QsciLexerJavaScriptvirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerLua.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerLua.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerLua.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerLua.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciLexerLua Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerLua Class Reference
- +
- +

#include <qscilexerlua.h>

Inherits QsciLexer.

- -

List of all members.

-

-Public Types

- -

-Public Slots

- -

-Public Member Functions

- -

-Protected Member Functions

- -

Detailed Description

-

The QsciLexerLua class encapsulates the Scintilla Lua lexer.

-

Member Enumeration Documentation

- + + + + +

+Public Types

enum  {
+  Default = 0, +Comment = 1, +LineComment = 2, +
+  Number = 4, +Keyword = 5, +String = 6, +
+  Character = 7, +LiteralString = 8, +Preprocessor = 9, +
+  Operator = 10, +Identifier = 11, +UnclosedString = 12, +
+  BasicFunctions = 13, +StringTableMathsFunctions = 14, +CoroutinesIOSystemFacilities = 15, +
+  KeywordSet5 = 16, +KeywordSet6 = 17, +KeywordSet7 = 18, +
+  KeywordSet8 = 19, +Label = 20 +
+ }
 
+ + + + + + + + + + + + + + +

+Public Slots

virtual void setFoldCompact (bool fold)
 
- Public Slots inherited from QsciLexer
virtual void setAutoIndentStyle (int autoindentstyle)
 
virtual void setColor (const QColor &c, int style=-1)
 
virtual void setEolFill (bool eoffill, int style=-1)
 
virtual void setFont (const QFont &f, int style=-1)
 
virtual void setPaper (const QColor &c, int style=-1)
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 QsciLexerLua (QObject *parent=0)
 
+virtual ~QsciLexerLua ()
 
+const char * language () const
 
const char * lexer () const
 
+QStringList autoCompletionWordSeparators () const
 
+const char * blockStart (int *style=0) const
 
+int braceStyle () const
 
QColor defaultColor (int style) const
 
+bool defaultEolFill (int style) const
 
+QFont defaultFont (int style) const
 
QColor defaultPaper (int style) const
 
const char * keywords (int set) const
 
QString description (int style) const
 
void refreshProperties ()
 
bool foldCompact () const
 
- Public Member Functions inherited from QsciLexer
 QsciLexer (QObject *parent=0)
 
+virtual ~QsciLexer ()
 
virtual int lexerId () const
 
QsciAbstractAPIsapis () const
 
+virtual const char * autoCompletionFillups () const
 
int autoIndentStyle ()
 
+virtual const char * blockEnd (int *style=0) const
 
+virtual int blockLookback () const
 
+virtual const char * blockStartKeyword (int *style=0) const
 
+virtual bool caseSensitive () const
 
virtual QColor color (int style) const
 
virtual bool eolFill (int style) const
 
virtual QFont font (int style) const
 
+virtual int indentationGuideView () const
 
+virtual int defaultStyle () const
 
virtual QColor paper (int style) const
 
QColor defaultColor () const
 
QFont defaultFont () const
 
QColor defaultPaper () const
 
QsciScintillaeditor () const
 
void setAPIs (QsciAbstractAPIs *apis)
 
void setDefaultColor (const QColor &c)
 
void setDefaultFont (const QFont &f)
 
void setDefaultPaper (const QColor &c)
 
+virtual void setEditor (QsciScintilla *editor)
 
bool readSettings (QSettings &qs, const char *prefix="/Scintilla")
 
virtual int styleBitsNeeded () const
 
virtual const char * wordCharacters () const
 
bool writeSettings (QSettings &qs, const char *prefix="/Scintilla") const
 
+ + + + + +

+Protected Member Functions

bool readProperties (QSettings &qs, const QString &prefix)
 
bool writeProperties (QSettings &qs, const QString &prefix) const
 
+ + + + + + + + + + + + +

+Additional Inherited Members

- Signals inherited from QsciLexer
void colorChanged (const QColor &c, int style)
 
void eolFillChanged (bool eolfilled, int style)
 
void fontChanged (const QFont &f, int style)
 
void paperChanged (const QColor &c, int style)
 
void propertyChanged (const char *prop, const char *val)
 
+

Detailed Description

+

The QsciLexerLua class encapsulates the Scintilla Lua lexer.

+

Member Enumeration Documentation

+
@@ -147,78 +246,75 @@
anonymous enum
-
-
+

This enum defines the meanings of the different styles used by the Lua lexer.

-
Enumerator:
-
Default  + + - - - - - - - - - - - - - - - - - - -
Enumerator
Default 

The default.

Comment  +
Comment 

A block comment.

LineComment  +
LineComment 

A line comment.

Number  +
Number 

A number.

Keyword  +
Keyword 

A keyword.

String  +
String 

A string.

Character  +
Character 

A character.

LiteralString  +
LiteralString 

A literal string.

Preprocessor  +
Preprocessor 

Preprocessor.

Operator  +
Operator 

An operator.

Identifier  +
Identifier 

An identifier.

UnclosedString  +
UnclosedString 

The end of a line where a string is not closed.

BasicFunctions  +
BasicFunctions 

Basic functions.

StringTableMathsFunctions  +
StringTableMathsFunctions 

String, table and maths functions.

CoroutinesIOSystemFacilities  +
CoroutinesIOSystemFacilities 

Coroutines, I/O and system facilities.

KeywordSet5  +
KeywordSet5 

A keyword defined in keyword set number 5. The class must be sub-classed and re-implement keywords() to make use of this style.

KeywordSet6  +
KeywordSet6 

A keyword defined in keyword set number 6. The class must be sub-classed and re-implement keywords() to make use of this style.

KeywordSet7  +
KeywordSet7 

A keyword defined in keyword set number 7. The class must be sub-classed and re-implement keywords() to make use of this style.

KeywordSet8  +
KeywordSet8 

A keyword defined in keyword set number 8. The class must be sub-classed and re-implement keywords() to make use of this style.

Label  +
Label 

A label.

- - -

Constructor & Destructor Documentation

- +

Constructor & Destructor Documentation

+
@@ -230,134 +326,175 @@
-
-
-

Construct a QsciLexerLua with parent parent. parent is typically the QsciScintilla instance.

+
+

Construct a QsciLexerLua with parent parent. parent is typically the QsciScintilla instance.

-

Member Function Documentation

- +

Member Function Documentation

+
+ + + + + +
- +
const char* QsciLexerLua::lexer ( ) const [virtual] const
- -
+
+virtual
+

Returns the name of the lexer. Some lexers support a number of languages.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerLua::defaultColor ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the foreground colour of the text for style number style.

-
See also:
defaultPaper()
+
See also
defaultPaper()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerLua::defaultPaper ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the background colour of the text for style number style.

-
See also:
defaultColor()
+
See also
defaultColor()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
const char* QsciLexerLua::keywords ( int  set) const [virtual] const
- -
+
+virtual
+

Returns the set of keywords for the keyword set set recognised by the lexer as a space separated string.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QString QsciLexerLua::description ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the descriptive name for style number style. If the style is invalid for this language then an empty QString is returned. This is intended to be used in user preference dialogs.

Implements QsciLexer.

- +
+ + + + + +
- +
void QsciLexerLua::refreshProperties ( ) [virtual]
- -
+
+virtual
+

Causes all properties to be refreshed by emitting the propertyChanged() signal as required.

Reimplemented from QsciLexer.

- +
@@ -368,35 +505,44 @@
const
-
-
+

Returns true if trailing blank lines are included in a fold block.

-
See also:
setFoldCompact()
+
See also
setFoldCompact()
- +
+ + + + + +
- +
virtual void QsciLexerLua::setFoldCompact ( bool  fold) [virtual, slot]
- -
+
+virtualslot
+

If fold is true then trailing blank lines are included in a fold block. The default is true.

-
See also:
foldCompact()
+
See also
foldCompact()
- +
+ + + + + +
@@ -413,20 +559,27 @@ - +
bool QsciLexerLua::readProperties
) [protected, virtual]
- -
+
+protectedvirtual
+

The lexer's properties are read from the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

Reimplemented from QsciLexer.

- +
+ + + + + +
@@ -443,25 +596,27 @@ - +
bool QsciLexerLua::writeProperties
) const [protected, virtual] const
- -
+
+protectedvirtual
+

The lexer's properties are written to the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

Reimplemented from QsciLexer.

- - - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerLua-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerLua-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerLua-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerLua-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerLua Member List
-
+
-This is the complete list of members for QsciLexerLua, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexer [virtual]
autoCompletionWordSeparators() const (defined in QsciLexerLua)QsciLexerLua [virtual]
autoIndentStyle()QsciLexer
BasicFunctions enum valueQsciLexerLua
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockLookback() const (defined in QsciLexer)QsciLexer [virtual]
blockStart(int *style=0) const (defined in QsciLexerLua)QsciLexerLua [virtual]
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
braceStyle() const (defined in QsciLexerLua)QsciLexerLua [virtual]
caseSensitive() const (defined in QsciLexer)QsciLexer [virtual]
Character enum valueQsciLexerLua
color(int style) const QsciLexer [virtual]
colorChanged(const QColor &c, int style)QsciLexer [signal]
Comment enum valueQsciLexerLua
CoroutinesIOSystemFacilities enum valueQsciLexerLua
Default enum valueQsciLexerLua
defaultColor(int style) const QsciLexerLua [virtual]
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerLua [virtual]
defaultFont(int style) const QsciLexerLua [virtual]
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerLua [virtual]
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexer [virtual]
description(int style) const QsciLexerLua [virtual]
editor() const QsciLexer [inline]
eolFill(int style) const QsciLexer [virtual]
eolFillChanged(bool eolfilled, int style)QsciLexer [signal]
foldCompact() const QsciLexerLua
font(int style) const QsciLexer [virtual]
fontChanged(const QFont &f, int style)QsciLexer [signal]
Identifier enum valueQsciLexerLua
indentationGuideView() const (defined in QsciLexer)QsciLexer [virtual]
Keyword enum valueQsciLexerLua
keywords(int set) const QsciLexerLua [virtual]
KeywordSet5 enum valueQsciLexerLua
KeywordSet6 enum valueQsciLexerLua
KeywordSet7 enum valueQsciLexerLua
KeywordSet8 enum valueQsciLexerLua
Label enum valueQsciLexerLua
language() const QsciLexerLua [virtual]
lexer() const QsciLexerLua [virtual]
lexerId() const QsciLexer [virtual]
LineComment enum valueQsciLexerLua
LiteralString enum valueQsciLexerLua
Number enum valueQsciLexerLua
Operator enum valueQsciLexerLua
paper(int style) const QsciLexer [virtual]
paperChanged(const QColor &c, int style)QsciLexer [signal]
Preprocessor enum valueQsciLexerLua
propertyChanged(const char *prop, const char *val)QsciLexer [signal]
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerLua(QObject *parent=0)QsciLexerLua
readProperties(QSettings &qs, const QString &prefix)QsciLexerLua [protected, virtual]
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerLua [virtual]
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexer [virtual, slot]
setColor(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexer [virtual]
setEolFill(bool eoffill, int style=-1)QsciLexer [virtual, slot]
setFoldCompact(bool fold)QsciLexerLua [virtual, slot]
setFont(const QFont &f, int style=-1)QsciLexer [virtual, slot]
setPaper(const QColor &c, int style=-1)QsciLexer [virtual, slot]
String enum valueQsciLexerLua
StringTableMathsFunctions enum valueQsciLexerLua
styleBitsNeeded() const QsciLexer [virtual]
UnclosedString enum valueQsciLexerLua
wordCharacters() const QsciLexer [virtual]
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerLua [protected, virtual]
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexer [virtual]
~QsciLexerLua()QsciLexerLua [virtual]
- +

This is the complete list of members for QsciLexerLua, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexervirtual
autoCompletionWordSeparators() const (defined in QsciLexerLua)QsciLexerLuavirtual
autoIndentStyle()QsciLexer
BasicFunctions enum valueQsciLexerLua
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockLookback() const (defined in QsciLexer)QsciLexervirtual
blockStart(int *style=0) const (defined in QsciLexerLua)QsciLexerLuavirtual
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexervirtual
braceStyle() const (defined in QsciLexerLua)QsciLexerLuavirtual
caseSensitive() const (defined in QsciLexer)QsciLexervirtual
Character enum valueQsciLexerLua
color(int style) const QsciLexervirtual
colorChanged(const QColor &c, int style)QsciLexersignal
Comment enum valueQsciLexerLua
CoroutinesIOSystemFacilities enum valueQsciLexerLua
Default enum valueQsciLexerLua
defaultColor(int style) const QsciLexerLuavirtual
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerLuavirtual
defaultFont(int style) const QsciLexerLuavirtual
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerLuavirtual
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexervirtual
description(int style) const QsciLexerLuavirtual
editor() const QsciLexerinline
eolFill(int style) const QsciLexervirtual
eolFillChanged(bool eolfilled, int style)QsciLexersignal
foldCompact() const QsciLexerLua
font(int style) const QsciLexervirtual
fontChanged(const QFont &f, int style)QsciLexersignal
Identifier enum valueQsciLexerLua
indentationGuideView() const (defined in QsciLexer)QsciLexervirtual
Keyword enum valueQsciLexerLua
keywords(int set) const QsciLexerLuavirtual
KeywordSet5 enum valueQsciLexerLua
KeywordSet6 enum valueQsciLexerLua
KeywordSet7 enum valueQsciLexerLua
KeywordSet8 enum valueQsciLexerLua
Label enum valueQsciLexerLua
language() const QsciLexerLuavirtual
lexer() const QsciLexerLuavirtual
lexerId() const QsciLexervirtual
LineComment enum valueQsciLexerLua
LiteralString enum valueQsciLexerLua
Number enum valueQsciLexerLua
Operator enum valueQsciLexerLua
paper(int style) const QsciLexervirtual
paperChanged(const QColor &c, int style)QsciLexersignal
Preprocessor enum valueQsciLexerLua
propertyChanged(const char *prop, const char *val)QsciLexersignal
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerLua(QObject *parent=0)QsciLexerLua
readProperties(QSettings &qs, const QString &prefix)QsciLexerLuaprotectedvirtual
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerLuavirtual
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexervirtualslot
setColor(const QColor &c, int style=-1)QsciLexervirtualslot
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexervirtual
setEolFill(bool eoffill, int style=-1)QsciLexervirtualslot
setFoldCompact(bool fold)QsciLexerLuavirtualslot
setFont(const QFont &f, int style=-1)QsciLexervirtualslot
setPaper(const QColor &c, int style=-1)QsciLexervirtualslot
String enum valueQsciLexerLua
StringTableMathsFunctions enum valueQsciLexerLua
styleBitsNeeded() const QsciLexervirtual
UnclosedString enum valueQsciLexerLua
wordCharacters() const QsciLexervirtual
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerLuaprotectedvirtual
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexervirtual
~QsciLexerLua()QsciLexerLuavirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerMakefile.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerMakefile.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerMakefile.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerMakefile.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciLexerMakefile Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerMakefile Class Reference
- +
- +

#include <qscilexermakefile.h>

Inherits QsciLexer.

- -

List of all members.

-

-Public Types

- -

-Public Member Functions

- -

Detailed Description

-

The QsciLexerMakefile class encapsulates the Scintilla Makefile lexer.

-

Member Enumeration Documentation

- + + + + +

+Public Types

enum  {
+  Default = 0, +Comment = 1, +Preprocessor = 2, +
+  Variable = 3, +Operator = 4, +Target = 5, +
+  Error = 9 +
+ }
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 QsciLexerMakefile (QObject *parent=0)
 
+virtual ~QsciLexerMakefile ()
 
+const char * language () const
 
const char * lexer () const
 
+const char * wordCharacters () const
 
QColor defaultColor (int style) const
 
+bool defaultEolFill (int style) const
 
+QFont defaultFont (int style) const
 
QColor defaultPaper (int style) const
 
QString description (int style) const
 
- Public Member Functions inherited from QsciLexer
 QsciLexer (QObject *parent=0)
 
+virtual ~QsciLexer ()
 
virtual int lexerId () const
 
QsciAbstractAPIsapis () const
 
+virtual const char * autoCompletionFillups () const
 
+virtual QStringList autoCompletionWordSeparators () const
 
int autoIndentStyle ()
 
+virtual const char * blockEnd (int *style=0) const
 
+virtual int blockLookback () const
 
+virtual const char * blockStart (int *style=0) const
 
+virtual const char * blockStartKeyword (int *style=0) const
 
+virtual int braceStyle () const
 
+virtual bool caseSensitive () const
 
virtual QColor color (int style) const
 
virtual bool eolFill (int style) const
 
virtual QFont font (int style) const
 
+virtual int indentationGuideView () const
 
virtual const char * keywords (int set) const
 
+virtual int defaultStyle () const
 
virtual QColor paper (int style) const
 
QColor defaultColor () const
 
QFont defaultFont () const
 
QColor defaultPaper () const
 
QsciScintillaeditor () const
 
void setAPIs (QsciAbstractAPIs *apis)
 
void setDefaultColor (const QColor &c)
 
void setDefaultFont (const QFont &f)
 
void setDefaultPaper (const QColor &c)
 
+virtual void setEditor (QsciScintilla *editor)
 
bool readSettings (QSettings &qs, const char *prefix="/Scintilla")
 
virtual void refreshProperties ()
 
virtual int styleBitsNeeded () const
 
bool writeSettings (QSettings &qs, const char *prefix="/Scintilla") const
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Additional Inherited Members

- Public Slots inherited from QsciLexer
virtual void setAutoIndentStyle (int autoindentstyle)
 
virtual void setColor (const QColor &c, int style=-1)
 
virtual void setEolFill (bool eoffill, int style=-1)
 
virtual void setFont (const QFont &f, int style=-1)
 
virtual void setPaper (const QColor &c, int style=-1)
 
- Signals inherited from QsciLexer
void colorChanged (const QColor &c, int style)
 
void eolFillChanged (bool eolfilled, int style)
 
void fontChanged (const QFont &f, int style)
 
void paperChanged (const QColor &c, int style)
 
void propertyChanged (const char *prop, const char *val)
 
- Protected Member Functions inherited from QsciLexer
virtual bool readProperties (QSettings &qs, const QString &prefix)
 
virtual bool writeProperties (QSettings &qs, const QString &prefix) const
 
+

Detailed Description

+

The QsciLexerMakefile class encapsulates the Scintilla Makefile lexer.

+

Member Enumeration Documentation

+
@@ -110,39 +219,36 @@
anonymous enum
-
-
+

This enum defines the meanings of the different styles used by the Makefile lexer.

-
Enumerator:
-
Default  + + - - - - - -
Enumerator
Default 

The default.

Comment  +
Comment 

A comment.

Preprocessor  +
Preprocessor 

A pre-processor directive.

Variable  +
Variable 

A variable.

Operator  +
Operator 

An operator.

Target  +
Target 

A target.

Error  +
Error 

An error.

- - -

Constructor & Destructor Documentation

- +

Constructor & Destructor Documentation

+
@@ -154,102 +260,127 @@
-
-
-

Construct a QsciLexerMakefile with parent parent. parent is typically the QsciScintilla instance.

+
+

Construct a QsciLexerMakefile with parent parent. parent is typically the QsciScintilla instance.

-

Member Function Documentation

- +

Member Function Documentation

+
+ + + + + +
- +
const char* QsciLexerMakefile::lexer ( ) const [virtual] const
- -
+
+virtual
+

Returns the name of the lexer. Some lexers support a number of languages.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerMakefile::defaultColor ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the foreground colour of the text for style number style.

-
See also:
defaultPaper()
+
See also
defaultPaper()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerMakefile::defaultPaper ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the background colour of the text for style number style.

-
See also:
defaultColor()
+
See also
defaultColor()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QString QsciLexerMakefile::description ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the descriptive name for style number style. If the style is invalid for this language then an empty QString is returned. This is intended to be used in user preference dialogs.

Implements QsciLexer.

- - - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerMakefile-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerMakefile-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerMakefile-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerMakefile-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerMakefile Member List
-
+
-This is the complete list of members for QsciLexerMakefile, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexer [virtual]
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexer [virtual]
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockLookback() const (defined in QsciLexer)QsciLexer [virtual]
blockStart(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
braceStyle() const (defined in QsciLexer)QsciLexer [virtual]
caseSensitive() const (defined in QsciLexer)QsciLexer [virtual]
color(int style) const QsciLexer [virtual]
colorChanged(const QColor &c, int style)QsciLexer [signal]
Comment enum valueQsciLexerMakefile
Default enum valueQsciLexerMakefile
defaultColor(int style) const QsciLexerMakefile [virtual]
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerMakefile [virtual]
defaultFont(int style) const QsciLexerMakefile [virtual]
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerMakefile [virtual]
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexer [virtual]
description(int style) const QsciLexerMakefile [virtual]
editor() const QsciLexer [inline]
eolFill(int style) const QsciLexer [virtual]
eolFillChanged(bool eolfilled, int style)QsciLexer [signal]
Error enum valueQsciLexerMakefile
font(int style) const QsciLexer [virtual]
fontChanged(const QFont &f, int style)QsciLexer [signal]
indentationGuideView() const (defined in QsciLexer)QsciLexer [virtual]
keywords(int set) const QsciLexer [virtual]
language() const QsciLexerMakefile [virtual]
lexer() const QsciLexerMakefile [virtual]
lexerId() const QsciLexer [virtual]
Operator enum valueQsciLexerMakefile
paper(int style) const QsciLexer [virtual]
paperChanged(const QColor &c, int style)QsciLexer [signal]
Preprocessor enum valueQsciLexerMakefile
propertyChanged(const char *prop, const char *val)QsciLexer [signal]
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerMakefile(QObject *parent=0)QsciLexerMakefile
readProperties(QSettings &qs, const QString &prefix)QsciLexer [protected, virtual]
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexer [virtual]
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexer [virtual, slot]
setColor(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexer [virtual]
setEolFill(bool eoffill, int style=-1)QsciLexer [virtual, slot]
setFont(const QFont &f, int style=-1)QsciLexer [virtual, slot]
setPaper(const QColor &c, int style=-1)QsciLexer [virtual, slot]
styleBitsNeeded() const QsciLexer [virtual]
Target enum valueQsciLexerMakefile
Variable enum valueQsciLexerMakefile
wordCharacters() const QsciLexerMakefile [virtual]
writeProperties(QSettings &qs, const QString &prefix) const QsciLexer [protected, virtual]
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexer [virtual]
~QsciLexerMakefile()QsciLexerMakefile [virtual]
- +

This is the complete list of members for QsciLexerMakefile, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexervirtual
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexervirtual
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockLookback() const (defined in QsciLexer)QsciLexervirtual
blockStart(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexervirtual
braceStyle() const (defined in QsciLexer)QsciLexervirtual
caseSensitive() const (defined in QsciLexer)QsciLexervirtual
color(int style) const QsciLexervirtual
colorChanged(const QColor &c, int style)QsciLexersignal
Comment enum valueQsciLexerMakefile
Default enum valueQsciLexerMakefile
defaultColor(int style) const QsciLexerMakefilevirtual
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerMakefilevirtual
defaultFont(int style) const QsciLexerMakefilevirtual
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerMakefilevirtual
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexervirtual
description(int style) const QsciLexerMakefilevirtual
editor() const QsciLexerinline
eolFill(int style) const QsciLexervirtual
eolFillChanged(bool eolfilled, int style)QsciLexersignal
Error enum valueQsciLexerMakefile
font(int style) const QsciLexervirtual
fontChanged(const QFont &f, int style)QsciLexersignal
indentationGuideView() const (defined in QsciLexer)QsciLexervirtual
keywords(int set) const QsciLexervirtual
language() const QsciLexerMakefilevirtual
lexer() const QsciLexerMakefilevirtual
lexerId() const QsciLexervirtual
Operator enum valueQsciLexerMakefile
paper(int style) const QsciLexervirtual
paperChanged(const QColor &c, int style)QsciLexersignal
Preprocessor enum valueQsciLexerMakefile
propertyChanged(const char *prop, const char *val)QsciLexersignal
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerMakefile(QObject *parent=0)QsciLexerMakefile
readProperties(QSettings &qs, const QString &prefix)QsciLexerprotectedvirtual
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexervirtual
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexervirtualslot
setColor(const QColor &c, int style=-1)QsciLexervirtualslot
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexervirtual
setEolFill(bool eoffill, int style=-1)QsciLexervirtualslot
setFont(const QFont &f, int style=-1)QsciLexervirtualslot
setPaper(const QColor &c, int style=-1)QsciLexervirtualslot
styleBitsNeeded() const QsciLexervirtual
Target enum valueQsciLexerMakefile
Variable enum valueQsciLexerMakefile
wordCharacters() const QsciLexerMakefilevirtual
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerprotectedvirtual
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexervirtual
~QsciLexerMakefile()QsciLexerMakefilevirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerMatlab.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerMatlab.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerMatlab.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerMatlab.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciLexerMatlab Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerMatlab Class Reference
- +
- +

#include <qscilexermatlab.h>

Inherits QsciLexer.

Inherited by QsciLexerOctave.

- -

List of all members.

-

-Public Types

- -

-Public Member Functions

- -

Detailed Description

-

The QsciLexerMatlab class encapsulates the Scintilla Matlab file lexer.

-

Member Enumeration Documentation

- + + + + +

+Public Types

enum  {
+  Default = 0, +Comment = 1, +Command = 2, +
+  Number = 3, +Keyword = 4, +SingleQuotedString = 5, +
+  Operator = 6, +Identifier = 7, +DoubleQuotedString = 8 +
+ }
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 QsciLexerMatlab (QObject *parent=0)
 
+virtual ~QsciLexerMatlab ()
 
+const char * language () const
 
const char * lexer () const
 
QColor defaultColor (int style) const
 
+QFont defaultFont (int style) const
 
const char * keywords (int set) const
 
QString description (int style) const
 
- Public Member Functions inherited from QsciLexer
 QsciLexer (QObject *parent=0)
 
+virtual ~QsciLexer ()
 
virtual int lexerId () const
 
QsciAbstractAPIsapis () const
 
+virtual const char * autoCompletionFillups () const
 
+virtual QStringList autoCompletionWordSeparators () const
 
int autoIndentStyle ()
 
+virtual const char * blockEnd (int *style=0) const
 
+virtual int blockLookback () const
 
+virtual const char * blockStart (int *style=0) const
 
+virtual const char * blockStartKeyword (int *style=0) const
 
+virtual int braceStyle () const
 
+virtual bool caseSensitive () const
 
virtual QColor color (int style) const
 
virtual bool eolFill (int style) const
 
virtual QFont font (int style) const
 
+virtual int indentationGuideView () const
 
+virtual int defaultStyle () const
 
virtual QColor paper (int style) const
 
QColor defaultColor () const
 
virtual bool defaultEolFill (int style) const
 
QFont defaultFont () const
 
QColor defaultPaper () const
 
+virtual QColor defaultPaper (int style) const
 
QsciScintillaeditor () const
 
void setAPIs (QsciAbstractAPIs *apis)
 
void setDefaultColor (const QColor &c)
 
void setDefaultFont (const QFont &f)
 
void setDefaultPaper (const QColor &c)
 
+virtual void setEditor (QsciScintilla *editor)
 
bool readSettings (QSettings &qs, const char *prefix="/Scintilla")
 
virtual void refreshProperties ()
 
virtual int styleBitsNeeded () const
 
virtual const char * wordCharacters () const
 
bool writeSettings (QSettings &qs, const char *prefix="/Scintilla") const
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Additional Inherited Members

- Public Slots inherited from QsciLexer
virtual void setAutoIndentStyle (int autoindentstyle)
 
virtual void setColor (const QColor &c, int style=-1)
 
virtual void setEolFill (bool eoffill, int style=-1)
 
virtual void setFont (const QFont &f, int style=-1)
 
virtual void setPaper (const QColor &c, int style=-1)
 
- Signals inherited from QsciLexer
void colorChanged (const QColor &c, int style)
 
void eolFillChanged (bool eolfilled, int style)
 
void fontChanged (const QFont &f, int style)
 
void paperChanged (const QColor &c, int style)
 
void propertyChanged (const char *prop, const char *val)
 
- Protected Member Functions inherited from QsciLexer
virtual bool readProperties (QSettings &qs, const QString &prefix)
 
virtual bool writeProperties (QSettings &qs, const QString &prefix) const
 
+

Detailed Description

+

The QsciLexerMatlab class encapsulates the Scintilla Matlab file lexer.

+

Member Enumeration Documentation

+
@@ -110,45 +222,42 @@
anonymous enum
-
-
+

This enum defines the meanings of the different styles used by the Matlab file lexer.

-
Enumerator:
-
Default  + + - - - - - - - -
Enumerator
Default 

The default.

Comment  +
Comment 

A comment.

Command  +
Command 

A command.

Number  +
Number 

A number.

Keyword  +
Keyword 

A keyword.

SingleQuotedString  +
SingleQuotedString 

A single quoted string.

Operator  +
Operator 

An operator.

Identifier  +
Identifier 

An identifier.

DoubleQuotedString  +
DoubleQuotedString 

A double quoted string.

- - -

Constructor & Destructor Documentation

- +

Constructor & Destructor Documentation

+
@@ -160,26 +269,32 @@
-
-
-

Construct a QsciLexerMatlab with parent parent. parent is typically the QsciScintilla instance.

+
+

Construct a QsciLexerMatlab with parent parent. parent is typically the QsciScintilla instance.

-

Member Function Documentation

- +

Member Function Documentation

+
+ + + + + +
- +
const char* QsciLexerMatlab::lexer ( ) const [virtual] const
- -
+
+virtual
+

Returns the name of the lexer. Some lexers support a number of languages.

Reimplemented from QsciLexer.

@@ -188,41 +303,55 @@
- +
+ + + + + +
- +
QColor QsciLexerMatlab::defaultColor ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the foreground colour of the text for style number style.

-
See also:
defaultPaper()
+
See also
defaultPaper()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
const char* QsciLexerMatlab::keywords ( int  set) const [virtual] const
- -
+
+virtual
+

Returns the set of keywords for the keyword set set recognised by the lexer as a space separated string.

Reimplemented from QsciLexer.

@@ -231,34 +360,39 @@
- +
+ + + + + +
- +
QString QsciLexerMatlab::description ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the descriptive name for style number style. If the style is invalid for this language then an empty QString is returned. This is intended to be used in user preference dialogs.

Implements QsciLexer.

- - - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerMatlab-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerMatlab-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerMatlab-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerMatlab-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerMatlab Member List
-
+
-This is the complete list of members for QsciLexerMatlab, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexer [virtual]
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexer [virtual]
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockLookback() const (defined in QsciLexer)QsciLexer [virtual]
blockStart(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
braceStyle() const (defined in QsciLexer)QsciLexer [virtual]
caseSensitive() const (defined in QsciLexer)QsciLexer [virtual]
color(int style) const QsciLexer [virtual]
colorChanged(const QColor &c, int style)QsciLexer [signal]
Command enum valueQsciLexerMatlab
Comment enum valueQsciLexerMatlab
Default enum valueQsciLexerMatlab
defaultColor(int style) const QsciLexerMatlab [virtual]
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexer [virtual]
defaultFont(int style) const QsciLexerMatlab [virtual]
QsciLexer::defaultFont() const QsciLexer
defaultPaper() const QsciLexer
defaultPaper(int style) const QsciLexer [virtual]
defaultStyle() const (defined in QsciLexer)QsciLexer [virtual]
description(int style) const QsciLexerMatlab [virtual]
DoubleQuotedString enum valueQsciLexerMatlab
editor() const QsciLexer [inline]
eolFill(int style) const QsciLexer [virtual]
eolFillChanged(bool eolfilled, int style)QsciLexer [signal]
font(int style) const QsciLexer [virtual]
fontChanged(const QFont &f, int style)QsciLexer [signal]
Identifier enum valueQsciLexerMatlab
indentationGuideView() const (defined in QsciLexer)QsciLexer [virtual]
Keyword enum valueQsciLexerMatlab
keywords(int set) const QsciLexerMatlab [virtual]
language() const QsciLexerMatlab [virtual]
lexer() const QsciLexerMatlab [virtual]
lexerId() const QsciLexer [virtual]
Number enum valueQsciLexerMatlab
Operator enum valueQsciLexerMatlab
paper(int style) const QsciLexer [virtual]
paperChanged(const QColor &c, int style)QsciLexer [signal]
propertyChanged(const char *prop, const char *val)QsciLexer [signal]
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerMatlab(QObject *parent=0)QsciLexerMatlab
readProperties(QSettings &qs, const QString &prefix)QsciLexer [protected, virtual]
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexer [virtual]
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexer [virtual, slot]
setColor(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexer [virtual]
setEolFill(bool eoffill, int style=-1)QsciLexer [virtual, slot]
setFont(const QFont &f, int style=-1)QsciLexer [virtual, slot]
setPaper(const QColor &c, int style=-1)QsciLexer [virtual, slot]
SingleQuotedString enum valueQsciLexerMatlab
styleBitsNeeded() const QsciLexer [virtual]
wordCharacters() const QsciLexer [virtual]
writeProperties(QSettings &qs, const QString &prefix) const QsciLexer [protected, virtual]
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexer [virtual]
~QsciLexerMatlab()QsciLexerMatlab [virtual]
- +

This is the complete list of members for QsciLexerMatlab, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexervirtual
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexervirtual
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockLookback() const (defined in QsciLexer)QsciLexervirtual
blockStart(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexervirtual
braceStyle() const (defined in QsciLexer)QsciLexervirtual
caseSensitive() const (defined in QsciLexer)QsciLexervirtual
color(int style) const QsciLexervirtual
colorChanged(const QColor &c, int style)QsciLexersignal
Command enum valueQsciLexerMatlab
Comment enum valueQsciLexerMatlab
Default enum valueQsciLexerMatlab
defaultColor(int style) const QsciLexerMatlabvirtual
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexervirtual
defaultFont(int style) const QsciLexerMatlabvirtual
QsciLexer::defaultFont() const QsciLexer
defaultPaper() const QsciLexer
defaultPaper(int style) const QsciLexervirtual
defaultStyle() const (defined in QsciLexer)QsciLexervirtual
description(int style) const QsciLexerMatlabvirtual
DoubleQuotedString enum valueQsciLexerMatlab
editor() const QsciLexerinline
eolFill(int style) const QsciLexervirtual
eolFillChanged(bool eolfilled, int style)QsciLexersignal
font(int style) const QsciLexervirtual
fontChanged(const QFont &f, int style)QsciLexersignal
Identifier enum valueQsciLexerMatlab
indentationGuideView() const (defined in QsciLexer)QsciLexervirtual
Keyword enum valueQsciLexerMatlab
keywords(int set) const QsciLexerMatlabvirtual
language() const QsciLexerMatlabvirtual
lexer() const QsciLexerMatlabvirtual
lexerId() const QsciLexervirtual
Number enum valueQsciLexerMatlab
Operator enum valueQsciLexerMatlab
paper(int style) const QsciLexervirtual
paperChanged(const QColor &c, int style)QsciLexersignal
propertyChanged(const char *prop, const char *val)QsciLexersignal
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerMatlab(QObject *parent=0)QsciLexerMatlab
readProperties(QSettings &qs, const QString &prefix)QsciLexerprotectedvirtual
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexervirtual
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexervirtualslot
setColor(const QColor &c, int style=-1)QsciLexervirtualslot
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexervirtual
setEolFill(bool eoffill, int style=-1)QsciLexervirtualslot
setFont(const QFont &f, int style=-1)QsciLexervirtualslot
setPaper(const QColor &c, int style=-1)QsciLexervirtualslot
SingleQuotedString enum valueQsciLexerMatlab
styleBitsNeeded() const QsciLexervirtual
wordCharacters() const QsciLexervirtual
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerprotectedvirtual
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexervirtual
~QsciLexerMatlab()QsciLexerMatlabvirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexer-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexer-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexer-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexer-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexer Member List
-
+
-This is the complete list of members for QsciLexer, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexer [virtual]
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexer [virtual]
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockLookback() const (defined in QsciLexer)QsciLexer [virtual]
blockStart(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
braceStyle() const (defined in QsciLexer)QsciLexer [virtual]
caseSensitive() const (defined in QsciLexer)QsciLexer [virtual]
color(int style) const QsciLexer [virtual]
colorChanged(const QColor &c, int style)QsciLexer [signal]
defaultColor() const QsciLexer
defaultColor(int style) const QsciLexer [virtual]
defaultEolFill(int style) const QsciLexer [virtual]
defaultFont() const QsciLexer
defaultFont(int style) const QsciLexer [virtual]
defaultPaper() const QsciLexer
defaultPaper(int style) const QsciLexer [virtual]
defaultStyle() const (defined in QsciLexer)QsciLexer [virtual]
description(int style) const =0QsciLexer [pure virtual]
editor() const QsciLexer [inline]
eolFill(int style) const QsciLexer [virtual]
eolFillChanged(bool eolfilled, int style)QsciLexer [signal]
font(int style) const QsciLexer [virtual]
fontChanged(const QFont &f, int style)QsciLexer [signal]
indentationGuideView() const (defined in QsciLexer)QsciLexer [virtual]
keywords(int set) const QsciLexer [virtual]
language() const =0QsciLexer [pure virtual]
lexer() const QsciLexer [virtual]
lexerId() const QsciLexer [virtual]
paper(int style) const QsciLexer [virtual]
paperChanged(const QColor &c, int style)QsciLexer [signal]
propertyChanged(const char *prop, const char *val)QsciLexer [signal]
QsciLexer(QObject *parent=0)QsciLexer
readProperties(QSettings &qs, const QString &prefix)QsciLexer [protected, virtual]
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexer [virtual]
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexer [virtual, slot]
setColor(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexer [virtual]
setEolFill(bool eoffill, int style=-1)QsciLexer [virtual, slot]
setFont(const QFont &f, int style=-1)QsciLexer [virtual, slot]
setPaper(const QColor &c, int style=-1)QsciLexer [virtual, slot]
styleBitsNeeded() const QsciLexer [virtual]
wordCharacters() const QsciLexer [virtual]
writeProperties(QSettings &qs, const QString &prefix) const QsciLexer [protected, virtual]
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexer [virtual]
- +

This is the complete list of members for QsciLexer, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexervirtual
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexervirtual
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockLookback() const (defined in QsciLexer)QsciLexervirtual
blockStart(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexervirtual
braceStyle() const (defined in QsciLexer)QsciLexervirtual
caseSensitive() const (defined in QsciLexer)QsciLexervirtual
color(int style) const QsciLexervirtual
colorChanged(const QColor &c, int style)QsciLexersignal
defaultColor() const QsciLexer
defaultColor(int style) const QsciLexervirtual
defaultEolFill(int style) const QsciLexervirtual
defaultFont() const QsciLexer
defaultFont(int style) const QsciLexervirtual
defaultPaper() const QsciLexer
defaultPaper(int style) const QsciLexervirtual
defaultStyle() const (defined in QsciLexer)QsciLexervirtual
description(int style) const =0QsciLexerpure virtual
editor() const QsciLexerinline
eolFill(int style) const QsciLexervirtual
eolFillChanged(bool eolfilled, int style)QsciLexersignal
font(int style) const QsciLexervirtual
fontChanged(const QFont &f, int style)QsciLexersignal
indentationGuideView() const (defined in QsciLexer)QsciLexervirtual
keywords(int set) const QsciLexervirtual
language() const =0QsciLexerpure virtual
lexer() const QsciLexervirtual
lexerId() const QsciLexervirtual
paper(int style) const QsciLexervirtual
paperChanged(const QColor &c, int style)QsciLexersignal
propertyChanged(const char *prop, const char *val)QsciLexersignal
QsciLexer(QObject *parent=0)QsciLexer
readProperties(QSettings &qs, const QString &prefix)QsciLexerprotectedvirtual
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexervirtual
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexervirtualslot
setColor(const QColor &c, int style=-1)QsciLexervirtualslot
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexervirtual
setEolFill(bool eoffill, int style=-1)QsciLexervirtualslot
setFont(const QFont &f, int style=-1)QsciLexervirtualslot
setPaper(const QColor &c, int style=-1)QsciLexervirtualslot
styleBitsNeeded() const QsciLexervirtual
wordCharacters() const QsciLexervirtual
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerprotectedvirtual
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexervirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerOctave.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerOctave.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerOctave.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerOctave.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciLexerOctave Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerOctave Class Reference
- +
- +

#include <qscilexeroctave.h>

Inherits QsciLexerMatlab.

- -

List of all members.

-

-Public Member Functions

- -

Detailed Description

-

The QsciLexerOctave class encapsulates the Scintilla Octave file lexer.

-

Constructor & Destructor Documentation

- + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 QsciLexerOctave (QObject *parent=0)
 
+virtual ~QsciLexerOctave ()
 
+const char * language () const
 
const char * lexer () const
 
const char * keywords (int set) const
 
- Public Member Functions inherited from QsciLexerMatlab
 QsciLexerMatlab (QObject *parent=0)
 
+virtual ~QsciLexerMatlab ()
 
QColor defaultColor (int style) const
 
+QFont defaultFont (int style) const
 
QString description (int style) const
 
- Public Member Functions inherited from QsciLexer
 QsciLexer (QObject *parent=0)
 
+virtual ~QsciLexer ()
 
virtual int lexerId () const
 
QsciAbstractAPIsapis () const
 
+virtual const char * autoCompletionFillups () const
 
+virtual QStringList autoCompletionWordSeparators () const
 
int autoIndentStyle ()
 
+virtual const char * blockEnd (int *style=0) const
 
+virtual int blockLookback () const
 
+virtual const char * blockStart (int *style=0) const
 
+virtual const char * blockStartKeyword (int *style=0) const
 
+virtual int braceStyle () const
 
+virtual bool caseSensitive () const
 
virtual QColor color (int style) const
 
virtual bool eolFill (int style) const
 
virtual QFont font (int style) const
 
+virtual int indentationGuideView () const
 
+virtual int defaultStyle () const
 
virtual QColor paper (int style) const
 
QColor defaultColor () const
 
virtual bool defaultEolFill (int style) const
 
QFont defaultFont () const
 
QColor defaultPaper () const
 
+virtual QColor defaultPaper (int style) const
 
QsciScintillaeditor () const
 
void setAPIs (QsciAbstractAPIs *apis)
 
void setDefaultColor (const QColor &c)
 
void setDefaultFont (const QFont &f)
 
void setDefaultPaper (const QColor &c)
 
+virtual void setEditor (QsciScintilla *editor)
 
bool readSettings (QSettings &qs, const char *prefix="/Scintilla")
 
virtual void refreshProperties ()
 
virtual int styleBitsNeeded () const
 
virtual const char * wordCharacters () const
 
bool writeSettings (QSettings &qs, const char *prefix="/Scintilla") const
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Additional Inherited Members

- Public Types inherited from QsciLexerMatlab
enum  {
+  Default = 0, +Comment = 1, +Command = 2, +
+  Number = 3, +Keyword = 4, +SingleQuotedString = 5, +
+  Operator = 6, +Identifier = 7, +DoubleQuotedString = 8 +
+ }
 
- Public Slots inherited from QsciLexer
virtual void setAutoIndentStyle (int autoindentstyle)
 
virtual void setColor (const QColor &c, int style=-1)
 
virtual void setEolFill (bool eoffill, int style=-1)
 
virtual void setFont (const QFont &f, int style=-1)
 
virtual void setPaper (const QColor &c, int style=-1)
 
- Signals inherited from QsciLexer
void colorChanged (const QColor &c, int style)
 
void eolFillChanged (bool eolfilled, int style)
 
void fontChanged (const QFont &f, int style)
 
void paperChanged (const QColor &c, int style)
 
void propertyChanged (const char *prop, const char *val)
 
- Protected Member Functions inherited from QsciLexer
virtual bool readProperties (QSettings &qs, const QString &prefix)
 
virtual bool writeProperties (QSettings &qs, const QString &prefix) const
 
+

Detailed Description

+

The QsciLexerOctave class encapsulates the Scintilla Octave file lexer.

+

Constructor & Destructor Documentation

+
@@ -89,60 +227,71 @@
-
-
-

Construct a QsciLexerOctave with parent parent. parent is typically the QsciScintilla instance.

+
+

Construct a QsciLexerOctave with parent parent. parent is typically the QsciScintilla instance.

-

Member Function Documentation

- +

Member Function Documentation

+
+ + + + + +
- +
const char* QsciLexerOctave::lexer ( ) const [virtual] const
- -
+
+virtual
+

Returns the name of the lexer. Some lexers support a number of languages.

Reimplemented from QsciLexerMatlab.

- +
+ + + + + +
- +
const char* QsciLexerOctave::keywords ( int  set) const [virtual] const
- -
+
+virtual
+

Returns the set of keywords for the keyword set set recognised by the lexer as a space separated string.

Reimplemented from QsciLexerMatlab.

-
- - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerOctave-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerOctave-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerOctave-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerOctave-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerOctave Member List
-
+
-This is the complete list of members for QsciLexerOctave, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexer [virtual]
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexer [virtual]
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockLookback() const (defined in QsciLexer)QsciLexer [virtual]
blockStart(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
braceStyle() const (defined in QsciLexer)QsciLexer [virtual]
caseSensitive() const (defined in QsciLexer)QsciLexer [virtual]
color(int style) const QsciLexer [virtual]
colorChanged(const QColor &c, int style)QsciLexer [signal]
Command enum valueQsciLexerMatlab
Comment enum valueQsciLexerMatlab
Default enum valueQsciLexerMatlab
defaultColor(int style) const QsciLexerMatlab [virtual]
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexer [virtual]
defaultFont(int style) const QsciLexerMatlab [virtual]
QsciLexer::defaultFont() const QsciLexer
defaultPaper() const QsciLexer
defaultPaper(int style) const QsciLexer [virtual]
defaultStyle() const (defined in QsciLexer)QsciLexer [virtual]
description(int style) const QsciLexerMatlab [virtual]
DoubleQuotedString enum valueQsciLexerMatlab
editor() const QsciLexer [inline]
eolFill(int style) const QsciLexer [virtual]
eolFillChanged(bool eolfilled, int style)QsciLexer [signal]
font(int style) const QsciLexer [virtual]
fontChanged(const QFont &f, int style)QsciLexer [signal]
Identifier enum valueQsciLexerMatlab
indentationGuideView() const (defined in QsciLexer)QsciLexer [virtual]
Keyword enum valueQsciLexerMatlab
keywords(int set) const QsciLexerOctave [virtual]
language() const QsciLexerOctave [virtual]
lexer() const QsciLexerOctave [virtual]
lexerId() const QsciLexer [virtual]
Number enum valueQsciLexerMatlab
Operator enum valueQsciLexerMatlab
paper(int style) const QsciLexer [virtual]
paperChanged(const QColor &c, int style)QsciLexer [signal]
propertyChanged(const char *prop, const char *val)QsciLexer [signal]
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerMatlab(QObject *parent=0)QsciLexerMatlab
QsciLexerOctave(QObject *parent=0)QsciLexerOctave
readProperties(QSettings &qs, const QString &prefix)QsciLexer [protected, virtual]
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexer [virtual]
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexer [virtual, slot]
setColor(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexer [virtual]
setEolFill(bool eoffill, int style=-1)QsciLexer [virtual, slot]
setFont(const QFont &f, int style=-1)QsciLexer [virtual, slot]
setPaper(const QColor &c, int style=-1)QsciLexer [virtual, slot]
SingleQuotedString enum valueQsciLexerMatlab
styleBitsNeeded() const QsciLexer [virtual]
wordCharacters() const QsciLexer [virtual]
writeProperties(QSettings &qs, const QString &prefix) const QsciLexer [protected, virtual]
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexer [virtual]
~QsciLexerMatlab()QsciLexerMatlab [virtual]
~QsciLexerOctave()QsciLexerOctave [virtual]
- +

This is the complete list of members for QsciLexerOctave, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexervirtual
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexervirtual
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockLookback() const (defined in QsciLexer)QsciLexervirtual
blockStart(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexervirtual
braceStyle() const (defined in QsciLexer)QsciLexervirtual
caseSensitive() const (defined in QsciLexer)QsciLexervirtual
color(int style) const QsciLexervirtual
colorChanged(const QColor &c, int style)QsciLexersignal
Command enum valueQsciLexerMatlab
Comment enum valueQsciLexerMatlab
Default enum valueQsciLexerMatlab
defaultColor(int style) const QsciLexerMatlabvirtual
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexervirtual
defaultFont(int style) const QsciLexerMatlabvirtual
QsciLexer::defaultFont() const QsciLexer
defaultPaper() const QsciLexer
defaultPaper(int style) const QsciLexervirtual
defaultStyle() const (defined in QsciLexer)QsciLexervirtual
description(int style) const QsciLexerMatlabvirtual
DoubleQuotedString enum valueQsciLexerMatlab
editor() const QsciLexerinline
eolFill(int style) const QsciLexervirtual
eolFillChanged(bool eolfilled, int style)QsciLexersignal
font(int style) const QsciLexervirtual
fontChanged(const QFont &f, int style)QsciLexersignal
Identifier enum valueQsciLexerMatlab
indentationGuideView() const (defined in QsciLexer)QsciLexervirtual
Keyword enum valueQsciLexerMatlab
keywords(int set) const QsciLexerOctavevirtual
language() const QsciLexerOctavevirtual
lexer() const QsciLexerOctavevirtual
lexerId() const QsciLexervirtual
Number enum valueQsciLexerMatlab
Operator enum valueQsciLexerMatlab
paper(int style) const QsciLexervirtual
paperChanged(const QColor &c, int style)QsciLexersignal
propertyChanged(const char *prop, const char *val)QsciLexersignal
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerMatlab(QObject *parent=0)QsciLexerMatlab
QsciLexerOctave(QObject *parent=0)QsciLexerOctave
readProperties(QSettings &qs, const QString &prefix)QsciLexerprotectedvirtual
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexervirtual
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexervirtualslot
setColor(const QColor &c, int style=-1)QsciLexervirtualslot
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexervirtual
setEolFill(bool eoffill, int style=-1)QsciLexervirtualslot
setFont(const QFont &f, int style=-1)QsciLexervirtualslot
setPaper(const QColor &c, int style=-1)QsciLexervirtualslot
SingleQuotedString enum valueQsciLexerMatlab
styleBitsNeeded() const QsciLexervirtual
wordCharacters() const QsciLexervirtual
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerprotectedvirtual
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexervirtual
~QsciLexerMatlab()QsciLexerMatlabvirtual
~QsciLexerOctave()QsciLexerOctavevirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerPascal.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerPascal.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerPascal.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerPascal.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciLexerPascal Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerPascal Class Reference
- +
- +

#include <qscilexerpascal.h>

Inherits QsciLexer.

- -

List of all members.

-

-Public Types

- -

-Public Slots

- -

-Public Member Functions

- -

-Protected Member Functions

- -

Detailed Description

-

The QsciLexerPascal class encapsulates the Scintilla Pascal lexer.

-

Member Enumeration Documentation

- + + + + +

+Public Types

enum  {
+  Default = 0, +Identifier = 1, +Comment = 2, +
+  CommentParenthesis = 3, +CommentLine = 4, +PreProcessor = 5, +
+  PreProcessorParenthesis = 6, +Number = 7, +HexNumber = 8, +
+  Keyword = 9, +SingleQuotedString = 10, +UnclosedString = 11, +
+  Character = 12, +Operator = 13, +Asm = 14 +
+ }
 
+ + + + + + + + + + + + + + + + + + +

+Public Slots

virtual void setFoldComments (bool fold)
 
virtual void setFoldCompact (bool fold)
 
virtual void setFoldPreprocessor (bool fold)
 
- Public Slots inherited from QsciLexer
virtual void setAutoIndentStyle (int autoindentstyle)
 
virtual void setColor (const QColor &c, int style=-1)
 
virtual void setEolFill (bool eoffill, int style=-1)
 
virtual void setFont (const QFont &f, int style=-1)
 
virtual void setPaper (const QColor &c, int style=-1)
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 QsciLexerPascal (QObject *parent=0)
 
+virtual ~QsciLexerPascal ()
 
+const char * language () const
 
const char * lexer () const
 
+QStringList autoCompletionWordSeparators () const
 
+const char * blockEnd (int *style=0) const
 
+const char * blockStart (int *style=0) const
 
+const char * blockStartKeyword (int *style=0) const
 
+int braceStyle () const
 
QColor defaultColor (int style) const
 
+bool defaultEolFill (int style) const
 
+QFont defaultFont (int style) const
 
QColor defaultPaper (int style) const
 
const char * keywords (int set) const
 
QString description (int style) const
 
void refreshProperties ()
 
bool foldComments () const
 
bool foldCompact () const
 
bool foldPreprocessor () const
 
void setSmartHighlighting (bool enabled)
 
bool smartHighlighting () const
 
- Public Member Functions inherited from QsciLexer
 QsciLexer (QObject *parent=0)
 
+virtual ~QsciLexer ()
 
virtual int lexerId () const
 
QsciAbstractAPIsapis () const
 
+virtual const char * autoCompletionFillups () const
 
int autoIndentStyle ()
 
+virtual int blockLookback () const
 
+virtual bool caseSensitive () const
 
virtual QColor color (int style) const
 
virtual bool eolFill (int style) const
 
virtual QFont font (int style) const
 
+virtual int indentationGuideView () const
 
+virtual int defaultStyle () const
 
virtual QColor paper (int style) const
 
QColor defaultColor () const
 
QFont defaultFont () const
 
QColor defaultPaper () const
 
QsciScintillaeditor () const
 
void setAPIs (QsciAbstractAPIs *apis)
 
void setDefaultColor (const QColor &c)
 
void setDefaultFont (const QFont &f)
 
void setDefaultPaper (const QColor &c)
 
+virtual void setEditor (QsciScintilla *editor)
 
bool readSettings (QSettings &qs, const char *prefix="/Scintilla")
 
virtual int styleBitsNeeded () const
 
virtual const char * wordCharacters () const
 
bool writeSettings (QSettings &qs, const char *prefix="/Scintilla") const
 
+ + + + + +

+Protected Member Functions

bool readProperties (QSettings &qs, const QString &prefix)
 
bool writeProperties (QSettings &qs, const QString &prefix) const
 
+ + + + + + + + + + + + +

+Additional Inherited Members

- Signals inherited from QsciLexer
void colorChanged (const QColor &c, int style)
 
void eolFillChanged (bool eolfilled, int style)
 
void fontChanged (const QFont &f, int style)
 
void paperChanged (const QColor &c, int style)
 
void propertyChanged (const char *prop, const char *val)
 
+

Detailed Description

+

The QsciLexerPascal class encapsulates the Scintilla Pascal lexer.

+

Member Enumeration Documentation

+
@@ -150,63 +251,60 @@
anonymous enum
-
-
+

This enum defines the meanings of the different styles used by the C++ lexer.

-
Enumerator:
-
Default  + + - - - - - - - - - - - - - -
Enumerator
Default 

The default.

Identifier  +
Identifier 

An identifier.

Comment  +
Comment 

A '{ ... }' style comment.

CommentParenthesis  +
CommentParenthesis 

A '(* ... *)' style comment.

CommentLine  +
CommentLine 

A comment line.

PreProcessor  +
PreProcessor 

A '{$ ... }' style pre-processor block.

PreProcessorParenthesis  +
PreProcessorParenthesis 

A '(*$ ... *)' style pre-processor block.

Number  +
Number 

A number.

HexNumber  +
HexNumber 

A hexadecimal number.

Keyword  +
Keyword 

A keyword.

SingleQuotedString  +
SingleQuotedString 

A single-quoted string.

UnclosedString  +
UnclosedString 

The end of a line where a string is not closed.

Character  +
Character 

A character.

Operator  +
Operator 

An operator.

Asm  +
Asm 

Inline Asm.

- - -

Constructor & Destructor Documentation

- +

Constructor & Destructor Documentation

+
@@ -218,134 +316,175 @@
-
-
-

Construct a QsciLexerPascal with parent parent. parent is typically the QsciScintilla instance.

+
+

Construct a QsciLexerPascal with parent parent. parent is typically the QsciScintilla instance.

-

Member Function Documentation

- +

Member Function Documentation

+
+ + + + + +
- +
const char* QsciLexerPascal::lexer ( ) const [virtual] const
- -
+
+virtual
+

Returns the name of the lexer. Some lexers support a number of languages.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerPascal::defaultColor ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the foreground colour of the text for style number style.

-
See also:
defaultPaper()
+
See also
defaultPaper()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerPascal::defaultPaper ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the background colour of the text for style number style.

-
See also:
defaultColor()
+
See also
defaultColor()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
const char* QsciLexerPascal::keywords ( int  set) const [virtual] const
- -
+
+virtual
+

Returns the set of keywords for the keyword set set recognised by the lexer as a space separated string.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QString QsciLexerPascal::description ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the descriptive name for style number style. If the style is invalid for this language then an empty QString is returned. This is intended to be used in user preference dialogs.

Implements QsciLexer.

- +
+ + + + + +
- +
void QsciLexerPascal::refreshProperties ( ) [virtual]
- -
+
+virtual
+

Causes all properties to be refreshed by emitting the propertyChanged() signal as required.

Reimplemented from QsciLexer.

- +
@@ -356,14 +495,13 @@
const
-
-
+

Returns true if multi-line comment blocks can be folded.

-
See also:
setFoldComments()
+
See also
setFoldComments()
- +
@@ -374,14 +512,13 @@
const
-
-
+

Returns true if trailing blank lines are included in a fold block.

-
See also:
setFoldCompact()
+
See also
setFoldCompact()
- +
@@ -392,14 +529,13 @@
const
-
-
+

Returns true if preprocessor blocks can be folded.

-
See also:
setFoldPreprocessor()
+
See also
setFoldPreprocessor()
- +
@@ -411,14 +547,13 @@
-
-
+

If enabled is true then some keywords will only be highlighted in an appropriate context (similar to how the Delphi IDE works). The default is true.

-
See also:
smartHighlighting()
+
See also
smartHighlighting()
- +
@@ -429,73 +564,96 @@
const
-
-
+

Returns true if some keywords will only be highlighted in an appropriate context (similar to how the Delphi IDE works).

-
See also:
setSmartHighlighting()
+
See also
setSmartHighlighting()
- +
+ + + + + +
- +
virtual void QsciLexerPascal::setFoldComments ( bool  fold) [virtual, slot]
- -
+
+virtualslot
+

If fold is true then multi-line comment blocks can be folded. The default is false.

-
See also:
foldComments()
+
See also
foldComments()
- +
+ + + + + +
- +
virtual void QsciLexerPascal::setFoldCompact ( bool  fold) [virtual, slot]
- -
+
+virtualslot
+

If fold is true then trailing blank lines are included in a fold block. The default is true.

-
See also:
foldCompact()
+
See also
foldCompact()
- +
+ + + + + +
- +
virtual void QsciLexerPascal::setFoldPreprocessor ( bool  fold) [virtual, slot]
- -
+
+virtualslot
+

If fold is true then preprocessor blocks can be folded. The default is true.

-
See also:
foldPreprocessor()
+
See also
foldPreprocessor()
- +
+ + + + + +
@@ -512,21 +670,28 @@ - +
bool QsciLexerPascal::readProperties
) [protected, virtual]
- -
+
+protectedvirtual
+

The lexer's properties are read from the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

-
See also:
writeProperties()
+
See also
writeProperties()

Reimplemented from QsciLexer.

- +
+ + + + + +
@@ -543,26 +708,28 @@ - +
bool QsciLexerPascal::writeProperties
) const [protected, virtual] const
- -
+
+protectedvirtual
+

The lexer's properties are written to the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

-
See also:
readProperties()
+
See also
readProperties()

Reimplemented from QsciLexer.

- - - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerPascal-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerPascal-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerPascal-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerPascal-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerPascal Member List
-
+
-This is the complete list of members for QsciLexerPascal, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
apis() const QsciLexer
Asm enum valueQsciLexerPascal
autoCompletionFillups() const (defined in QsciLexer)QsciLexer [virtual]
autoCompletionWordSeparators() const (defined in QsciLexerPascal)QsciLexerPascal [virtual]
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexerPascal)QsciLexerPascal [virtual]
blockLookback() const (defined in QsciLexer)QsciLexer [virtual]
blockStart(int *style=0) const (defined in QsciLexerPascal)QsciLexerPascal [virtual]
blockStartKeyword(int *style=0) const (defined in QsciLexerPascal)QsciLexerPascal [virtual]
braceStyle() const (defined in QsciLexerPascal)QsciLexerPascal [virtual]
caseSensitive() const (defined in QsciLexer)QsciLexer [virtual]
Character enum valueQsciLexerPascal
color(int style) const QsciLexer [virtual]
colorChanged(const QColor &c, int style)QsciLexer [signal]
Comment enum valueQsciLexerPascal
CommentLine enum valueQsciLexerPascal
CommentParenthesis enum valueQsciLexerPascal
Default enum valueQsciLexerPascal
defaultColor(int style) const QsciLexerPascal [virtual]
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerPascal [virtual]
defaultFont(int style) const QsciLexerPascal [virtual]
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerPascal [virtual]
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexer [virtual]
description(int style) const QsciLexerPascal [virtual]
editor() const QsciLexer [inline]
eolFill(int style) const QsciLexer [virtual]
eolFillChanged(bool eolfilled, int style)QsciLexer [signal]
foldComments() const QsciLexerPascal
foldCompact() const QsciLexerPascal
foldPreprocessor() const QsciLexerPascal
font(int style) const QsciLexer [virtual]
fontChanged(const QFont &f, int style)QsciLexer [signal]
HexNumber enum valueQsciLexerPascal
Identifier enum valueQsciLexerPascal
indentationGuideView() const (defined in QsciLexer)QsciLexer [virtual]
Keyword enum valueQsciLexerPascal
keywords(int set) const QsciLexerPascal [virtual]
language() const QsciLexerPascal [virtual]
lexer() const QsciLexerPascal [virtual]
lexerId() const QsciLexer [virtual]
Number enum valueQsciLexerPascal
Operator enum valueQsciLexerPascal
paper(int style) const QsciLexer [virtual]
paperChanged(const QColor &c, int style)QsciLexer [signal]
PreProcessor enum valueQsciLexerPascal
PreProcessorParenthesis enum valueQsciLexerPascal
propertyChanged(const char *prop, const char *val)QsciLexer [signal]
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerPascal(QObject *parent=0)QsciLexerPascal
readProperties(QSettings &qs, const QString &prefix)QsciLexerPascal [protected, virtual]
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerPascal [virtual]
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexer [virtual, slot]
setColor(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexer [virtual]
setEolFill(bool eoffill, int style=-1)QsciLexer [virtual, slot]
setFoldComments(bool fold)QsciLexerPascal [virtual, slot]
setFoldCompact(bool fold)QsciLexerPascal [virtual, slot]
setFoldPreprocessor(bool fold)QsciLexerPascal [virtual, slot]
setFont(const QFont &f, int style=-1)QsciLexer [virtual, slot]
setPaper(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setSmartHighlighting(bool enabled)QsciLexerPascal
SingleQuotedString enum valueQsciLexerPascal
smartHighlighting() const QsciLexerPascal
styleBitsNeeded() const QsciLexer [virtual]
UnclosedString enum valueQsciLexerPascal
wordCharacters() const QsciLexer [virtual]
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerPascal [protected, virtual]
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexer [virtual]
~QsciLexerPascal()QsciLexerPascal [virtual]
- +

This is the complete list of members for QsciLexerPascal, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
apis() const QsciLexer
Asm enum valueQsciLexerPascal
autoCompletionFillups() const (defined in QsciLexer)QsciLexervirtual
autoCompletionWordSeparators() const (defined in QsciLexerPascal)QsciLexerPascalvirtual
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexerPascal)QsciLexerPascalvirtual
blockLookback() const (defined in QsciLexer)QsciLexervirtual
blockStart(int *style=0) const (defined in QsciLexerPascal)QsciLexerPascalvirtual
blockStartKeyword(int *style=0) const (defined in QsciLexerPascal)QsciLexerPascalvirtual
braceStyle() const (defined in QsciLexerPascal)QsciLexerPascalvirtual
caseSensitive() const (defined in QsciLexer)QsciLexervirtual
Character enum valueQsciLexerPascal
color(int style) const QsciLexervirtual
colorChanged(const QColor &c, int style)QsciLexersignal
Comment enum valueQsciLexerPascal
CommentLine enum valueQsciLexerPascal
CommentParenthesis enum valueQsciLexerPascal
Default enum valueQsciLexerPascal
defaultColor(int style) const QsciLexerPascalvirtual
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerPascalvirtual
defaultFont(int style) const QsciLexerPascalvirtual
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerPascalvirtual
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexervirtual
description(int style) const QsciLexerPascalvirtual
editor() const QsciLexerinline
eolFill(int style) const QsciLexervirtual
eolFillChanged(bool eolfilled, int style)QsciLexersignal
foldComments() const QsciLexerPascal
foldCompact() const QsciLexerPascal
foldPreprocessor() const QsciLexerPascal
font(int style) const QsciLexervirtual
fontChanged(const QFont &f, int style)QsciLexersignal
HexNumber enum valueQsciLexerPascal
Identifier enum valueQsciLexerPascal
indentationGuideView() const (defined in QsciLexer)QsciLexervirtual
Keyword enum valueQsciLexerPascal
keywords(int set) const QsciLexerPascalvirtual
language() const QsciLexerPascalvirtual
lexer() const QsciLexerPascalvirtual
lexerId() const QsciLexervirtual
Number enum valueQsciLexerPascal
Operator enum valueQsciLexerPascal
paper(int style) const QsciLexervirtual
paperChanged(const QColor &c, int style)QsciLexersignal
PreProcessor enum valueQsciLexerPascal
PreProcessorParenthesis enum valueQsciLexerPascal
propertyChanged(const char *prop, const char *val)QsciLexersignal
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerPascal(QObject *parent=0)QsciLexerPascal
readProperties(QSettings &qs, const QString &prefix)QsciLexerPascalprotectedvirtual
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerPascalvirtual
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexervirtualslot
setColor(const QColor &c, int style=-1)QsciLexervirtualslot
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexervirtual
setEolFill(bool eoffill, int style=-1)QsciLexervirtualslot
setFoldComments(bool fold)QsciLexerPascalvirtualslot
setFoldCompact(bool fold)QsciLexerPascalvirtualslot
setFoldPreprocessor(bool fold)QsciLexerPascalvirtualslot
setFont(const QFont &f, int style=-1)QsciLexervirtualslot
setPaper(const QColor &c, int style=-1)QsciLexervirtualslot
setSmartHighlighting(bool enabled)QsciLexerPascal
SingleQuotedString enum valueQsciLexerPascal
smartHighlighting() const QsciLexerPascal
styleBitsNeeded() const QsciLexervirtual
UnclosedString enum valueQsciLexerPascal
wordCharacters() const QsciLexervirtual
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerPascalprotectedvirtual
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexervirtual
~QsciLexerPascal()QsciLexerPascalvirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerPerl.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerPerl.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerPerl.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerPerl.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciLexerPerl Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerPerl Class Reference
- +
- +

#include <qscilexerperl.h>

Inherits QsciLexer.

- -

List of all members.

-

-Public Types

- -

-Public Slots

- -

-Public Member Functions

- -

-Protected Member Functions

- -

Detailed Description

-

The QsciLexerPerl class encapsulates the Scintilla Perl lexer.

-

Member Enumeration Documentation

- + + + + +

+Public Types

enum  {
+  Default = 0, +Error = 1, +Comment = 2, +
+  POD = 3, +Number = 4, +Keyword = 5, +
+  DoubleQuotedString = 6, +SingleQuotedString = 7, +Operator = 10, +
+  Identifier = 11, +Scalar = 12, +Array = 13, +
+  Hash = 14, +SymbolTable = 15, +Regex = 17, +
+  Substitution = 18, +Backticks = 20, +DataSection = 21, +
+  HereDocumentDelimiter = 22, +SingleQuotedHereDocument = 23, +DoubleQuotedHereDocument = 24, +
+  BacktickHereDocument = 25, +QuotedStringQ = 26, +QuotedStringQQ = 27, +
+  QuotedStringQX = 28, +QuotedStringQR = 29, +QuotedStringQW = 30, +
+  PODVerbatim = 31, +SubroutinePrototype = 40, +FormatIdentifier = 41, +
+  FormatBody = 42, +DoubleQuotedStringVar = 43, +Translation = 44, +
+  RegexVar = 54, +SubstitutionVar = 55, +BackticksVar = 57, +
+  DoubleQuotedHereDocumentVar = 61, +BacktickHereDocumentVar = 62, +QuotedStringQQVar = 64, +
+  QuotedStringQXVar = 65, +QuotedStringQRVar = 66 +
+ }
 
+ + + + + + + + + + + + + + + + +

+Public Slots

virtual void setFoldComments (bool fold)
 
virtual void setFoldCompact (bool fold)
 
- Public Slots inherited from QsciLexer
virtual void setAutoIndentStyle (int autoindentstyle)
 
virtual void setColor (const QColor &c, int style=-1)
 
virtual void setEolFill (bool eoffill, int style=-1)
 
virtual void setFont (const QFont &f, int style=-1)
 
virtual void setPaper (const QColor &c, int style=-1)
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 QsciLexerPerl (QObject *parent=0)
 
+virtual ~QsciLexerPerl ()
 
+const char * language () const
 
const char * lexer () const
 
+QStringList autoCompletionWordSeparators () const
 
+const char * blockEnd (int *style=0) const
 
+const char * blockStart (int *style=0) const
 
+int braceStyle () const
 
+const char * wordCharacters () const
 
QColor defaultColor (int style) const
 
+bool defaultEolFill (int style) const
 
+QFont defaultFont (int style) const
 
QColor defaultPaper (int style) const
 
const char * keywords (int set) const
 
QString description (int style) const
 
void refreshProperties ()
 
void setFoldAtElse (bool fold)
 
bool foldAtElse () const
 
bool foldComments () const
 
bool foldCompact () const
 
void setFoldPackages (bool fold)
 
bool foldPackages () const
 
void setFoldPODBlocks (bool fold)
 
bool foldPODBlocks () const
 
- Public Member Functions inherited from QsciLexer
 QsciLexer (QObject *parent=0)
 
+virtual ~QsciLexer ()
 
virtual int lexerId () const
 
QsciAbstractAPIsapis () const
 
+virtual const char * autoCompletionFillups () const
 
int autoIndentStyle ()
 
+virtual int blockLookback () const
 
+virtual const char * blockStartKeyword (int *style=0) const
 
+virtual bool caseSensitive () const
 
virtual QColor color (int style) const
 
virtual bool eolFill (int style) const
 
virtual QFont font (int style) const
 
+virtual int indentationGuideView () const
 
+virtual int defaultStyle () const
 
virtual QColor paper (int style) const
 
QColor defaultColor () const
 
QFont defaultFont () const
 
QColor defaultPaper () const
 
QsciScintillaeditor () const
 
void setAPIs (QsciAbstractAPIs *apis)
 
void setDefaultColor (const QColor &c)
 
void setDefaultFont (const QFont &f)
 
void setDefaultPaper (const QColor &c)
 
+virtual void setEditor (QsciScintilla *editor)
 
bool readSettings (QSettings &qs, const char *prefix="/Scintilla")
 
virtual int styleBitsNeeded () const
 
bool writeSettings (QSettings &qs, const char *prefix="/Scintilla") const
 
+ + + + + +

+Protected Member Functions

bool readProperties (QSettings &qs, const QString &prefix)
 
bool writeProperties (QSettings &qs, const QString &prefix) const
 
+ + + + + + + + + + + + +

+Additional Inherited Members

- Signals inherited from QsciLexer
void colorChanged (const QColor &c, int style)
 
void eolFillChanged (bool eolfilled, int style)
 
void fontChanged (const QFont &f, int style)
 
void paperChanged (const QColor &c, int style)
 
void propertyChanged (const char *prop, const char *val)
 
+

Detailed Description

+

The QsciLexerPerl class encapsulates the Scintilla Perl lexer.

+

Member Enumeration Documentation

+
@@ -187,141 +291,138 @@
anonymous enum
-
-
+

This enum defines the meanings of the different styles used by the Perl lexer.

-
Enumerator:
-
Default  + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Enumerator
Default 

The default.

Error  +
Error 

An error.

Comment  +
Comment 

A comment.

POD  +
POD 

A POD.

Number  +
Number 

A number.

Keyword  +
Keyword 

A keyword.

DoubleQuotedString  +
DoubleQuotedString 

A double-quoted string.

SingleQuotedString  +
SingleQuotedString 

A single-quoted string.

Operator  +
Operator 

An operator.

Identifier  +
Identifier 

An identifier.

Scalar  +
Scalar 

A scalar.

Array  +
Array 

An array.

Hash  +
Hash 

A hash.

SymbolTable  +
SymbolTable 

A symbol table.

Regex  +
Regex 

A regular expression.

Substitution  +
Substitution 

A substitution.

Backticks  +
Backticks 

Backticks.

DataSection  +
DataSection 

A data section.

HereDocumentDelimiter  +
HereDocumentDelimiter 

A here document delimiter.

SingleQuotedHereDocument  +
SingleQuotedHereDocument 

A single quoted here document.

DoubleQuotedHereDocument  +
DoubleQuotedHereDocument 

A double quoted here document.

BacktickHereDocument  +
BacktickHereDocument 

A backtick here document.

QuotedStringQ  +
QuotedStringQ 

A quoted string (q).

QuotedStringQQ  +
QuotedStringQQ 

A quoted string (qq).

QuotedStringQX  +
QuotedStringQX 

A quoted string (qx).

QuotedStringQR  +
QuotedStringQR 

A quoted string (qr).

QuotedStringQW  +
QuotedStringQW 

A quoted string (qw).

PODVerbatim  +
PODVerbatim 

A verbatim POD.

SubroutinePrototype  +
SubroutinePrototype 

A Subroutine prototype.

FormatIdentifier  +
FormatIdentifier 

A format identifier.

FormatBody  +
FormatBody 

A format body.

DoubleQuotedStringVar  +
DoubleQuotedStringVar 

A double-quoted string (interpolated variable).

Translation  +
Translation 

A translation.

RegexVar  +
RegexVar 

A regular expression (interpolated variable).

SubstitutionVar  +
SubstitutionVar 

A substitution (interpolated variable).

BackticksVar  +
BackticksVar 

Backticks (interpolated variable).

DoubleQuotedHereDocumentVar  +
DoubleQuotedHereDocumentVar 

A double quoted here document (interpolated variable).

BacktickHereDocumentVar  +
BacktickHereDocumentVar 

A backtick here document (interpolated variable).

QuotedStringQQVar  +
QuotedStringQQVar 

A quoted string (qq, interpolated variable).

QuotedStringQXVar  +
QuotedStringQXVar 

A quoted string (qx, interpolated variable).

QuotedStringQRVar  +
QuotedStringQRVar 

A quoted string (qr, interpolated variable).

- - -

Constructor & Destructor Documentation

- +

Constructor & Destructor Documentation

+
@@ -333,134 +434,175 @@
-
-
-

Construct a QsciLexerPerl with parent parent. parent is typically the QsciScintilla instance.

+
+

Construct a QsciLexerPerl with parent parent. parent is typically the QsciScintilla instance.

-

Member Function Documentation

- +

Member Function Documentation

+
+ + + + + +
- +
const char* QsciLexerPerl::lexer ( ) const [virtual] const
- -
+
+virtual
+

Returns the name of the lexer. Some lexers support a number of languages.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerPerl::defaultColor ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the foreground colour of the text for style number style.

-
See also:
defaultPaper()
+
See also
defaultPaper()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerPerl::defaultPaper ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the background colour of the text for style number style.

-
See also:
defaultColor()
+
See also
defaultColor()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
const char* QsciLexerPerl::keywords ( int  set) const [virtual] const
- -
+
+virtual
+

Returns the set of keywords for the keyword set set recognised by the lexer as a space separated string.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QString QsciLexerPerl::description ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the descriptive name for style number style. If the style is invalid for this language then an empty QString is returned. This is intended to be used in user preference dialogs.

Implements QsciLexer.

- +
+ + + + + +
- +
void QsciLexerPerl::refreshProperties ( ) [virtual]
- -
+
+virtual
+

Causes all properties to be refreshed by emitting the propertyChanged() signal as required.

Reimplemented from QsciLexer.

- +
@@ -472,32 +614,38 @@
-
-
+

If fold is true then "} else {" lines can be folded. The default is false.

-
See also:
foldAtElse()
+
See also
foldAtElse()
- +
+ + + + + +
- +
bool QsciLexerPerl::foldAtElse ( ) const [inline] const
- -
+
+inline
+

Returns true if "} else {" lines can be folded.

-
See also:
setFoldAtElse()
+
See also
setFoldAtElse()
- +
@@ -508,14 +656,13 @@
const
-
-
+

Returns true if multi-line comment blocks can be folded.

-
See also:
setFoldComments()
+
See also
setFoldComments()
- +
@@ -526,14 +673,13 @@
const
-
-
+

Returns true if trailing blank lines are included in a fold block.

-
See also:
setFoldCompact()
+
See also
setFoldCompact()
- +
@@ -545,14 +691,13 @@
-
-
+

If fold is true then packages can be folded. The default is true.

-
See also:
foldPackages()
+
See also
foldPackages()
- +
@@ -563,14 +708,13 @@
const
-
-
+

Returns true if packages can be folded.

-
See also:
setFoldPackages()
+
See also
setFoldPackages()
- +
@@ -582,14 +726,13 @@
-
-
+

If fold is true then POD blocks can be folded. The default is true.

-
See also:
foldPODBlocks()
+
See also
foldPODBlocks()
- +
@@ -600,54 +743,70 @@
const
-
-
+

Returns true if POD blocks can be folded.

-
See also:
setFoldPODBlocks()
+
See also
setFoldPODBlocks()
- +
+ + + + + +
- +
virtual void QsciLexerPerl::setFoldComments ( bool  fold) [virtual, slot]
- -
+
+virtualslot
+

If fold is true then multi-line comment blocks can be folded. The default is false.

-
See also:
foldComments()
+
See also
foldComments()
- +
+ + + + + +
- +
virtual void QsciLexerPerl::setFoldCompact ( bool  fold) [virtual, slot]
- -
+
+virtualslot
+

If fold is true then trailing blank lines are included in a fold block. The default is true.

-
See also:
foldCompact()
+
See also
foldCompact()
- +
+ + + + + +
@@ -664,20 +823,27 @@ - +
bool QsciLexerPerl::readProperties
) [protected, virtual]
- -
+
+protectedvirtual
+

The lexer's properties are read from the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

Reimplemented from QsciLexer.

- +
+ + + + + +
@@ -694,25 +860,27 @@ - +
bool QsciLexerPerl::writeProperties
) const [protected, virtual] const
- -
+
+protectedvirtual
+

The lexer's properties are written to the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

Reimplemented from QsciLexer.

- - - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerPerl-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerPerl-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerPerl-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerPerl-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerPerl Member List
-
+
-This is the complete list of members for QsciLexerPerl, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
apis() const QsciLexer
Array enum valueQsciLexerPerl
autoCompletionFillups() const (defined in QsciLexer)QsciLexer [virtual]
autoCompletionWordSeparators() const (defined in QsciLexerPerl)QsciLexerPerl [virtual]
autoIndentStyle()QsciLexer
BacktickHereDocument enum valueQsciLexerPerl
BacktickHereDocumentVar enum valueQsciLexerPerl
Backticks enum valueQsciLexerPerl
BackticksVar enum valueQsciLexerPerl
blockEnd(int *style=0) const (defined in QsciLexerPerl)QsciLexerPerl [virtual]
blockLookback() const (defined in QsciLexer)QsciLexer [virtual]
blockStart(int *style=0) const (defined in QsciLexerPerl)QsciLexerPerl [virtual]
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
braceStyle() const (defined in QsciLexerPerl)QsciLexerPerl [virtual]
caseSensitive() const (defined in QsciLexer)QsciLexer [virtual]
color(int style) const QsciLexer [virtual]
colorChanged(const QColor &c, int style)QsciLexer [signal]
Comment enum valueQsciLexerPerl
DataSection enum valueQsciLexerPerl
Default enum valueQsciLexerPerl
defaultColor(int style) const QsciLexerPerl [virtual]
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerPerl [virtual]
defaultFont(int style) const QsciLexerPerl [virtual]
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerPerl [virtual]
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexer [virtual]
description(int style) const QsciLexerPerl [virtual]
DoubleQuotedHereDocument enum valueQsciLexerPerl
DoubleQuotedHereDocumentVar enum valueQsciLexerPerl
DoubleQuotedString enum valueQsciLexerPerl
DoubleQuotedStringVar enum valueQsciLexerPerl
editor() const QsciLexer [inline]
eolFill(int style) const QsciLexer [virtual]
eolFillChanged(bool eolfilled, int style)QsciLexer [signal]
Error enum valueQsciLexerPerl
foldAtElse() const QsciLexerPerl [inline]
foldComments() const QsciLexerPerl
foldCompact() const QsciLexerPerl
foldPackages() const QsciLexerPerl
foldPODBlocks() const QsciLexerPerl
font(int style) const QsciLexer [virtual]
fontChanged(const QFont &f, int style)QsciLexer [signal]
FormatBody enum valueQsciLexerPerl
FormatIdentifier enum valueQsciLexerPerl
Hash enum valueQsciLexerPerl
HereDocumentDelimiter enum valueQsciLexerPerl
Identifier enum valueQsciLexerPerl
indentationGuideView() const (defined in QsciLexer)QsciLexer [virtual]
Keyword enum valueQsciLexerPerl
keywords(int set) const QsciLexerPerl [virtual]
language() const QsciLexerPerl [virtual]
lexer() const QsciLexerPerl [virtual]
lexerId() const QsciLexer [virtual]
Number enum valueQsciLexerPerl
Operator enum valueQsciLexerPerl
paper(int style) const QsciLexer [virtual]
paperChanged(const QColor &c, int style)QsciLexer [signal]
POD enum valueQsciLexerPerl
PODVerbatim enum valueQsciLexerPerl
propertyChanged(const char *prop, const char *val)QsciLexer [signal]
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerPerl(QObject *parent=0)QsciLexerPerl
QuotedStringQ enum valueQsciLexerPerl
QuotedStringQQ enum valueQsciLexerPerl
QuotedStringQQVar enum valueQsciLexerPerl
QuotedStringQR enum valueQsciLexerPerl
QuotedStringQRVar enum valueQsciLexerPerl
QuotedStringQW enum valueQsciLexerPerl
QuotedStringQX enum valueQsciLexerPerl
QuotedStringQXVar enum valueQsciLexerPerl
readProperties(QSettings &qs, const QString &prefix)QsciLexerPerl [protected, virtual]
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerPerl [virtual]
Regex enum valueQsciLexerPerl
RegexVar enum valueQsciLexerPerl
Scalar enum valueQsciLexerPerl
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexer [virtual, slot]
setColor(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexer [virtual]
setEolFill(bool eoffill, int style=-1)QsciLexer [virtual, slot]
setFoldAtElse(bool fold)QsciLexerPerl
setFoldComments(bool fold)QsciLexerPerl [virtual, slot]
setFoldCompact(bool fold)QsciLexerPerl [virtual, slot]
setFoldPackages(bool fold)QsciLexerPerl
setFoldPODBlocks(bool fold)QsciLexerPerl
setFont(const QFont &f, int style=-1)QsciLexer [virtual, slot]
setPaper(const QColor &c, int style=-1)QsciLexer [virtual, slot]
SingleQuotedHereDocument enum valueQsciLexerPerl
SingleQuotedString enum valueQsciLexerPerl
styleBitsNeeded() const QsciLexer [virtual]
SubroutinePrototype enum valueQsciLexerPerl
Substitution enum valueQsciLexerPerl
SubstitutionVar enum valueQsciLexerPerl
SymbolTable enum valueQsciLexerPerl
Translation enum valueQsciLexerPerl
wordCharacters() const QsciLexerPerl [virtual]
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerPerl [protected, virtual]
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexer [virtual]
~QsciLexerPerl()QsciLexerPerl [virtual]
- +

This is the complete list of members for QsciLexerPerl, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
apis() const QsciLexer
Array enum valueQsciLexerPerl
autoCompletionFillups() const (defined in QsciLexer)QsciLexervirtual
autoCompletionWordSeparators() const (defined in QsciLexerPerl)QsciLexerPerlvirtual
autoIndentStyle()QsciLexer
BacktickHereDocument enum valueQsciLexerPerl
BacktickHereDocumentVar enum valueQsciLexerPerl
Backticks enum valueQsciLexerPerl
BackticksVar enum valueQsciLexerPerl
blockEnd(int *style=0) const (defined in QsciLexerPerl)QsciLexerPerlvirtual
blockLookback() const (defined in QsciLexer)QsciLexervirtual
blockStart(int *style=0) const (defined in QsciLexerPerl)QsciLexerPerlvirtual
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexervirtual
braceStyle() const (defined in QsciLexerPerl)QsciLexerPerlvirtual
caseSensitive() const (defined in QsciLexer)QsciLexervirtual
color(int style) const QsciLexervirtual
colorChanged(const QColor &c, int style)QsciLexersignal
Comment enum valueQsciLexerPerl
DataSection enum valueQsciLexerPerl
Default enum valueQsciLexerPerl
defaultColor(int style) const QsciLexerPerlvirtual
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerPerlvirtual
defaultFont(int style) const QsciLexerPerlvirtual
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerPerlvirtual
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexervirtual
description(int style) const QsciLexerPerlvirtual
DoubleQuotedHereDocument enum valueQsciLexerPerl
DoubleQuotedHereDocumentVar enum valueQsciLexerPerl
DoubleQuotedString enum valueQsciLexerPerl
DoubleQuotedStringVar enum valueQsciLexerPerl
editor() const QsciLexerinline
eolFill(int style) const QsciLexervirtual
eolFillChanged(bool eolfilled, int style)QsciLexersignal
Error enum valueQsciLexerPerl
foldAtElse() const QsciLexerPerlinline
foldComments() const QsciLexerPerl
foldCompact() const QsciLexerPerl
foldPackages() const QsciLexerPerl
foldPODBlocks() const QsciLexerPerl
font(int style) const QsciLexervirtual
fontChanged(const QFont &f, int style)QsciLexersignal
FormatBody enum valueQsciLexerPerl
FormatIdentifier enum valueQsciLexerPerl
Hash enum valueQsciLexerPerl
HereDocumentDelimiter enum valueQsciLexerPerl
Identifier enum valueQsciLexerPerl
indentationGuideView() const (defined in QsciLexer)QsciLexervirtual
Keyword enum valueQsciLexerPerl
keywords(int set) const QsciLexerPerlvirtual
language() const QsciLexerPerlvirtual
lexer() const QsciLexerPerlvirtual
lexerId() const QsciLexervirtual
Number enum valueQsciLexerPerl
Operator enum valueQsciLexerPerl
paper(int style) const QsciLexervirtual
paperChanged(const QColor &c, int style)QsciLexersignal
POD enum valueQsciLexerPerl
PODVerbatim enum valueQsciLexerPerl
propertyChanged(const char *prop, const char *val)QsciLexersignal
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerPerl(QObject *parent=0)QsciLexerPerl
QuotedStringQ enum valueQsciLexerPerl
QuotedStringQQ enum valueQsciLexerPerl
QuotedStringQQVar enum valueQsciLexerPerl
QuotedStringQR enum valueQsciLexerPerl
QuotedStringQRVar enum valueQsciLexerPerl
QuotedStringQW enum valueQsciLexerPerl
QuotedStringQX enum valueQsciLexerPerl
QuotedStringQXVar enum valueQsciLexerPerl
readProperties(QSettings &qs, const QString &prefix)QsciLexerPerlprotectedvirtual
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerPerlvirtual
Regex enum valueQsciLexerPerl
RegexVar enum valueQsciLexerPerl
Scalar enum valueQsciLexerPerl
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexervirtualslot
setColor(const QColor &c, int style=-1)QsciLexervirtualslot
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexervirtual
setEolFill(bool eoffill, int style=-1)QsciLexervirtualslot
setFoldAtElse(bool fold)QsciLexerPerl
setFoldComments(bool fold)QsciLexerPerlvirtualslot
setFoldCompact(bool fold)QsciLexerPerlvirtualslot
setFoldPackages(bool fold)QsciLexerPerl
setFoldPODBlocks(bool fold)QsciLexerPerl
setFont(const QFont &f, int style=-1)QsciLexervirtualslot
setPaper(const QColor &c, int style=-1)QsciLexervirtualslot
SingleQuotedHereDocument enum valueQsciLexerPerl
SingleQuotedString enum valueQsciLexerPerl
styleBitsNeeded() const QsciLexervirtual
SubroutinePrototype enum valueQsciLexerPerl
Substitution enum valueQsciLexerPerl
SubstitutionVar enum valueQsciLexerPerl
SymbolTable enum valueQsciLexerPerl
Translation enum valueQsciLexerPerl
wordCharacters() const QsciLexerPerlvirtual
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerPerlprotectedvirtual
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexervirtual
~QsciLexerPerl()QsciLexerPerlvirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerPO.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerPO.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerPO.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerPO.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciLexerPO Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerPO Class Reference
- +
- +

#include <qscilexerpo.h>

Inherits QsciLexer.

- -

List of all members.

-

-Public Types

- -

-Public Slots

- -

-Public Member Functions

- -

-Protected Member Functions

- -

Detailed Description

-

The QsciLexerPO class encapsulates the Scintilla PO lexer.

-

Member Enumeration Documentation

- + + + + +

+Public Types

enum  {
+  Default = 0, +Comment = 1, +MessageId = 2, +
+  MessageIdText = 3, +MessageString = 4, +MessageStringText = 5, +
+  MessageContext = 6, +MessageContextText = 7, +Fuzzy = 8, +
+  ProgrammerComment = 9, +Reference = 10, +Flags = 11, +
+  MessageIdTextEOL = 12, +MessageStringTextEOL = 13, +MessageContextTextEOL = 14 +
+ }
 
+ + + + + + + + + + + + + + + + +

+Public Slots

virtual void setFoldComments (bool fold)
 
virtual void setFoldCompact (bool fold)
 
- Public Slots inherited from QsciLexer
virtual void setAutoIndentStyle (int autoindentstyle)
 
virtual void setColor (const QColor &c, int style=-1)
 
virtual void setEolFill (bool eoffill, int style=-1)
 
virtual void setFont (const QFont &f, int style=-1)
 
virtual void setPaper (const QColor &c, int style=-1)
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 QsciLexerPO (QObject *parent=0)
 
+virtual ~QsciLexerPO ()
 
+const char * language () const
 
const char * lexer () const
 
QColor defaultColor (int style) const
 
+QFont defaultFont (int style) const
 
QString description (int style) const
 
void refreshProperties ()
 
bool foldComments () const
 
bool foldCompact () const
 
- Public Member Functions inherited from QsciLexer
 QsciLexer (QObject *parent=0)
 
+virtual ~QsciLexer ()
 
virtual int lexerId () const
 
QsciAbstractAPIsapis () const
 
+virtual const char * autoCompletionFillups () const
 
+virtual QStringList autoCompletionWordSeparators () const
 
int autoIndentStyle ()
 
+virtual const char * blockEnd (int *style=0) const
 
+virtual int blockLookback () const
 
+virtual const char * blockStart (int *style=0) const
 
+virtual const char * blockStartKeyword (int *style=0) const
 
+virtual int braceStyle () const
 
+virtual bool caseSensitive () const
 
virtual QColor color (int style) const
 
virtual bool eolFill (int style) const
 
virtual QFont font (int style) const
 
+virtual int indentationGuideView () const
 
virtual const char * keywords (int set) const
 
+virtual int defaultStyle () const
 
virtual QColor paper (int style) const
 
QColor defaultColor () const
 
virtual bool defaultEolFill (int style) const
 
QFont defaultFont () const
 
QColor defaultPaper () const
 
+virtual QColor defaultPaper (int style) const
 
QsciScintillaeditor () const
 
void setAPIs (QsciAbstractAPIs *apis)
 
void setDefaultColor (const QColor &c)
 
void setDefaultFont (const QFont &f)
 
void setDefaultPaper (const QColor &c)
 
+virtual void setEditor (QsciScintilla *editor)
 
bool readSettings (QSettings &qs, const char *prefix="/Scintilla")
 
virtual int styleBitsNeeded () const
 
virtual const char * wordCharacters () const
 
bool writeSettings (QSettings &qs, const char *prefix="/Scintilla") const
 
+ + + + + +

+Protected Member Functions

bool readProperties (QSettings &qs, const QString &prefix)
 
bool writeProperties (QSettings &qs, const QString &prefix) const
 
+ + + + + + + + + + + + +

+Additional Inherited Members

- Signals inherited from QsciLexer
void colorChanged (const QColor &c, int style)
 
void eolFillChanged (bool eolfilled, int style)
 
void fontChanged (const QFont &f, int style)
 
void paperChanged (const QColor &c, int style)
 
void propertyChanged (const char *prop, const char *val)
 
+

Detailed Description

+

The QsciLexerPO class encapsulates the Scintilla PO lexer.

+

Member Enumeration Documentation

+
@@ -132,63 +243,60 @@
anonymous enum
-
-
+

This enum defines the meanings of the different styles used by the PO lexer.

-
Enumerator:
-
Default  + + - - - - - - - - - - - - - -
Enumerator
Default 

The default.

Comment  +
Comment 

A comment.

MessageId  +
MessageId 

A message identifier.

MessageIdText  +
MessageIdText 

The text of a message identifier.

MessageString  +
MessageString 

A message string.

MessageStringText  +
MessageStringText 

The text of a message string.

MessageContext  +
MessageContext 

A message context.

MessageContextText  +
MessageContextText 

The text of a message context.

Fuzzy  +
Fuzzy 

The "fuzzy" flag.

ProgrammerComment  +
ProgrammerComment 

A programmer comment.

Reference  +
Reference 

A reference.

Flags  +
Flags 

A flag.

MessageIdTextEOL  +
MessageIdTextEOL 

A message identifier text end-of-line.

MessageStringTextEOL  +
MessageStringTextEOL 

A message string text end-of-line.

MessageContextTextEOL  +
MessageContextTextEOL 

A message context text end-of-line.

- - -

Constructor & Destructor Documentation

- +

Constructor & Destructor Documentation

+
@@ -200,93 +308,120 @@
-
-
-

Construct a QsciLexerPO with parent parent. parent is typically the QsciScintilla instance.

+
+

Construct a QsciLexerPO with parent parent. parent is typically the QsciScintilla instance.

-

Member Function Documentation

- +

Member Function Documentation

+
+ + + + + +
- +
const char* QsciLexerPO::lexer ( ) const [virtual] const
- -
+
+virtual
+

Returns the name of the lexer. Some lexers support a number of languages.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerPO::defaultColor ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the foreground colour of the text for style number style.

-
See also:
defaultPaper()
+
See also
defaultPaper()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QString QsciLexerPO::description ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the descriptive name for style number style. If the style is invalid for this language then an empty QString is returned. This is intended to be used in user preference dialogs.

Implements QsciLexer.

- +
+ + + + + +
- +
void QsciLexerPO::refreshProperties ( ) [virtual]
- -
+
+virtual
+

Causes all properties to be refreshed by emitting the propertyChanged() signal as required.

Reimplemented from QsciLexer.

- +
@@ -297,14 +432,13 @@
const
-
-
+

Returns true if multi-line comment blocks can be folded.

-
See also:
setFoldComments()
+
See also
setFoldComments()
- +
@@ -315,54 +449,70 @@
const
-
-
+

Returns true if trailing blank lines are included in a fold block.

-
See also:
setFoldCompact()
+
See also
setFoldCompact()
- +
+ + + + + +
- +
virtual void QsciLexerPO::setFoldComments ( bool  fold) [virtual, slot]
- -
+
+virtualslot
+

If fold is true then multi-line comment blocks can be folded. The default is false.

-
See also:
foldComments()
+
See also
foldComments()
- +
+ + + + + +
- +
virtual void QsciLexerPO::setFoldCompact ( bool  fold) [virtual, slot]
- -
+
+virtualslot
+

If fold is true then trailing blank lines are included in a fold block. The default is true.

-
See also:
foldCompact()
+
See also
foldCompact()
- +
+ + + + + +
@@ -379,20 +529,27 @@ - +
bool QsciLexerPO::readProperties
) [protected, virtual]
- -
+
+protectedvirtual
+

The lexer's properties are read from the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

Reimplemented from QsciLexer.

- +
+ + + + + +
@@ -409,25 +566,27 @@ - +
bool QsciLexerPO::writeProperties
) const [protected, virtual] const
- -
+
+protectedvirtual
+

The lexer's properties are written to the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

Reimplemented from QsciLexer.

- - - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerPO-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerPO-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerPO-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerPO-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerPO Member List
-
+
-This is the complete list of members for QsciLexerPO, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexer [virtual]
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexer [virtual]
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockLookback() const (defined in QsciLexer)QsciLexer [virtual]
blockStart(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
braceStyle() const (defined in QsciLexer)QsciLexer [virtual]
caseSensitive() const (defined in QsciLexer)QsciLexer [virtual]
color(int style) const QsciLexer [virtual]
colorChanged(const QColor &c, int style)QsciLexer [signal]
Comment enum valueQsciLexerPO
Default enum valueQsciLexerPO
defaultColor(int style) const QsciLexerPO [virtual]
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexer [virtual]
defaultFont(int style) const QsciLexerPO [virtual]
QsciLexer::defaultFont() const QsciLexer
defaultPaper() const QsciLexer
defaultPaper(int style) const QsciLexer [virtual]
defaultStyle() const (defined in QsciLexer)QsciLexer [virtual]
description(int style) const QsciLexerPO [virtual]
editor() const QsciLexer [inline]
eolFill(int style) const QsciLexer [virtual]
eolFillChanged(bool eolfilled, int style)QsciLexer [signal]
Flags enum valueQsciLexerPO
foldComments() const QsciLexerPO
foldCompact() const QsciLexerPO
font(int style) const QsciLexer [virtual]
fontChanged(const QFont &f, int style)QsciLexer [signal]
Fuzzy enum valueQsciLexerPO
indentationGuideView() const (defined in QsciLexer)QsciLexer [virtual]
keywords(int set) const QsciLexer [virtual]
language() const QsciLexerPO [virtual]
lexer() const QsciLexerPO [virtual]
lexerId() const QsciLexer [virtual]
MessageContext enum valueQsciLexerPO
MessageContextText enum valueQsciLexerPO
MessageContextTextEOL enum valueQsciLexerPO
MessageId enum valueQsciLexerPO
MessageIdText enum valueQsciLexerPO
MessageIdTextEOL enum valueQsciLexerPO
MessageString enum valueQsciLexerPO
MessageStringText enum valueQsciLexerPO
MessageStringTextEOL enum valueQsciLexerPO
paper(int style) const QsciLexer [virtual]
paperChanged(const QColor &c, int style)QsciLexer [signal]
ProgrammerComment enum valueQsciLexerPO
propertyChanged(const char *prop, const char *val)QsciLexer [signal]
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerPO(QObject *parent=0)QsciLexerPO
readProperties(QSettings &qs, const QString &prefix)QsciLexerPO [protected, virtual]
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
Reference enum valueQsciLexerPO
refreshProperties()QsciLexerPO [virtual]
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexer [virtual, slot]
setColor(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexer [virtual]
setEolFill(bool eoffill, int style=-1)QsciLexer [virtual, slot]
setFoldComments(bool fold)QsciLexerPO [virtual, slot]
setFoldCompact(bool fold)QsciLexerPO [virtual, slot]
setFont(const QFont &f, int style=-1)QsciLexer [virtual, slot]
setPaper(const QColor &c, int style=-1)QsciLexer [virtual, slot]
styleBitsNeeded() const QsciLexer [virtual]
wordCharacters() const QsciLexer [virtual]
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerPO [protected, virtual]
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexer [virtual]
~QsciLexerPO()QsciLexerPO [virtual]
- +

This is the complete list of members for QsciLexerPO, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexervirtual
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexervirtual
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockLookback() const (defined in QsciLexer)QsciLexervirtual
blockStart(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexervirtual
braceStyle() const (defined in QsciLexer)QsciLexervirtual
caseSensitive() const (defined in QsciLexer)QsciLexervirtual
color(int style) const QsciLexervirtual
colorChanged(const QColor &c, int style)QsciLexersignal
Comment enum valueQsciLexerPO
Default enum valueQsciLexerPO
defaultColor(int style) const QsciLexerPOvirtual
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexervirtual
defaultFont(int style) const QsciLexerPOvirtual
QsciLexer::defaultFont() const QsciLexer
defaultPaper() const QsciLexer
defaultPaper(int style) const QsciLexervirtual
defaultStyle() const (defined in QsciLexer)QsciLexervirtual
description(int style) const QsciLexerPOvirtual
editor() const QsciLexerinline
eolFill(int style) const QsciLexervirtual
eolFillChanged(bool eolfilled, int style)QsciLexersignal
Flags enum valueQsciLexerPO
foldComments() const QsciLexerPO
foldCompact() const QsciLexerPO
font(int style) const QsciLexervirtual
fontChanged(const QFont &f, int style)QsciLexersignal
Fuzzy enum valueQsciLexerPO
indentationGuideView() const (defined in QsciLexer)QsciLexervirtual
keywords(int set) const QsciLexervirtual
language() const QsciLexerPOvirtual
lexer() const QsciLexerPOvirtual
lexerId() const QsciLexervirtual
MessageContext enum valueQsciLexerPO
MessageContextText enum valueQsciLexerPO
MessageContextTextEOL enum valueQsciLexerPO
MessageId enum valueQsciLexerPO
MessageIdText enum valueQsciLexerPO
MessageIdTextEOL enum valueQsciLexerPO
MessageString enum valueQsciLexerPO
MessageStringText enum valueQsciLexerPO
MessageStringTextEOL enum valueQsciLexerPO
paper(int style) const QsciLexervirtual
paperChanged(const QColor &c, int style)QsciLexersignal
ProgrammerComment enum valueQsciLexerPO
propertyChanged(const char *prop, const char *val)QsciLexersignal
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerPO(QObject *parent=0)QsciLexerPO
readProperties(QSettings &qs, const QString &prefix)QsciLexerPOprotectedvirtual
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
Reference enum valueQsciLexerPO
refreshProperties()QsciLexerPOvirtual
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexervirtualslot
setColor(const QColor &c, int style=-1)QsciLexervirtualslot
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexervirtual
setEolFill(bool eoffill, int style=-1)QsciLexervirtualslot
setFoldComments(bool fold)QsciLexerPOvirtualslot
setFoldCompact(bool fold)QsciLexerPOvirtualslot
setFont(const QFont &f, int style=-1)QsciLexervirtualslot
setPaper(const QColor &c, int style=-1)QsciLexervirtualslot
styleBitsNeeded() const QsciLexervirtual
wordCharacters() const QsciLexervirtual
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerPOprotectedvirtual
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexervirtual
~QsciLexerPO()QsciLexerPOvirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerPostScript.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerPostScript.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerPostScript.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerPostScript.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciLexerPostScript Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerPostScript Class Reference
- +
- +

#include <qscilexerpostscript.h>

Inherits QsciLexer.

- -

List of all members.

-

-Public Types

- -

-Public Slots

- -

-Public Member Functions

- -

-Protected Member Functions

- -

Detailed Description

-

The QsciLexerPostScript class encapsulates the Scintilla PostScript lexer.

-

Member Enumeration Documentation

- + + + + +

+Public Types

enum  {
+  Default = 0, +Comment = 1, +DSCComment = 2, +
+  DSCCommentValue = 3, +Number = 4, +Name = 5, +
+  Keyword = 6, +Literal = 7, +ImmediateEvalLiteral = 8, +
+  ArrayParenthesis = 9, +DictionaryParenthesis = 10, +ProcedureParenthesis = 11, +
+  Text = 12, +HexString = 13, +Base85String = 14, +
+  BadStringCharacter = 15 +
+ }
 
+ + + + + + + + + + + + + + + + + + + + +

+Public Slots

virtual void setTokenize (bool tokenize)
 
virtual void setLevel (int level)
 
virtual void setFoldCompact (bool fold)
 
virtual void setFoldAtElse (bool fold)
 
- Public Slots inherited from QsciLexer
virtual void setAutoIndentStyle (int autoindentstyle)
 
virtual void setColor (const QColor &c, int style=-1)
 
virtual void setEolFill (bool eoffill, int style=-1)
 
virtual void setFont (const QFont &f, int style=-1)
 
virtual void setPaper (const QColor &c, int style=-1)
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 QsciLexerPostScript (QObject *parent=0)
 
+virtual ~QsciLexerPostScript ()
 
+const char * language () const
 
const char * lexer () const
 
+int braceStyle () const
 
QColor defaultColor (int style) const
 
+QFont defaultFont (int style) const
 
QColor defaultPaper (int style) const
 
const char * keywords (int set) const
 
QString description (int style) const
 
void refreshProperties ()
 
bool tokenize () const
 
int level () const
 
bool foldCompact () const
 
bool foldAtElse () const
 
- Public Member Functions inherited from QsciLexer
 QsciLexer (QObject *parent=0)
 
+virtual ~QsciLexer ()
 
virtual int lexerId () const
 
QsciAbstractAPIsapis () const
 
+virtual const char * autoCompletionFillups () const
 
+virtual QStringList autoCompletionWordSeparators () const
 
int autoIndentStyle ()
 
+virtual const char * blockEnd (int *style=0) const
 
+virtual int blockLookback () const
 
+virtual const char * blockStart (int *style=0) const
 
+virtual const char * blockStartKeyword (int *style=0) const
 
+virtual bool caseSensitive () const
 
virtual QColor color (int style) const
 
virtual bool eolFill (int style) const
 
virtual QFont font (int style) const
 
+virtual int indentationGuideView () const
 
+virtual int defaultStyle () const
 
virtual QColor paper (int style) const
 
QColor defaultColor () const
 
virtual bool defaultEolFill (int style) const
 
QFont defaultFont () const
 
QColor defaultPaper () const
 
QsciScintillaeditor () const
 
void setAPIs (QsciAbstractAPIs *apis)
 
void setDefaultColor (const QColor &c)
 
void setDefaultFont (const QFont &f)
 
void setDefaultPaper (const QColor &c)
 
+virtual void setEditor (QsciScintilla *editor)
 
bool readSettings (QSettings &qs, const char *prefix="/Scintilla")
 
virtual int styleBitsNeeded () const
 
virtual const char * wordCharacters () const
 
bool writeSettings (QSettings &qs, const char *prefix="/Scintilla") const
 
+ + + + + +

+Protected Member Functions

bool readProperties (QSettings &qs, const QString &prefix)
 
bool writeProperties (QSettings &qs, const QString &prefix) const
 
+ + + + + + + + + + + + +

+Additional Inherited Members

- Signals inherited from QsciLexer
void colorChanged (const QColor &c, int style)
 
void eolFillChanged (bool eolfilled, int style)
 
void fontChanged (const QFont &f, int style)
 
void paperChanged (const QColor &c, int style)
 
void propertyChanged (const char *prop, const char *val)
 
+

Detailed Description

+

The QsciLexerPostScript class encapsulates the Scintilla PostScript lexer.

+

Member Enumeration Documentation

+
@@ -142,66 +252,63 @@
anonymous enum
-
-
+

This enum defines the meanings of the different styles used by the PostScript lexer.

-
Enumerator:
-
Default  + + - - - - - - - - - - - - - - -
Enumerator
Default 

The default.

Comment  +
Comment 

A comment.

DSCComment  +
DSCComment 

A DSC comment.

DSCCommentValue  +
DSCCommentValue 

A DSC comment value.

Number  +
Number 

A number.

Name  +
Name 

A name.

Keyword  +
Keyword 

A keyword.

Literal  +
Literal 

A literal.

ImmediateEvalLiteral  +
ImmediateEvalLiteral 

An immediately evaluated literal.

ArrayParenthesis  +
ArrayParenthesis 

Array parenthesis.

DictionaryParenthesis  +
DictionaryParenthesis 

Dictionary parenthesis.

ProcedureParenthesis  +
ProcedureParenthesis 

Procedure parenthesis.

Text  +
Text 

Text.

HexString  +
HexString 

A hexadecimal string.

Base85String  +
Base85String 

A base85 string.

BadStringCharacter  +
BadStringCharacter 

A bad string character.

- - -

Constructor & Destructor Documentation

- +

Constructor & Destructor Documentation

+
@@ -213,134 +320,175 @@
-
-
-

Construct a QsciLexerPostScript with parent parent. parent is typically the QsciScintilla instance.

+
+

Construct a QsciLexerPostScript with parent parent. parent is typically the QsciScintilla instance.

-

Member Function Documentation

- +

Member Function Documentation

+
+ + + + + +
- +
const char* QsciLexerPostScript::lexer ( ) const [virtual] const
- -
+
+virtual
+

Returns the name of the lexer. Some lexers support a number of languages.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerPostScript::defaultColor ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the foreground colour of the text for style number style.

-
See also:
defaultPaper()
+
See also
defaultPaper()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerPostScript::defaultPaper ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the background colour of the text for style number style.

-
See also:
defaultColor()
+
See also
defaultColor()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
const char* QsciLexerPostScript::keywords ( int  set) const [virtual] const
- -
+
+virtual
+

Returns the set of keywords for the keyword set set recognised by the lexer as a space separated string. Set 5 can be used to provide additional user defined keywords.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QString QsciLexerPostScript::description ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the descriptive name for style number style. If the style is invalid for this language then an empty QString is returned. This is intended to be used in user preference dialogs.

Implements QsciLexer.

- +
+ + + + + +
- +
void QsciLexerPostScript::refreshProperties ( ) [virtual]
- -
+
+virtual
+

Causes all properties to be refreshed by emitting the propertyChanged() signal as required.

Reimplemented from QsciLexer.

- +
@@ -351,14 +499,13 @@
const
-
-
+

Returns true if tokens should be marked.

-
See also:
setTokenize()
+
See also
setTokenize()
- +
@@ -369,14 +516,13 @@
const
-
-
+

Returns the PostScript level.

-
See also:
setLevel()
+
See also
setLevel()
- +
@@ -387,14 +533,13 @@
const
-
-
+

Returns true if trailing blank lines are included in a fold block.

-
See also:
setFoldCompact()
+
See also
setFoldCompact()
- +
@@ -405,92 +550,122 @@
const
-
-
+

Returns true if else blocks can be folded.

-
See also:
setFoldAtElse()
+
See also
setFoldAtElse()
- +
+ + + + + +
- +
virtual void QsciLexerPostScript::setTokenize ( bool  tokenize) [virtual, slot]
- -
+
+virtualslot
+

If tokenize is true then tokens are marked. The default is false.

-
See also:
tokenize()
+
See also
tokenize()
- +
+ + + + + +
- +
virtual void QsciLexerPostScript::setLevel ( int  level) [virtual, slot]
- -
+
+virtualslot
+

The PostScript level is set to level. The default is 3.

-
See also:
level()
+
See also
level()
- +
+ + + + + +
- +
virtual void QsciLexerPostScript::setFoldCompact ( bool  fold) [virtual, slot]
- -
+
+virtualslot
+

If fold is true then trailing blank lines are included in a fold block. The default is true.

-
See also:
foldCompact()
+
See also
foldCompact()
- +
+ + + + + +
- +
virtual void QsciLexerPostScript::setFoldAtElse ( bool  fold) [virtual, slot]
- -
+
+virtualslot
+

If fold is true then else blocks can be folded. The default is false.

-
See also:
foldAtElse()
+
See also
foldAtElse()
- +
+ + + + + +
@@ -507,20 +682,27 @@ - +
bool QsciLexerPostScript::readProperties
) [protected, virtual]
- -
+
+protectedvirtual
+

The lexer's properties are read from the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

Reimplemented from QsciLexer.

- +
+ + + + + +
@@ -537,25 +719,27 @@ - +
bool QsciLexerPostScript::writeProperties
) const [protected, virtual] const
- -
+
+protectedvirtual
+

The lexer's properties are written to the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

Reimplemented from QsciLexer.

- - - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerPostScript-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerPostScript-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerPostScript-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerPostScript-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerPostScript Member List
-
+
-This is the complete list of members for QsciLexerPostScript, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
apis() const QsciLexer
ArrayParenthesis enum valueQsciLexerPostScript
autoCompletionFillups() const (defined in QsciLexer)QsciLexer [virtual]
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexer [virtual]
autoIndentStyle()QsciLexer
BadStringCharacter enum valueQsciLexerPostScript
Base85String enum valueQsciLexerPostScript
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockLookback() const (defined in QsciLexer)QsciLexer [virtual]
blockStart(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
braceStyle() const (defined in QsciLexerPostScript)QsciLexerPostScript [virtual]
caseSensitive() const (defined in QsciLexer)QsciLexer [virtual]
color(int style) const QsciLexer [virtual]
colorChanged(const QColor &c, int style)QsciLexer [signal]
Comment enum valueQsciLexerPostScript
Default enum valueQsciLexerPostScript
defaultColor(int style) const QsciLexerPostScript [virtual]
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexer [virtual]
defaultFont(int style) const QsciLexerPostScript [virtual]
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerPostScript [virtual]
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexer [virtual]
description(int style) const QsciLexerPostScript [virtual]
DictionaryParenthesis enum valueQsciLexerPostScript
DSCComment enum valueQsciLexerPostScript
DSCCommentValue enum valueQsciLexerPostScript
editor() const QsciLexer [inline]
eolFill(int style) const QsciLexer [virtual]
eolFillChanged(bool eolfilled, int style)QsciLexer [signal]
foldAtElse() const QsciLexerPostScript
foldCompact() const QsciLexerPostScript
font(int style) const QsciLexer [virtual]
fontChanged(const QFont &f, int style)QsciLexer [signal]
HexString enum valueQsciLexerPostScript
ImmediateEvalLiteral enum valueQsciLexerPostScript
indentationGuideView() const (defined in QsciLexer)QsciLexer [virtual]
Keyword enum valueQsciLexerPostScript
keywords(int set) const QsciLexerPostScript [virtual]
language() const QsciLexerPostScript [virtual]
level() const QsciLexerPostScript
lexer() const QsciLexerPostScript [virtual]
lexerId() const QsciLexer [virtual]
Literal enum valueQsciLexerPostScript
Name enum valueQsciLexerPostScript
Number enum valueQsciLexerPostScript
paper(int style) const QsciLexer [virtual]
paperChanged(const QColor &c, int style)QsciLexer [signal]
ProcedureParenthesis enum valueQsciLexerPostScript
propertyChanged(const char *prop, const char *val)QsciLexer [signal]
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerPostScript(QObject *parent=0)QsciLexerPostScript
readProperties(QSettings &qs, const QString &prefix)QsciLexerPostScript [protected, virtual]
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerPostScript [virtual]
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexer [virtual, slot]
setColor(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexer [virtual]
setEolFill(bool eoffill, int style=-1)QsciLexer [virtual, slot]
setFoldAtElse(bool fold)QsciLexerPostScript [virtual, slot]
setFoldCompact(bool fold)QsciLexerPostScript [virtual, slot]
setFont(const QFont &f, int style=-1)QsciLexer [virtual, slot]
setLevel(int level)QsciLexerPostScript [virtual, slot]
setPaper(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setTokenize(bool tokenize)QsciLexerPostScript [virtual, slot]
styleBitsNeeded() const QsciLexer [virtual]
Text enum valueQsciLexerPostScript
tokenize() const QsciLexerPostScript
wordCharacters() const QsciLexer [virtual]
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerPostScript [protected, virtual]
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexer [virtual]
~QsciLexerPostScript()QsciLexerPostScript [virtual]
- +

This is the complete list of members for QsciLexerPostScript, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
apis() const QsciLexer
ArrayParenthesis enum valueQsciLexerPostScript
autoCompletionFillups() const (defined in QsciLexer)QsciLexervirtual
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexervirtual
autoIndentStyle()QsciLexer
BadStringCharacter enum valueQsciLexerPostScript
Base85String enum valueQsciLexerPostScript
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockLookback() const (defined in QsciLexer)QsciLexervirtual
blockStart(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexervirtual
braceStyle() const (defined in QsciLexerPostScript)QsciLexerPostScriptvirtual
caseSensitive() const (defined in QsciLexer)QsciLexervirtual
color(int style) const QsciLexervirtual
colorChanged(const QColor &c, int style)QsciLexersignal
Comment enum valueQsciLexerPostScript
Default enum valueQsciLexerPostScript
defaultColor(int style) const QsciLexerPostScriptvirtual
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexervirtual
defaultFont(int style) const QsciLexerPostScriptvirtual
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerPostScriptvirtual
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexervirtual
description(int style) const QsciLexerPostScriptvirtual
DictionaryParenthesis enum valueQsciLexerPostScript
DSCComment enum valueQsciLexerPostScript
DSCCommentValue enum valueQsciLexerPostScript
editor() const QsciLexerinline
eolFill(int style) const QsciLexervirtual
eolFillChanged(bool eolfilled, int style)QsciLexersignal
foldAtElse() const QsciLexerPostScript
foldCompact() const QsciLexerPostScript
font(int style) const QsciLexervirtual
fontChanged(const QFont &f, int style)QsciLexersignal
HexString enum valueQsciLexerPostScript
ImmediateEvalLiteral enum valueQsciLexerPostScript
indentationGuideView() const (defined in QsciLexer)QsciLexervirtual
Keyword enum valueQsciLexerPostScript
keywords(int set) const QsciLexerPostScriptvirtual
language() const QsciLexerPostScriptvirtual
level() const QsciLexerPostScript
lexer() const QsciLexerPostScriptvirtual
lexerId() const QsciLexervirtual
Literal enum valueQsciLexerPostScript
Name enum valueQsciLexerPostScript
Number enum valueQsciLexerPostScript
paper(int style) const QsciLexervirtual
paperChanged(const QColor &c, int style)QsciLexersignal
ProcedureParenthesis enum valueQsciLexerPostScript
propertyChanged(const char *prop, const char *val)QsciLexersignal
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerPostScript(QObject *parent=0)QsciLexerPostScript
readProperties(QSettings &qs, const QString &prefix)QsciLexerPostScriptprotectedvirtual
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerPostScriptvirtual
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexervirtualslot
setColor(const QColor &c, int style=-1)QsciLexervirtualslot
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexervirtual
setEolFill(bool eoffill, int style=-1)QsciLexervirtualslot
setFoldAtElse(bool fold)QsciLexerPostScriptvirtualslot
setFoldCompact(bool fold)QsciLexerPostScriptvirtualslot
setFont(const QFont &f, int style=-1)QsciLexervirtualslot
setLevel(int level)QsciLexerPostScriptvirtualslot
setPaper(const QColor &c, int style=-1)QsciLexervirtualslot
setTokenize(bool tokenize)QsciLexerPostScriptvirtualslot
styleBitsNeeded() const QsciLexervirtual
Text enum valueQsciLexerPostScript
tokenize() const QsciLexerPostScript
wordCharacters() const QsciLexervirtual
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerPostScriptprotectedvirtual
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexervirtual
~QsciLexerPostScript()QsciLexerPostScriptvirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerPOV.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerPOV.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerPOV.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerPOV.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciLexerPOV Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerPOV Class Reference
- +
- +

#include <qscilexerpov.h>

Inherits QsciLexer.

- -

List of all members.

-

-Public Types

- -

-Public Slots

- -

-Public Member Functions

- -

-Protected Member Functions

- -

Detailed Description

-

The QsciLexerPOV class encapsulates the Scintilla POV lexer.

-

Member Enumeration Documentation

- + + + + +

+Public Types

enum  {
+  Default = 0, +Comment = 1, +CommentLine = 2, +
+  Number = 3, +Operator = 4, +Identifier = 5, +
+  String = 6, +UnclosedString = 7, +Directive = 8, +
+  BadDirective = 9, +ObjectsCSGAppearance = 10, +TypesModifiersItems = 11, +
+  PredefinedIdentifiers = 12, +PredefinedFunctions = 13, +KeywordSet6 = 14, +
+  KeywordSet7 = 15, +KeywordSet8 = 16 +
+ }
 
+ + + + + + + + + + + + + + + + + + +

+Public Slots

virtual void setFoldComments (bool fold)
 
virtual void setFoldCompact (bool fold)
 
virtual void setFoldDirectives (bool fold)
 
- Public Slots inherited from QsciLexer
virtual void setAutoIndentStyle (int autoindentstyle)
 
virtual void setColor (const QColor &c, int style=-1)
 
virtual void setEolFill (bool eoffill, int style=-1)
 
virtual void setFont (const QFont &f, int style=-1)
 
virtual void setPaper (const QColor &c, int style=-1)
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 QsciLexerPOV (QObject *parent=0)
 
+virtual ~QsciLexerPOV ()
 
+const char * language () const
 
const char * lexer () const
 
+int braceStyle () const
 
+const char * wordCharacters () const
 
QColor defaultColor (int style) const
 
+bool defaultEolFill (int style) const
 
+QFont defaultFont (int style) const
 
QColor defaultPaper (int style) const
 
const char * keywords (int set) const
 
QString description (int style) const
 
void refreshProperties ()
 
bool foldComments () const
 
bool foldCompact () const
 
bool foldDirectives () const
 
- Public Member Functions inherited from QsciLexer
 QsciLexer (QObject *parent=0)
 
+virtual ~QsciLexer ()
 
virtual int lexerId () const
 
QsciAbstractAPIsapis () const
 
+virtual const char * autoCompletionFillups () const
 
+virtual QStringList autoCompletionWordSeparators () const
 
int autoIndentStyle ()
 
+virtual const char * blockEnd (int *style=0) const
 
+virtual int blockLookback () const
 
+virtual const char * blockStart (int *style=0) const
 
+virtual const char * blockStartKeyword (int *style=0) const
 
+virtual bool caseSensitive () const
 
virtual QColor color (int style) const
 
virtual bool eolFill (int style) const
 
virtual QFont font (int style) const
 
+virtual int indentationGuideView () const
 
+virtual int defaultStyle () const
 
virtual QColor paper (int style) const
 
QColor defaultColor () const
 
QFont defaultFont () const
 
QColor defaultPaper () const
 
QsciScintillaeditor () const
 
void setAPIs (QsciAbstractAPIs *apis)
 
void setDefaultColor (const QColor &c)
 
void setDefaultFont (const QFont &f)
 
void setDefaultPaper (const QColor &c)
 
+virtual void setEditor (QsciScintilla *editor)
 
bool readSettings (QSettings &qs, const char *prefix="/Scintilla")
 
virtual int styleBitsNeeded () const
 
bool writeSettings (QSettings &qs, const char *prefix="/Scintilla") const
 
+ + + + + +

+Protected Member Functions

bool readProperties (QSettings &qs, const QString &prefix)
 
bool writeProperties (QSettings &qs, const QString &prefix) const
 
+ + + + + + + + + + + + +

+Additional Inherited Members

- Signals inherited from QsciLexer
void colorChanged (const QColor &c, int style)
 
void eolFillChanged (bool eolfilled, int style)
 
void fontChanged (const QFont &f, int style)
 
void paperChanged (const QColor &c, int style)
 
void propertyChanged (const char *prop, const char *val)
 
+

Detailed Description

+

The QsciLexerPOV class encapsulates the Scintilla POV lexer.

+

Member Enumeration Documentation

+
@@ -145,69 +251,66 @@
anonymous enum
-
-
+

This enum defines the meanings of the different styles used by the POV lexer.

-
Enumerator:
-
Default  + + - - - - - - - - - - - - - - - -
Enumerator
Default 

The default.

Comment  +
Comment 

A comment.

CommentLine  +
CommentLine 

A comment line.

Number  +
Number 

A number.

Operator  +
Operator 

An operator.

Identifier  +
Identifier 

An identifier.

String  +
String 

A string.

UnclosedString  +
UnclosedString 

The end of a line where a string is not closed.

Directive  +
Directive 

A directive.

BadDirective  +
BadDirective 

A bad directive.

ObjectsCSGAppearance  +
ObjectsCSGAppearance 

Objects, CSG and appearance.

TypesModifiersItems  +
TypesModifiersItems 

Types, modifiers and items.

PredefinedIdentifiers  +
PredefinedIdentifiers 

Predefined identifiers.

PredefinedFunctions  +
PredefinedFunctions 

Predefined identifiers.

KeywordSet6  +
KeywordSet6 

A keyword defined in keyword set number 6. The class must be sub-classed and re-implement keywords() to make use of this style.

KeywordSet7  +
KeywordSet7 

A keyword defined in keyword set number 7. The class must be sub-classed and re-implement keywords() to make use of this style.

KeywordSet8  +
KeywordSet8 

A keyword defined in keyword set number 8. The class must be sub-classed and re-implement keywords() to make use of this style.

- - -

Constructor & Destructor Documentation

- +

Constructor & Destructor Documentation

+
@@ -219,134 +322,175 @@
-
-
-

Construct a QsciLexerPOV with parent parent. parent is typically the QsciScintilla instance.

+
+

Construct a QsciLexerPOV with parent parent. parent is typically the QsciScintilla instance.

-

Member Function Documentation

- +

Member Function Documentation

+
+ + + + + +
- +
const char* QsciLexerPOV::lexer ( ) const [virtual] const
- -
+
+virtual
+

Returns the name of the lexer. Some lexers support a number of languages.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerPOV::defaultColor ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the foreground colour of the text for style number style.

-
See also:
defaultPaper()
+
See also
defaultPaper()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerPOV::defaultPaper ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the background colour of the text for style number style.

-
See also:
defaultColor()
+
See also
defaultColor()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
const char* QsciLexerPOV::keywords ( int  set) const [virtual] const
- -
+
+virtual
+

Returns the set of keywords for the keyword set set recognised by the lexer as a space separated string.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QString QsciLexerPOV::description ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the descriptive name for style number style. If the style is invalid for this language then an empty QString is returned. This is intended to be used in user preference dialogs.

Implements QsciLexer.

- +
+ + + + + +
- +
void QsciLexerPOV::refreshProperties ( ) [virtual]
- -
+
+virtual
+

Causes all properties to be refreshed by emitting the propertyChanged() signal as required.

Reimplemented from QsciLexer.

- +
@@ -357,14 +501,13 @@
const
-
-
+

Returns true if multi-line comment blocks can be folded.

-
See also:
setFoldComments()
+
See also
setFoldComments()
- +
@@ -375,14 +518,13 @@
const
-
-
+

Returns true if trailing blank lines are included in a fold block.

-
See also:
setFoldCompact()
+
See also
setFoldCompact()
- +
@@ -393,73 +535,96 @@
const
-
-
+

Returns true if directives can be folded.

-
See also:
setFoldDirectives()
+
See also
setFoldDirectives()
- +
+ + + + + +
- +
virtual void QsciLexerPOV::setFoldComments ( bool  fold) [virtual, slot]
- -
+
+virtualslot
+

If fold is true then multi-line comment blocks can be folded. The default is false.

-
See also:
foldComments()
+
See also
foldComments()
- +
+ + + + + +
- +
virtual void QsciLexerPOV::setFoldCompact ( bool  fold) [virtual, slot]
- -
+
+virtualslot
+

If fold is true then trailing blank lines are included in a fold block. The default is true.

-
See also:
foldCompact()
+
See also
foldCompact()
- +
+ + + + + +
- +
virtual void QsciLexerPOV::setFoldDirectives ( bool  fold) [virtual, slot]
- -
+
+virtualslot
+

If fold is true then directives can be folded. The default is false.

-
See also:
foldDirectives()
+
See also
foldDirectives()
- +
+ + + + + +
@@ -476,20 +641,27 @@ - +
bool QsciLexerPOV::readProperties
) [protected, virtual]
- -
+
+protectedvirtual
+

The lexer's properties are read from the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

Reimplemented from QsciLexer.

- +
+ + + + + +
@@ -506,25 +678,27 @@ - +
bool QsciLexerPOV::writeProperties
) const [protected, virtual] const
- -
+
+protectedvirtual
+

The lexer's properties are written to the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

Reimplemented from QsciLexer.

- - - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerPOV-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerPOV-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerPOV-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerPOV-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerPOV Member List
-
+
-This is the complete list of members for QsciLexerPOV, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexer [virtual]
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexer [virtual]
autoIndentStyle()QsciLexer
BadDirective enum valueQsciLexerPOV
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockLookback() const (defined in QsciLexer)QsciLexer [virtual]
blockStart(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
braceStyle() const (defined in QsciLexerPOV)QsciLexerPOV [virtual]
caseSensitive() const (defined in QsciLexer)QsciLexer [virtual]
color(int style) const QsciLexer [virtual]
colorChanged(const QColor &c, int style)QsciLexer [signal]
Comment enum valueQsciLexerPOV
CommentLine enum valueQsciLexerPOV
Default enum valueQsciLexerPOV
defaultColor(int style) const QsciLexerPOV [virtual]
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerPOV [virtual]
defaultFont(int style) const QsciLexerPOV [virtual]
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerPOV [virtual]
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexer [virtual]
description(int style) const QsciLexerPOV [virtual]
Directive enum valueQsciLexerPOV
editor() const QsciLexer [inline]
eolFill(int style) const QsciLexer [virtual]
eolFillChanged(bool eolfilled, int style)QsciLexer [signal]
foldComments() const QsciLexerPOV
foldCompact() const QsciLexerPOV
foldDirectives() const QsciLexerPOV
font(int style) const QsciLexer [virtual]
fontChanged(const QFont &f, int style)QsciLexer [signal]
Identifier enum valueQsciLexerPOV
indentationGuideView() const (defined in QsciLexer)QsciLexer [virtual]
keywords(int set) const QsciLexerPOV [virtual]
KeywordSet6 enum valueQsciLexerPOV
KeywordSet7 enum valueQsciLexerPOV
KeywordSet8 enum valueQsciLexerPOV
language() const QsciLexerPOV [virtual]
lexer() const QsciLexerPOV [virtual]
lexerId() const QsciLexer [virtual]
Number enum valueQsciLexerPOV
ObjectsCSGAppearance enum valueQsciLexerPOV
Operator enum valueQsciLexerPOV
paper(int style) const QsciLexer [virtual]
paperChanged(const QColor &c, int style)QsciLexer [signal]
PredefinedFunctions enum valueQsciLexerPOV
PredefinedIdentifiers enum valueQsciLexerPOV
propertyChanged(const char *prop, const char *val)QsciLexer [signal]
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerPOV(QObject *parent=0)QsciLexerPOV
readProperties(QSettings &qs, const QString &prefix)QsciLexerPOV [protected, virtual]
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerPOV [virtual]
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexer [virtual, slot]
setColor(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexer [virtual]
setEolFill(bool eoffill, int style=-1)QsciLexer [virtual, slot]
setFoldComments(bool fold)QsciLexerPOV [virtual, slot]
setFoldCompact(bool fold)QsciLexerPOV [virtual, slot]
setFoldDirectives(bool fold)QsciLexerPOV [virtual, slot]
setFont(const QFont &f, int style=-1)QsciLexer [virtual, slot]
setPaper(const QColor &c, int style=-1)QsciLexer [virtual, slot]
String enum valueQsciLexerPOV
styleBitsNeeded() const QsciLexer [virtual]
TypesModifiersItems enum valueQsciLexerPOV
UnclosedString enum valueQsciLexerPOV
wordCharacters() const QsciLexerPOV [virtual]
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerPOV [protected, virtual]
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexer [virtual]
~QsciLexerPOV()QsciLexerPOV [virtual]
- +

This is the complete list of members for QsciLexerPOV, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexervirtual
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexervirtual
autoIndentStyle()QsciLexer
BadDirective enum valueQsciLexerPOV
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockLookback() const (defined in QsciLexer)QsciLexervirtual
blockStart(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexervirtual
braceStyle() const (defined in QsciLexerPOV)QsciLexerPOVvirtual
caseSensitive() const (defined in QsciLexer)QsciLexervirtual
color(int style) const QsciLexervirtual
colorChanged(const QColor &c, int style)QsciLexersignal
Comment enum valueQsciLexerPOV
CommentLine enum valueQsciLexerPOV
Default enum valueQsciLexerPOV
defaultColor(int style) const QsciLexerPOVvirtual
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerPOVvirtual
defaultFont(int style) const QsciLexerPOVvirtual
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerPOVvirtual
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexervirtual
description(int style) const QsciLexerPOVvirtual
Directive enum valueQsciLexerPOV
editor() const QsciLexerinline
eolFill(int style) const QsciLexervirtual
eolFillChanged(bool eolfilled, int style)QsciLexersignal
foldComments() const QsciLexerPOV
foldCompact() const QsciLexerPOV
foldDirectives() const QsciLexerPOV
font(int style) const QsciLexervirtual
fontChanged(const QFont &f, int style)QsciLexersignal
Identifier enum valueQsciLexerPOV
indentationGuideView() const (defined in QsciLexer)QsciLexervirtual
keywords(int set) const QsciLexerPOVvirtual
KeywordSet6 enum valueQsciLexerPOV
KeywordSet7 enum valueQsciLexerPOV
KeywordSet8 enum valueQsciLexerPOV
language() const QsciLexerPOVvirtual
lexer() const QsciLexerPOVvirtual
lexerId() const QsciLexervirtual
Number enum valueQsciLexerPOV
ObjectsCSGAppearance enum valueQsciLexerPOV
Operator enum valueQsciLexerPOV
paper(int style) const QsciLexervirtual
paperChanged(const QColor &c, int style)QsciLexersignal
PredefinedFunctions enum valueQsciLexerPOV
PredefinedIdentifiers enum valueQsciLexerPOV
propertyChanged(const char *prop, const char *val)QsciLexersignal
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerPOV(QObject *parent=0)QsciLexerPOV
readProperties(QSettings &qs, const QString &prefix)QsciLexerPOVprotectedvirtual
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerPOVvirtual
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexervirtualslot
setColor(const QColor &c, int style=-1)QsciLexervirtualslot
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexervirtual
setEolFill(bool eoffill, int style=-1)QsciLexervirtualslot
setFoldComments(bool fold)QsciLexerPOVvirtualslot
setFoldCompact(bool fold)QsciLexerPOVvirtualslot
setFoldDirectives(bool fold)QsciLexerPOVvirtualslot
setFont(const QFont &f, int style=-1)QsciLexervirtualslot
setPaper(const QColor &c, int style=-1)QsciLexervirtualslot
String enum valueQsciLexerPOV
styleBitsNeeded() const QsciLexervirtual
TypesModifiersItems enum valueQsciLexerPOV
UnclosedString enum valueQsciLexerPOV
wordCharacters() const QsciLexerPOVvirtual
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerPOVprotectedvirtual
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexervirtual
~QsciLexerPOV()QsciLexerPOVvirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerProperties.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerProperties.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerProperties.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerProperties.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciLexerProperties Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerProperties Class Reference
- +
- +

#include <qscilexerproperties.h>

Inherits QsciLexer.

- -

List of all members.

-

-Public Types

- -

-Public Slots

- -

-Public Member Functions

- -

-Protected Member Functions

- -

Detailed Description

-

The QsciLexerProperties class encapsulates the Scintilla Properties lexer.

-

Member Enumeration Documentation

- + + + + +

+Public Types

enum  {
+  Default = 0, +Comment = 1, +Section = 2, +
+  Assignment = 3, +DefaultValue = 4, +Key = 5 +
+ }
 
+ + + + + + + + + + + + + + +

+Public Slots

virtual void setFoldCompact (bool fold)
 
- Public Slots inherited from QsciLexer
virtual void setAutoIndentStyle (int autoindentstyle)
 
virtual void setColor (const QColor &c, int style=-1)
 
virtual void setEolFill (bool eoffill, int style=-1)
 
virtual void setFont (const QFont &f, int style=-1)
 
virtual void setPaper (const QColor &c, int style=-1)
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 QsciLexerProperties (QObject *parent=0)
 
+virtual ~QsciLexerProperties ()
 
+const char * language () const
 
const char * lexer () const
 
+const char * wordCharacters () const
 
QColor defaultColor (int style) const
 
+bool defaultEolFill (int style) const
 
+QFont defaultFont (int style) const
 
QColor defaultPaper (int style) const
 
QString description (int style) const
 
void refreshProperties ()
 
bool foldCompact () const
 
void setInitialSpaces (bool enable)
 
bool initialSpaces () const
 
- Public Member Functions inherited from QsciLexer
 QsciLexer (QObject *parent=0)
 
+virtual ~QsciLexer ()
 
virtual int lexerId () const
 
QsciAbstractAPIsapis () const
 
+virtual const char * autoCompletionFillups () const
 
+virtual QStringList autoCompletionWordSeparators () const
 
int autoIndentStyle ()
 
+virtual const char * blockEnd (int *style=0) const
 
+virtual int blockLookback () const
 
+virtual const char * blockStart (int *style=0) const
 
+virtual const char * blockStartKeyword (int *style=0) const
 
+virtual int braceStyle () const
 
+virtual bool caseSensitive () const
 
virtual QColor color (int style) const
 
virtual bool eolFill (int style) const
 
virtual QFont font (int style) const
 
+virtual int indentationGuideView () const
 
virtual const char * keywords (int set) const
 
+virtual int defaultStyle () const
 
virtual QColor paper (int style) const
 
QColor defaultColor () const
 
QFont defaultFont () const
 
QColor defaultPaper () const
 
QsciScintillaeditor () const
 
void setAPIs (QsciAbstractAPIs *apis)
 
void setDefaultColor (const QColor &c)
 
void setDefaultFont (const QFont &f)
 
void setDefaultPaper (const QColor &c)
 
+virtual void setEditor (QsciScintilla *editor)
 
bool readSettings (QSettings &qs, const char *prefix="/Scintilla")
 
virtual int styleBitsNeeded () const
 
bool writeSettings (QSettings &qs, const char *prefix="/Scintilla") const
 
+ + + + + +

+Protected Member Functions

bool readProperties (QSettings &qs, const QString &prefix)
 
bool writeProperties (QSettings &qs, const QString &prefix) const
 
+ + + + + + + + + + + + +

+Additional Inherited Members

- Signals inherited from QsciLexer
void colorChanged (const QColor &c, int style)
 
void eolFillChanged (bool eolfilled, int style)
 
void fontChanged (const QFont &f, int style)
 
void paperChanged (const QColor &c, int style)
 
void propertyChanged (const char *prop, const char *val)
 
+

Detailed Description

+

The QsciLexerProperties class encapsulates the Scintilla Properties lexer.

+

Member Enumeration Documentation

+
@@ -125,36 +232,33 @@
anonymous enum
-
-
+

This enum defines the meanings of the different styles used by the Properties lexer.

-
Enumerator:
-
Default  + + - - - - -
Enumerator
Default 

The default.

Comment  +
Comment 

A comment.

Section  +
Section 

A section.

Assignment  +
Assignment 

An assignment operator.

DefaultValue  +
DefaultValue 

A default value.

Key  +
Key 

A key.

- - -

Constructor & Destructor Documentation

- +

Constructor & Destructor Documentation

+
@@ -166,132 +270,173 @@
-
-
-

Construct a QsciLexerProperties with parent parent. parent is typically the QsciScintilla instance.

+
+

Construct a QsciLexerProperties with parent parent. parent is typically the QsciScintilla instance.

-

Member Function Documentation

- +

Member Function Documentation

+
+ + + + + +
- +
const char* QsciLexerProperties::lexer ( ) const [virtual] const
- -
+
+virtual
+

Returns the name of the lexer. Some lexers support a number of languages.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerProperties::defaultColor ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the foreground colour of the text for style number style.

-
See also:
defaultPaper()
+
See also
defaultPaper()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerProperties::defaultPaper ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the background colour of the text for style number style.

-
See also:
defaultColor()
+
See also
defaultColor()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QString QsciLexerProperties::description ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the descriptive name for style number style. If the style is invalid for this language then an empty QString is returned. This is intended to be used in user preference dialogs.

Implements QsciLexer.

- +
+ + + + + +
- +
void QsciLexerProperties::refreshProperties ( ) [virtual]
- -
+
+virtual
+

Causes all properties to be refreshed by emitting the propertyChanged() signal as required.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
bool QsciLexerProperties::foldCompact ( ) const [inline] const
- -
+
+inline
+

Returns true if trailing blank lines are included in a fold block.

-
See also:
setFoldCompact()
+
See also
setFoldCompact()
- +
@@ -303,53 +448,69 @@
-
-
+

If enable is true then initial spaces in a line are allowed. The default is true.

-
See also:
initialSpaces()
+
See also
initialSpaces()
- +
+ + + + + +
- +
bool QsciLexerProperties::initialSpaces ( ) const [inline] const
- -
+
+inline
+

Returns true if initial spaces in a line are allowed.

-
See also:
setInitialSpaces()
+
See also
setInitialSpaces()
- +
+ + + + + +
- +
virtual void QsciLexerProperties::setFoldCompact ( bool  fold) [virtual, slot]
- -
+
+virtualslot
+

If fold is true then trailing blank lines are included in a fold block. The default is true.

-
See also:
foldCompact()
+
See also
foldCompact()
- +
+ + + + + +
@@ -366,21 +527,28 @@ - +
bool QsciLexerProperties::readProperties
) [protected, virtual]
- -
+
+protectedvirtual
+

The lexer's properties are read from the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

-
See also:
writeProperties()
+
See also
writeProperties()

Reimplemented from QsciLexer.

- +
+ + + + + +
@@ -397,26 +565,28 @@ - +
bool QsciLexerProperties::writeProperties
) const [protected, virtual] const
- -
+
+protectedvirtual
+

The lexer's properties are written to the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

-
See also:
readProperties()
+
See also
readProperties()

Reimplemented from QsciLexer.

- - - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerProperties-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerProperties-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerProperties-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerProperties-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerProperties Member List
-
+
-This is the complete list of members for QsciLexerProperties, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
apis() const QsciLexer
Assignment enum valueQsciLexerProperties
autoCompletionFillups() const (defined in QsciLexer)QsciLexer [virtual]
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexer [virtual]
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockLookback() const (defined in QsciLexer)QsciLexer [virtual]
blockStart(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
braceStyle() const (defined in QsciLexer)QsciLexer [virtual]
caseSensitive() const (defined in QsciLexer)QsciLexer [virtual]
color(int style) const QsciLexer [virtual]
colorChanged(const QColor &c, int style)QsciLexer [signal]
Comment enum valueQsciLexerProperties
Default enum valueQsciLexerProperties
defaultColor(int style) const QsciLexerProperties [virtual]
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerProperties [virtual]
defaultFont(int style) const QsciLexerProperties [virtual]
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerProperties [virtual]
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexer [virtual]
DefaultValue enum valueQsciLexerProperties
description(int style) const QsciLexerProperties [virtual]
editor() const QsciLexer [inline]
eolFill(int style) const QsciLexer [virtual]
eolFillChanged(bool eolfilled, int style)QsciLexer [signal]
foldCompact() const QsciLexerProperties [inline]
font(int style) const QsciLexer [virtual]
fontChanged(const QFont &f, int style)QsciLexer [signal]
indentationGuideView() const (defined in QsciLexer)QsciLexer [virtual]
initialSpaces() const QsciLexerProperties [inline]
Key enum valueQsciLexerProperties
keywords(int set) const QsciLexer [virtual]
language() const QsciLexerProperties [virtual]
lexer() const QsciLexerProperties [virtual]
lexerId() const QsciLexer [virtual]
paper(int style) const QsciLexer [virtual]
paperChanged(const QColor &c, int style)QsciLexer [signal]
propertyChanged(const char *prop, const char *val)QsciLexer [signal]
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerProperties(QObject *parent=0)QsciLexerProperties
readProperties(QSettings &qs, const QString &prefix)QsciLexerProperties [protected, virtual]
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerProperties [virtual]
Section enum valueQsciLexerProperties
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexer [virtual, slot]
setColor(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexer [virtual]
setEolFill(bool eoffill, int style=-1)QsciLexer [virtual, slot]
setFoldCompact(bool fold)QsciLexerProperties [virtual, slot]
setFont(const QFont &f, int style=-1)QsciLexer [virtual, slot]
setInitialSpaces(bool enable)QsciLexerProperties
setPaper(const QColor &c, int style=-1)QsciLexer [virtual, slot]
styleBitsNeeded() const QsciLexer [virtual]
wordCharacters() const QsciLexerProperties [virtual]
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerProperties [protected, virtual]
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexer [virtual]
~QsciLexerProperties()QsciLexerProperties [virtual]
- +

This is the complete list of members for QsciLexerProperties, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
apis() const QsciLexer
Assignment enum valueQsciLexerProperties
autoCompletionFillups() const (defined in QsciLexer)QsciLexervirtual
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexervirtual
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockLookback() const (defined in QsciLexer)QsciLexervirtual
blockStart(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexervirtual
braceStyle() const (defined in QsciLexer)QsciLexervirtual
caseSensitive() const (defined in QsciLexer)QsciLexervirtual
color(int style) const QsciLexervirtual
colorChanged(const QColor &c, int style)QsciLexersignal
Comment enum valueQsciLexerProperties
Default enum valueQsciLexerProperties
defaultColor(int style) const QsciLexerPropertiesvirtual
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerPropertiesvirtual
defaultFont(int style) const QsciLexerPropertiesvirtual
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerPropertiesvirtual
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexervirtual
DefaultValue enum valueQsciLexerProperties
description(int style) const QsciLexerPropertiesvirtual
editor() const QsciLexerinline
eolFill(int style) const QsciLexervirtual
eolFillChanged(bool eolfilled, int style)QsciLexersignal
foldCompact() const QsciLexerPropertiesinline
font(int style) const QsciLexervirtual
fontChanged(const QFont &f, int style)QsciLexersignal
indentationGuideView() const (defined in QsciLexer)QsciLexervirtual
initialSpaces() const QsciLexerPropertiesinline
Key enum valueQsciLexerProperties
keywords(int set) const QsciLexervirtual
language() const QsciLexerPropertiesvirtual
lexer() const QsciLexerPropertiesvirtual
lexerId() const QsciLexervirtual
paper(int style) const QsciLexervirtual
paperChanged(const QColor &c, int style)QsciLexersignal
propertyChanged(const char *prop, const char *val)QsciLexersignal
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerProperties(QObject *parent=0)QsciLexerProperties
readProperties(QSettings &qs, const QString &prefix)QsciLexerPropertiesprotectedvirtual
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerPropertiesvirtual
Section enum valueQsciLexerProperties
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexervirtualslot
setColor(const QColor &c, int style=-1)QsciLexervirtualslot
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexervirtual
setEolFill(bool eoffill, int style=-1)QsciLexervirtualslot
setFoldCompact(bool fold)QsciLexerPropertiesvirtualslot
setFont(const QFont &f, int style=-1)QsciLexervirtualslot
setInitialSpaces(bool enable)QsciLexerProperties
setPaper(const QColor &c, int style=-1)QsciLexervirtualslot
styleBitsNeeded() const QsciLexervirtual
wordCharacters() const QsciLexerPropertiesvirtual
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerPropertiesprotectedvirtual
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexervirtual
~QsciLexerProperties()QsciLexerPropertiesvirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerPython.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerPython.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerPython.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerPython.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciLexerPython Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerPython Class Reference
- +
- +

#include <qscilexerpython.h>

Inherits QsciLexer.

- -

List of all members.

-

-Public Types

- -

-Public Slots

- -

-Public Member Functions

- -

-Protected Member Functions

- -

Detailed Description

-

The QsciLexerPython class encapsulates the Scintilla Python lexer.

-

Member Enumeration Documentation

- + + + + + + +

+Public Types

enum  {
+  Default = 0, +Comment = 1, +Number = 2, +
+  DoubleQuotedString = 3, +SingleQuotedString = 4, +Keyword = 5, +
+  TripleSingleQuotedString = 6, +TripleDoubleQuotedString = 7, +ClassName = 8, +
+  FunctionMethodName = 9, +Operator = 10, +Identifier = 11, +
+  CommentBlock = 12, +UnclosedString = 13, +HighlightedIdentifier = 14, +
+  Decorator = 15 +
+ }
 
enum  IndentationWarning {
+  NoWarning = 0, +Inconsistent = 1, +TabsAfterSpaces = 2, +
+  Spaces = 3, +Tabs = 4 +
+ }
 
+ + + + + + + + + + + + + + + + + + +

+Public Slots

virtual void setFoldComments (bool fold)
 
virtual void setFoldQuotes (bool fold)
 
virtual void setIndentationWarning (QsciLexerPython::IndentationWarning warn)
 
- Public Slots inherited from QsciLexer
virtual void setAutoIndentStyle (int autoindentstyle)
 
virtual void setColor (const QColor &c, int style=-1)
 
virtual void setEolFill (bool eoffill, int style=-1)
 
virtual void setFont (const QFont &f, int style=-1)
 
virtual void setPaper (const QColor &c, int style=-1)
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 QsciLexerPython (QObject *parent=0)
 
+virtual ~QsciLexerPython ()
 
+const char * language () const
 
const char * lexer () const
 
+QStringList autoCompletionWordSeparators () const
 
+int blockLookback () const
 
+const char * blockStart (int *style=0) const
 
+int braceStyle () const
 
QColor defaultColor (int style) const
 
+bool defaultEolFill (int style) const
 
+QFont defaultFont (int style) const
 
QColor defaultPaper (int style) const
 
+virtual int indentationGuideView () const
 
const char * keywords (int set) const
 
QString description (int style) const
 
void refreshProperties ()
 
bool foldComments () const
 
void setFoldCompact (bool fold)
 
bool foldCompact () const
 
bool foldQuotes () const
 
QsciLexerPython::IndentationWarning indentationWarning () const
 
void setHighlightSubidentifiers (bool enabled)
 
bool highlightSubidentifiers () const
 
void setStringsOverNewlineAllowed (bool allowed)
 
bool stringsOverNewlineAllowed () const
 
void setV2UnicodeAllowed (bool allowed)
 
bool v2UnicodeAllowed () const
 
void setV3BinaryOctalAllowed (bool allowed)
 
bool v3BinaryOctalAllowed () const
 
void setV3BytesAllowed (bool allowed)
 
bool v3BytesAllowed () const
 
- Public Member Functions inherited from QsciLexer
 QsciLexer (QObject *parent=0)
 
+virtual ~QsciLexer ()
 
virtual int lexerId () const
 
QsciAbstractAPIsapis () const
 
+virtual const char * autoCompletionFillups () const
 
int autoIndentStyle ()
 
+virtual const char * blockEnd (int *style=0) const
 
+virtual const char * blockStartKeyword (int *style=0) const
 
+virtual bool caseSensitive () const
 
virtual QColor color (int style) const
 
virtual bool eolFill (int style) const
 
virtual QFont font (int style) const
 
+virtual int defaultStyle () const
 
virtual QColor paper (int style) const
 
QColor defaultColor () const
 
QFont defaultFont () const
 
QColor defaultPaper () const
 
QsciScintillaeditor () const
 
void setAPIs (QsciAbstractAPIs *apis)
 
void setDefaultColor (const QColor &c)
 
void setDefaultFont (const QFont &f)
 
void setDefaultPaper (const QColor &c)
 
+virtual void setEditor (QsciScintilla *editor)
 
bool readSettings (QSettings &qs, const char *prefix="/Scintilla")
 
virtual int styleBitsNeeded () const
 
virtual const char * wordCharacters () const
 
bool writeSettings (QSettings &qs, const char *prefix="/Scintilla") const
 
+ + + + + +

+Protected Member Functions

bool readProperties (QSettings &qs, const QString &prefix)
 
bool writeProperties (QSettings &qs, const QString &prefix) const
 
+ + + + + + + + + + + + +

+Additional Inherited Members

- Signals inherited from QsciLexer
void colorChanged (const QColor &c, int style)
 
void eolFillChanged (bool eolfilled, int style)
 
void fontChanged (const QFont &f, int style)
 
void paperChanged (const QColor &c, int style)
 
void propertyChanged (const char *prop, const char *val)
 
+

Detailed Description

+

The QsciLexerPython class encapsulates the Scintilla Python lexer.

+

Member Enumeration Documentation

+
@@ -171,65 +283,64 @@
anonymous enum
-
-
+

This enum defines the meanings of the different styles used by the Python lexer.

-
Enumerator:
-
Default  + + - - - - - - - - - - - - - - -
Enumerator
Default 

The default.

Comment  +
Comment 

A comment.

Number  +
Number 

A number.

DoubleQuotedString  +
DoubleQuotedString 

A double-quoted string.

SingleQuotedString  +
SingleQuotedString 

A single-quoted string.

Keyword  +
Keyword 

A keyword.

TripleSingleQuotedString  +
TripleSingleQuotedString 

A triple single-quoted string.

TripleDoubleQuotedString  +
TripleDoubleQuotedString 

A triple double-quoted string.

ClassName  +
ClassName 

The name of a class.

FunctionMethodName  +
FunctionMethodName 

The name of a function or method.

Operator  +
Operator 

An operator.

Identifier  +
Identifier 

An identifier.

CommentBlock  +
CommentBlock 

A comment block.

UnclosedString  +
UnclosedString 

The end of a line where a string is not closed.

HighlightedIdentifier  -

A highlighted identifier. These are defined by keyword set 2. Reimplement keywords() to define keyword set 2.

+
HighlightedIdentifier  +

A highlighted identifier. These are defined by keyword set

    +
  1. Reimplement keywords() to define keyword set 2.
  2. +
Decorator  +
Decorator 

A decorator.

- - - +
@@ -237,33 +348,30 @@
enum QsciLexerPython::IndentationWarning
-
-
+

This enum defines the different conditions that can cause indentations to be displayed as being bad.

-
Enumerator:
-
NoWarning  + + - - - -
Enumerator
NoWarning 

Bad indentation is not displayed differently.

Inconsistent  +
Inconsistent 

The indentation is inconsistent when compared to the previous line, ie. it is made up of a different combination of tabs and/or spaces.

TabsAfterSpaces  +
TabsAfterSpaces 

The indentation is made up of spaces followed by tabs.

Spaces  +
Spaces 

The indentation contains spaces.

Tabs  +
Tabs 

The indentation contains tabs.

- - -

Constructor & Destructor Documentation

- +

Constructor & Destructor Documentation

+
@@ -275,152 +383,200 @@
-
-
-

Construct a QsciLexerPython with parent parent. parent is typically the QsciScintilla instance.

+
+

Construct a QsciLexerPython with parent parent. parent is typically the QsciScintilla instance.

-

Member Function Documentation

- +

Member Function Documentation

+
+ + + + + +
- +
const char* QsciLexerPython::lexer ( ) const [virtual] const
- -
+
+virtual
+

Returns the name of the lexer. Some lexers support a number of languages.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerPython::defaultColor ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the foreground colour of the text for style number style.

-
See also:
defaultPaper()
+
See also
defaultPaper()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerPython::defaultPaper ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the background colour of the text for style number style.

-
See also:
defaultColor()
+
See also
defaultColor()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
const char* QsciLexerPython::keywords ( int  set) const [virtual] const
- -
+
+virtual
+

Returns the set of keywords for the keyword set set recognised by the lexer as a space separated string.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QString QsciLexerPython::description ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the descriptive name for style number style. If the style is invalid for this language then an empty QString is returned. This is intended to be used in user preference dialogs.

Implements QsciLexer.

- +
+ + + + + +
- +
void QsciLexerPython::refreshProperties ( ) [virtual]
- -
+
+virtual
+

Causes all properties to be refreshed by emitting the propertyChanged() signal as required.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
bool QsciLexerPython::foldComments ( ) const [inline] const
- -
+
+inline
+

Returns true if indented comment blocks can be folded.

-
See also:
setFoldComments()
+
See also
setFoldComments()
- +
@@ -432,68 +588,88 @@
-
-
+

If fold is true then trailing blank lines are included in a fold block. The default is true.

-
See also:
foldCompact()
+
See also
foldCompact()
- +
+ + + + + +
- +
bool QsciLexerPython::foldCompact ( ) const [inline] const
- -
+
+inline
+

Returns true if trailing blank lines are included in a fold block.

-
See also:
setFoldCompact()
+
See also
setFoldCompact()
- +
+ + + + + +
- +
bool QsciLexerPython::foldQuotes ( ) const [inline] const
- -
+
+inline
+

Returns true if triple quoted strings can be folded.

-
See also:
setFoldQuotes()
+
See also
setFoldQuotes()
- +
+ + + + + +
- +
QsciLexerPython::IndentationWarning QsciLexerPython::indentationWarning ( ) const [inline] const
- -
+
+inline
+

Returns the condition that will cause bad indentations to be displayed.

-
See also:
setIndentationWarning()
+
See also
setIndentationWarning()
- +
@@ -505,32 +681,38 @@
-
-
+

If enabled is true then sub-identifiers defined in keyword set 2 will be highlighted. For example, if it is false and "open" is defined in keyword set 2 then "foo.open" will not be highlighted. The default is true.

-
See also:
highlightSubidentifiers()
+
See also
highlightSubidentifiers()
- +
+ + + + + +
- +
bool QsciLexerPython::highlightSubidentifiers ( ) const [inline] const
- -
+
+inline
+

Returns true if string literals are allowed to span newline characters.

-
See also:
setHighlightSubidentifiers()
+
See also
setHighlightSubidentifiers()
- +
@@ -542,32 +724,38 @@
-
-
+

If allowed is true then string literals are allowed to span newline characters. The default is false.

-
See also:
stringsOverNewlineAllowed()
+
See also
stringsOverNewlineAllowed()
- +
+ + + + + +
- +
bool QsciLexerPython::stringsOverNewlineAllowed ( ) const [inline] const
- -
+
+inline
+

Returns true if string literals are allowed to span newline characters.

-
See also:
setStringsOverNewlineAllowed()
+
See also
setStringsOverNewlineAllowed()
- +
@@ -579,32 +767,38 @@
-
-
+

If allowed is true then Python v2 unicode string literals (e.g. u"utf8") are allowed. The default is true.

-
See also:
v2UnicodeAllowed()
+
See also
v2UnicodeAllowed()
- +
+ + + + + +
- +
bool QsciLexerPython::v2UnicodeAllowed ( ) const [inline] const
- -
+
+inline
+

Returns true if Python v2 unicode string literals (e.g. u"utf8") are allowed.

-
See also:
setV2UnicodeAllowed()
+
See also
setV2UnicodeAllowed()
- +
@@ -616,32 +810,38 @@
-
-
+

If allowed is true then Python v3 binary and octal literals (e.g. 0b1011, 0o712) are allowed. The default is true.

-
See also:
v3BinaryOctalAllowed()
+
See also
v3BinaryOctalAllowed()
- +
+ + + + + +
- +
bool QsciLexerPython::v3BinaryOctalAllowed ( ) const [inline] const
- -
+
+inline
+

Returns true if Python v3 binary and octal literals (e.g. 0b1011, 0o712) are allowed.

-
See also:
setV3BinaryOctalAllowed()
+
See also
setV3BinaryOctalAllowed()
- +
@@ -653,91 +853,121 @@
-
-
+

If allowed is true then Python v3 bytes string literals (e.g. b"bytes") are allowed. The default is true.

-
See also:
v3BytesAllowed()
+
See also
v3BytesAllowed()
- +
+ + + + + +
- +
bool QsciLexerPython::v3BytesAllowed ( ) const [inline] const
- -
+
+inline
+

Returns true if Python v3 bytes string literals (e.g. b"bytes") are allowed.

-
See also:
setV3BytesAllowed()
+
See also
setV3BytesAllowed()
- +
+ + + + + +
- +
virtual void QsciLexerPython::setFoldComments ( bool  fold) [virtual, slot]
- -
+
+virtualslot
+

If fold is true then indented comment blocks can be folded. The default is false.

-
See also:
foldComments()
+
See also
foldComments()
- +
+ + + + + +
- +
virtual void QsciLexerPython::setFoldQuotes ( bool  fold) [virtual, slot]
- -
+
+virtualslot
+

If fold is true then triple quoted strings can be folded. The default is false.

-
See also:
foldQuotes()
+
See also
foldQuotes()
- +
+ + + + + +
- +
virtual void QsciLexerPython::setIndentationWarning ( QsciLexerPython::IndentationWarning  warn) [virtual, slot]
- -
+
+virtualslot
+

Sets the condition that will cause bad indentations to be displayed.

-
See also:
indentationWarning()
+
See also
indentationWarning()
- +
+ + + + + +
@@ -754,20 +984,27 @@ - +
bool QsciLexerPython::readProperties
) [protected, virtual]
- -
+
+protectedvirtual
+

The lexer's properties are read from the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

Reimplemented from QsciLexer.

- +
+ + + + + +
@@ -784,25 +1021,27 @@ - +
bool QsciLexerPython::writeProperties
) const [protected, virtual] const
- -
+
+protectedvirtual
+

The lexer's properties are written to the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

Reimplemented from QsciLexer.

- - - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerPython-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerPython-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerPython-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerPython-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerPython Member List
-
+
-This is the complete list of members for QsciLexerPython, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexer [virtual]
autoCompletionWordSeparators() const (defined in QsciLexerPython)QsciLexerPython [virtual]
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockLookback() const (defined in QsciLexerPython)QsciLexerPython [virtual]
blockStart(int *style=0) const (defined in QsciLexerPython)QsciLexerPython [virtual]
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
braceStyle() const (defined in QsciLexerPython)QsciLexerPython [virtual]
caseSensitive() const (defined in QsciLexer)QsciLexer [virtual]
ClassName enum valueQsciLexerPython
color(int style) const QsciLexer [virtual]
colorChanged(const QColor &c, int style)QsciLexer [signal]
Comment enum valueQsciLexerPython
CommentBlock enum valueQsciLexerPython
Decorator enum valueQsciLexerPython
Default enum valueQsciLexerPython
defaultColor(int style) const QsciLexerPython [virtual]
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerPython [virtual]
defaultFont(int style) const QsciLexerPython [virtual]
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerPython [virtual]
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexer [virtual]
description(int style) const QsciLexerPython [virtual]
DoubleQuotedString enum valueQsciLexerPython
editor() const QsciLexer [inline]
eolFill(int style) const QsciLexer [virtual]
eolFillChanged(bool eolfilled, int style)QsciLexer [signal]
foldComments() const QsciLexerPython [inline]
foldCompact() const QsciLexerPython [inline]
foldQuotes() const QsciLexerPython [inline]
font(int style) const QsciLexer [virtual]
fontChanged(const QFont &f, int style)QsciLexer [signal]
FunctionMethodName enum valueQsciLexerPython
HighlightedIdentifier enum valueQsciLexerPython
highlightSubidentifiers() const QsciLexerPython [inline]
Identifier enum valueQsciLexerPython
Inconsistent enum valueQsciLexerPython
indentationGuideView() const (defined in QsciLexerPython)QsciLexerPython [virtual]
IndentationWarning enum nameQsciLexerPython
indentationWarning() const QsciLexerPython [inline]
Keyword enum valueQsciLexerPython
keywords(int set) const QsciLexerPython [virtual]
language() const QsciLexerPython [virtual]
lexer() const QsciLexerPython [virtual]
lexerId() const QsciLexer [virtual]
NoWarning enum valueQsciLexerPython
Number enum valueQsciLexerPython
Operator enum valueQsciLexerPython
paper(int style) const QsciLexer [virtual]
paperChanged(const QColor &c, int style)QsciLexer [signal]
propertyChanged(const char *prop, const char *val)QsciLexer [signal]
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerPython(QObject *parent=0)QsciLexerPython
readProperties(QSettings &qs, const QString &prefix)QsciLexerPython [protected, virtual]
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerPython [virtual]
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexer [virtual, slot]
setColor(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexer [virtual]
setEolFill(bool eoffill, int style=-1)QsciLexer [virtual, slot]
setFoldComments(bool fold)QsciLexerPython [virtual, slot]
setFoldCompact(bool fold)QsciLexerPython
setFoldQuotes(bool fold)QsciLexerPython [virtual, slot]
setFont(const QFont &f, int style=-1)QsciLexer [virtual, slot]
setHighlightSubidentifiers(bool enabled)QsciLexerPython
setIndentationWarning(QsciLexerPython::IndentationWarning warn)QsciLexerPython [virtual, slot]
setPaper(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setStringsOverNewlineAllowed(bool allowed)QsciLexerPython
setV2UnicodeAllowed(bool allowed)QsciLexerPython
setV3BinaryOctalAllowed(bool allowed)QsciLexerPython
setV3BytesAllowed(bool allowed)QsciLexerPython
SingleQuotedString enum valueQsciLexerPython
Spaces enum valueQsciLexerPython
stringsOverNewlineAllowed() const QsciLexerPython [inline]
styleBitsNeeded() const QsciLexer [virtual]
Tabs enum valueQsciLexerPython
TabsAfterSpaces enum valueQsciLexerPython
TripleDoubleQuotedString enum valueQsciLexerPython
TripleSingleQuotedString enum valueQsciLexerPython
UnclosedString enum valueQsciLexerPython
v2UnicodeAllowed() const QsciLexerPython [inline]
v3BinaryOctalAllowed() const QsciLexerPython [inline]
v3BytesAllowed() const QsciLexerPython [inline]
wordCharacters() const QsciLexer [virtual]
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerPython [protected, virtual]
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexer [virtual]
~QsciLexerPython()QsciLexerPython [virtual]
- +

This is the complete list of members for QsciLexerPython, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexervirtual
autoCompletionWordSeparators() const (defined in QsciLexerPython)QsciLexerPythonvirtual
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockLookback() const (defined in QsciLexerPython)QsciLexerPythonvirtual
blockStart(int *style=0) const (defined in QsciLexerPython)QsciLexerPythonvirtual
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexervirtual
braceStyle() const (defined in QsciLexerPython)QsciLexerPythonvirtual
caseSensitive() const (defined in QsciLexer)QsciLexervirtual
ClassName enum valueQsciLexerPython
color(int style) const QsciLexervirtual
colorChanged(const QColor &c, int style)QsciLexersignal
Comment enum valueQsciLexerPython
CommentBlock enum valueQsciLexerPython
Decorator enum valueQsciLexerPython
Default enum valueQsciLexerPython
defaultColor(int style) const QsciLexerPythonvirtual
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerPythonvirtual
defaultFont(int style) const QsciLexerPythonvirtual
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerPythonvirtual
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexervirtual
description(int style) const QsciLexerPythonvirtual
DoubleQuotedString enum valueQsciLexerPython
editor() const QsciLexerinline
eolFill(int style) const QsciLexervirtual
eolFillChanged(bool eolfilled, int style)QsciLexersignal
foldComments() const QsciLexerPythoninline
foldCompact() const QsciLexerPythoninline
foldQuotes() const QsciLexerPythoninline
font(int style) const QsciLexervirtual
fontChanged(const QFont &f, int style)QsciLexersignal
FunctionMethodName enum valueQsciLexerPython
HighlightedIdentifier enum valueQsciLexerPython
highlightSubidentifiers() const QsciLexerPythoninline
Identifier enum valueQsciLexerPython
Inconsistent enum valueQsciLexerPython
indentationGuideView() const (defined in QsciLexerPython)QsciLexerPythonvirtual
IndentationWarning enum nameQsciLexerPython
indentationWarning() const QsciLexerPythoninline
Keyword enum valueQsciLexerPython
keywords(int set) const QsciLexerPythonvirtual
language() const QsciLexerPythonvirtual
lexer() const QsciLexerPythonvirtual
lexerId() const QsciLexervirtual
NoWarning enum valueQsciLexerPython
Number enum valueQsciLexerPython
Operator enum valueQsciLexerPython
paper(int style) const QsciLexervirtual
paperChanged(const QColor &c, int style)QsciLexersignal
propertyChanged(const char *prop, const char *val)QsciLexersignal
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerPython(QObject *parent=0)QsciLexerPython
readProperties(QSettings &qs, const QString &prefix)QsciLexerPythonprotectedvirtual
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerPythonvirtual
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexervirtualslot
setColor(const QColor &c, int style=-1)QsciLexervirtualslot
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexervirtual
setEolFill(bool eoffill, int style=-1)QsciLexervirtualslot
setFoldComments(bool fold)QsciLexerPythonvirtualslot
setFoldCompact(bool fold)QsciLexerPython
setFoldQuotes(bool fold)QsciLexerPythonvirtualslot
setFont(const QFont &f, int style=-1)QsciLexervirtualslot
setHighlightSubidentifiers(bool enabled)QsciLexerPython
setIndentationWarning(QsciLexerPython::IndentationWarning warn)QsciLexerPythonvirtualslot
setPaper(const QColor &c, int style=-1)QsciLexervirtualslot
setStringsOverNewlineAllowed(bool allowed)QsciLexerPython
setV2UnicodeAllowed(bool allowed)QsciLexerPython
setV3BinaryOctalAllowed(bool allowed)QsciLexerPython
setV3BytesAllowed(bool allowed)QsciLexerPython
SingleQuotedString enum valueQsciLexerPython
Spaces enum valueQsciLexerPython
stringsOverNewlineAllowed() const QsciLexerPythoninline
styleBitsNeeded() const QsciLexervirtual
Tabs enum valueQsciLexerPython
TabsAfterSpaces enum valueQsciLexerPython
TripleDoubleQuotedString enum valueQsciLexerPython
TripleSingleQuotedString enum valueQsciLexerPython
UnclosedString enum valueQsciLexerPython
v2UnicodeAllowed() const QsciLexerPythoninline
v3BinaryOctalAllowed() const QsciLexerPythoninline
v3BytesAllowed() const QsciLexerPythoninline
wordCharacters() const QsciLexervirtual
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerPythonprotectedvirtual
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexervirtual
~QsciLexerPython()QsciLexerPythonvirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerRuby.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerRuby.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerRuby.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerRuby.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciLexerRuby Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerRuby Class Reference
- +
- +

#include <qscilexerruby.h>

Inherits QsciLexer.

- -

List of all members.

-

-Public Types

- -

-Public Member Functions

- -

-Protected Member Functions

- -

Detailed Description

-

The QsciLexerRuby class encapsulates the Scintilla Ruby lexer.

-

Member Enumeration Documentation

- + + + + +

+Public Types

enum  {
+  Default = 0, +Error = 1, +Comment = 2, +
+  POD = 3, +Number = 4, +Keyword = 5, +
+  DoubleQuotedString = 6, +SingleQuotedString = 7, +ClassName = 8, +
+  FunctionMethodName = 9, +Operator = 10, +Identifier = 11, +
+  Regex = 12, +Global = 13, +Symbol = 14, +
+  ModuleName = 15, +InstanceVariable = 16, +ClassVariable = 17, +
+  Backticks = 18, +DataSection = 19, +HereDocumentDelimiter = 20, +
+  HereDocument = 21, +PercentStringq = 24, +PercentStringQ = 25, +
+  PercentStringx = 26, +PercentStringr = 27, +PercentStringw = 28, +
+  DemotedKeyword = 29, +Stdin = 30, +Stdout = 31, +
+  Stderr = 40 +
+ }
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 QsciLexerRuby (QObject *parent=0)
 
+virtual ~QsciLexerRuby ()
 
+const char * language () const
 
const char * lexer () const
 
+const char * blockEnd (int *style=0) const
 
+const char * blockStart (int *style=0) const
 
+const char * blockStartKeyword (int *style=0) const
 
+int braceStyle () const
 
QColor defaultColor (int style) const
 
+bool defaultEolFill (int style) const
 
+QFont defaultFont (int style) const
 
QColor defaultPaper (int style) const
 
const char * keywords (int set) const
 
QString description (int style) const
 
void refreshProperties ()
 
void setFoldComments (bool fold)
 
bool foldComments () const
 
void setFoldCompact (bool fold)
 
bool foldCompact () const
 
- Public Member Functions inherited from QsciLexer
 QsciLexer (QObject *parent=0)
 
+virtual ~QsciLexer ()
 
virtual int lexerId () const
 
QsciAbstractAPIsapis () const
 
+virtual const char * autoCompletionFillups () const
 
+virtual QStringList autoCompletionWordSeparators () const
 
int autoIndentStyle ()
 
+virtual int blockLookback () const
 
+virtual bool caseSensitive () const
 
virtual QColor color (int style) const
 
virtual bool eolFill (int style) const
 
virtual QFont font (int style) const
 
+virtual int indentationGuideView () const
 
+virtual int defaultStyle () const
 
virtual QColor paper (int style) const
 
QColor defaultColor () const
 
QFont defaultFont () const
 
QColor defaultPaper () const
 
QsciScintillaeditor () const
 
void setAPIs (QsciAbstractAPIs *apis)
 
void setDefaultColor (const QColor &c)
 
void setDefaultFont (const QFont &f)
 
void setDefaultPaper (const QColor &c)
 
+virtual void setEditor (QsciScintilla *editor)
 
bool readSettings (QSettings &qs, const char *prefix="/Scintilla")
 
virtual int styleBitsNeeded () const
 
virtual const char * wordCharacters () const
 
bool writeSettings (QSettings &qs, const char *prefix="/Scintilla") const
 
+ + + + + +

+Protected Member Functions

bool readProperties (QSettings &qs, const QString &prefix)
 
bool writeProperties (QSettings &qs, const QString &prefix) const
 
+ + + + + + + + + + + + + + + + + + + + + + + +

+Additional Inherited Members

- Public Slots inherited from QsciLexer
virtual void setAutoIndentStyle (int autoindentstyle)
 
virtual void setColor (const QColor &c, int style=-1)
 
virtual void setEolFill (bool eoffill, int style=-1)
 
virtual void setFont (const QFont &f, int style=-1)
 
virtual void setPaper (const QColor &c, int style=-1)
 
- Signals inherited from QsciLexer
void colorChanged (const QColor &c, int style)
 
void eolFillChanged (bool eolfilled, int style)
 
void fontChanged (const QFont &f, int style)
 
void paperChanged (const QColor &c, int style)
 
void propertyChanged (const char *prop, const char *val)
 
+

Detailed Description

+

The QsciLexerRuby class encapsulates the Scintilla Ruby lexer.

+

Member Enumeration Documentation

+
@@ -161,111 +261,108 @@
anonymous enum
-
-
+

This enum defines the meanings of the different styles used by the Ruby lexer.

-
Enumerator:
-
Default  + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Enumerator
Default 

The default.

Error  +
Error 

An error.

Comment  +
Comment 

A comment.

POD  +
POD 

A POD.

Number  +
Number 

A number.

Keyword  +
Keyword 

A keyword.

DoubleQuotedString  +
DoubleQuotedString 

A double-quoted string.

SingleQuotedString  +
SingleQuotedString 

A single-quoted string.

ClassName  +
ClassName 

The name of a class.

FunctionMethodName  +
FunctionMethodName 

The name of a function or method.

Operator  +
Operator 

An operator.

Identifier  +
Identifier 

An identifier.

Regex  +
Regex 

A regular expression.

Global  +
Global 

A global.

Symbol  +
Symbol 

A symbol.

ModuleName  +
ModuleName 

The name of a module.

InstanceVariable  +
InstanceVariable 

An instance variable.

ClassVariable  +
ClassVariable 

A class variable.

Backticks  +
Backticks 

Backticks.

DataSection  +
DataSection 

A data section.

HereDocumentDelimiter  +
HereDocumentDelimiter 

A here document delimiter.

HereDocument  +
HereDocument 

A here document.

PercentStringq  +
PercentStringq 

A q string.

PercentStringQ  +
PercentStringQ 

A Q string.

PercentStringx  +
PercentStringx 

A x string.

PercentStringr  +
PercentStringr 

A r string.

PercentStringw  +
PercentStringw 

A w string.

DemotedKeyword  +
DemotedKeyword 

A demoted keyword.

Stdin  +
Stdin 

stdin.

Stdout  +
Stdout 

stdout.

Stderr  +
Stderr 

stderr.

- - -

Constructor & Destructor Documentation

- +

Constructor & Destructor Documentation

+
@@ -277,134 +374,175 @@
-
-
-

Construct a QsciLexerRuby with parent parent. parent is typically the QsciScintilla instance.

+
+

Construct a QsciLexerRuby with parent parent. parent is typically the QsciScintilla instance.

-

Member Function Documentation

- +

Member Function Documentation

+
+ + + + + +
- +
const char* QsciLexerRuby::lexer ( ) const [virtual] const
- -
+
+virtual
+

Returns the name of the lexer. Some lexers support a number of languages.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerRuby::defaultColor ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the foreground colour of the text for style number style.

-
See also:
defaultpaper()
+
See also
defaultpaper()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerRuby::defaultPaper ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the background colour of the text for style number style.

-
See also:
defaultColor()
+
See also
defaultColor()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
const char* QsciLexerRuby::keywords ( int  set) const [virtual] const
- -
+
+virtual
+

Returns the set of keywords for the keyword set set recognised by the lexer as a space separated string.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QString QsciLexerRuby::description ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the descriptive name for style number style. If the style is invalid for this language then an empty QString is returned. This is intended to be used in user preference dialogs.

Implements QsciLexer.

- +
+ + + + + +
- +
void QsciLexerRuby::refreshProperties ( ) [virtual]
- -
+
+virtual
+

Causes all properties to be refreshed by emitting the propertyChanged() signal as required.

Reimplemented from QsciLexer.

- +
@@ -416,32 +554,38 @@
-
-
+

If fold is true then multi-line comment blocks can be folded. The default is false.

-
See also:
foldComments()
+
See also
foldComments()
- +
+ + + + + +
- +
bool QsciLexerRuby::foldComments ( ) const [inline] const
- -
+
+inline
+

Returns true if multi-line comment blocks can be folded.

-
See also:
setFoldComments()
+
See also
setFoldComments()
- +
@@ -453,34 +597,43 @@
-
-
+

If fold is true then trailing blank lines are included in a fold block. The default is true.

-
See also:
foldCompact()
+
See also
foldCompact()
- +
+ + + + + +
- +
bool QsciLexerRuby::foldCompact ( ) const [inline] const
- -
+
+inline
+

Returns true if trailing blank lines are included in a fold block.

-
See also:
setFoldCompact()
+
See also
setFoldCompact()
- +
+ + + + + +
@@ -497,20 +650,27 @@ - +
bool QsciLexerRuby::readProperties
) [protected, virtual]
- -
+
+protectedvirtual
+

The lexer's properties are read from the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

Reimplemented from QsciLexer.

- +
+ + + + + +
@@ -527,25 +687,27 @@ - +
bool QsciLexerRuby::writeProperties
) const [protected, virtual] const
- -
+
+protectedvirtual
+

The lexer's properties are written to the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

Reimplemented from QsciLexer.

- - - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerRuby-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerRuby-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerRuby-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerRuby-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerRuby Member List
-
+
-This is the complete list of members for QsciLexerRuby, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexer [virtual]
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexer [virtual]
autoIndentStyle()QsciLexer
Backticks enum valueQsciLexerRuby
blockEnd(int *style=0) const (defined in QsciLexerRuby)QsciLexerRuby [virtual]
blockLookback() const (defined in QsciLexer)QsciLexer [virtual]
blockStart(int *style=0) const (defined in QsciLexerRuby)QsciLexerRuby [virtual]
blockStartKeyword(int *style=0) const (defined in QsciLexerRuby)QsciLexerRuby [virtual]
braceStyle() const (defined in QsciLexerRuby)QsciLexerRuby [virtual]
caseSensitive() const (defined in QsciLexer)QsciLexer [virtual]
ClassName enum valueQsciLexerRuby
ClassVariable enum valueQsciLexerRuby
color(int style) const QsciLexer [virtual]
colorChanged(const QColor &c, int style)QsciLexer [signal]
Comment enum valueQsciLexerRuby
DataSection enum valueQsciLexerRuby
Default enum valueQsciLexerRuby
defaultColor(int style) const QsciLexerRuby [virtual]
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerRuby [virtual]
defaultFont(int style) const QsciLexerRuby [virtual]
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerRuby [virtual]
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexer [virtual]
DemotedKeyword enum valueQsciLexerRuby
description(int style) const QsciLexerRuby [virtual]
DoubleQuotedString enum valueQsciLexerRuby
editor() const QsciLexer [inline]
eolFill(int style) const QsciLexer [virtual]
eolFillChanged(bool eolfilled, int style)QsciLexer [signal]
Error enum valueQsciLexerRuby
foldComments() const QsciLexerRuby [inline]
foldCompact() const QsciLexerRuby [inline]
font(int style) const QsciLexer [virtual]
fontChanged(const QFont &f, int style)QsciLexer [signal]
FunctionMethodName enum valueQsciLexerRuby
Global enum valueQsciLexerRuby
HereDocument enum valueQsciLexerRuby
HereDocumentDelimiter enum valueQsciLexerRuby
Identifier enum valueQsciLexerRuby
indentationGuideView() const (defined in QsciLexer)QsciLexer [virtual]
InstanceVariable enum valueQsciLexerRuby
Keyword enum valueQsciLexerRuby
keywords(int set) const QsciLexerRuby [virtual]
language() const QsciLexerRuby [virtual]
lexer() const QsciLexerRuby [virtual]
lexerId() const QsciLexer [virtual]
ModuleName enum valueQsciLexerRuby
Number enum valueQsciLexerRuby
Operator enum valueQsciLexerRuby
paper(int style) const QsciLexer [virtual]
paperChanged(const QColor &c, int style)QsciLexer [signal]
PercentStringq enum valueQsciLexerRuby
PercentStringQ enum valueQsciLexerRuby
PercentStringr enum valueQsciLexerRuby
PercentStringw enum valueQsciLexerRuby
PercentStringx enum valueQsciLexerRuby
POD enum valueQsciLexerRuby
propertyChanged(const char *prop, const char *val)QsciLexer [signal]
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerRuby(QObject *parent=0)QsciLexerRuby
readProperties(QSettings &qs, const QString &prefix)QsciLexerRuby [protected, virtual]
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerRuby [virtual]
Regex enum valueQsciLexerRuby
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexer [virtual, slot]
setColor(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexer [virtual]
setEolFill(bool eoffill, int style=-1)QsciLexer [virtual, slot]
setFoldComments(bool fold)QsciLexerRuby
setFoldCompact(bool fold)QsciLexerRuby
setFont(const QFont &f, int style=-1)QsciLexer [virtual, slot]
setPaper(const QColor &c, int style=-1)QsciLexer [virtual, slot]
SingleQuotedString enum valueQsciLexerRuby
Stderr enum valueQsciLexerRuby
Stdin enum valueQsciLexerRuby
Stdout enum valueQsciLexerRuby
styleBitsNeeded() const QsciLexer [virtual]
Symbol enum valueQsciLexerRuby
wordCharacters() const QsciLexer [virtual]
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerRuby [protected, virtual]
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexer [virtual]
~QsciLexerRuby()QsciLexerRuby [virtual]
- +

This is the complete list of members for QsciLexerRuby, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexervirtual
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexervirtual
autoIndentStyle()QsciLexer
Backticks enum valueQsciLexerRuby
blockEnd(int *style=0) const (defined in QsciLexerRuby)QsciLexerRubyvirtual
blockLookback() const (defined in QsciLexer)QsciLexervirtual
blockStart(int *style=0) const (defined in QsciLexerRuby)QsciLexerRubyvirtual
blockStartKeyword(int *style=0) const (defined in QsciLexerRuby)QsciLexerRubyvirtual
braceStyle() const (defined in QsciLexerRuby)QsciLexerRubyvirtual
caseSensitive() const (defined in QsciLexer)QsciLexervirtual
ClassName enum valueQsciLexerRuby
ClassVariable enum valueQsciLexerRuby
color(int style) const QsciLexervirtual
colorChanged(const QColor &c, int style)QsciLexersignal
Comment enum valueQsciLexerRuby
DataSection enum valueQsciLexerRuby
Default enum valueQsciLexerRuby
defaultColor(int style) const QsciLexerRubyvirtual
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerRubyvirtual
defaultFont(int style) const QsciLexerRubyvirtual
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerRubyvirtual
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexervirtual
DemotedKeyword enum valueQsciLexerRuby
description(int style) const QsciLexerRubyvirtual
DoubleQuotedString enum valueQsciLexerRuby
editor() const QsciLexerinline
eolFill(int style) const QsciLexervirtual
eolFillChanged(bool eolfilled, int style)QsciLexersignal
Error enum valueQsciLexerRuby
foldComments() const QsciLexerRubyinline
foldCompact() const QsciLexerRubyinline
font(int style) const QsciLexervirtual
fontChanged(const QFont &f, int style)QsciLexersignal
FunctionMethodName enum valueQsciLexerRuby
Global enum valueQsciLexerRuby
HereDocument enum valueQsciLexerRuby
HereDocumentDelimiter enum valueQsciLexerRuby
Identifier enum valueQsciLexerRuby
indentationGuideView() const (defined in QsciLexer)QsciLexervirtual
InstanceVariable enum valueQsciLexerRuby
Keyword enum valueQsciLexerRuby
keywords(int set) const QsciLexerRubyvirtual
language() const QsciLexerRubyvirtual
lexer() const QsciLexerRubyvirtual
lexerId() const QsciLexervirtual
ModuleName enum valueQsciLexerRuby
Number enum valueQsciLexerRuby
Operator enum valueQsciLexerRuby
paper(int style) const QsciLexervirtual
paperChanged(const QColor &c, int style)QsciLexersignal
PercentStringq enum valueQsciLexerRuby
PercentStringQ enum valueQsciLexerRuby
PercentStringr enum valueQsciLexerRuby
PercentStringw enum valueQsciLexerRuby
PercentStringx enum valueQsciLexerRuby
POD enum valueQsciLexerRuby
propertyChanged(const char *prop, const char *val)QsciLexersignal
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerRuby(QObject *parent=0)QsciLexerRuby
readProperties(QSettings &qs, const QString &prefix)QsciLexerRubyprotectedvirtual
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerRubyvirtual
Regex enum valueQsciLexerRuby
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexervirtualslot
setColor(const QColor &c, int style=-1)QsciLexervirtualslot
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexervirtual
setEolFill(bool eoffill, int style=-1)QsciLexervirtualslot
setFoldComments(bool fold)QsciLexerRuby
setFoldCompact(bool fold)QsciLexerRuby
setFont(const QFont &f, int style=-1)QsciLexervirtualslot
setPaper(const QColor &c, int style=-1)QsciLexervirtualslot
SingleQuotedString enum valueQsciLexerRuby
Stderr enum valueQsciLexerRuby
Stdin enum valueQsciLexerRuby
Stdout enum valueQsciLexerRuby
styleBitsNeeded() const QsciLexervirtual
Symbol enum valueQsciLexerRuby
wordCharacters() const QsciLexervirtual
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerRubyprotectedvirtual
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexervirtual
~QsciLexerRuby()QsciLexerRubyvirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerSpice.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerSpice.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerSpice.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerSpice.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciLexerSpice Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerSpice Class Reference
- +
- +

#include <qscilexerspice.h>

Inherits QsciLexer.

- -

List of all members.

-

-Public Types

- -

-Public Member Functions

- -

Detailed Description

-

The QsciLexerSpice class encapsulates the Scintilla Spice lexer.

-

Member Enumeration Documentation

- + + + + +

+Public Types

enum  {
+  Default = 0, +Identifier = 1, +Command = 2, +
+  Function = 3, +Parameter = 4, +Number = 5, +
+  Delimiter = 6, +Value = 7, +Comment = 8 +
+ }
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 QsciLexerSpice (QObject *parent=0)
 
+virtual ~QsciLexerSpice ()
 
+const char * language () const
 
const char * lexer () const
 
+int braceStyle () const
 
const char * keywords (int set) const
 
QColor defaultColor (int style) const
 
+QFont defaultFont (int style) const
 
QString description (int style) const
 
- Public Member Functions inherited from QsciLexer
 QsciLexer (QObject *parent=0)
 
+virtual ~QsciLexer ()
 
virtual int lexerId () const
 
QsciAbstractAPIsapis () const
 
+virtual const char * autoCompletionFillups () const
 
+virtual QStringList autoCompletionWordSeparators () const
 
int autoIndentStyle ()
 
+virtual const char * blockEnd (int *style=0) const
 
+virtual int blockLookback () const
 
+virtual const char * blockStart (int *style=0) const
 
+virtual const char * blockStartKeyword (int *style=0) const
 
+virtual bool caseSensitive () const
 
virtual QColor color (int style) const
 
virtual bool eolFill (int style) const
 
virtual QFont font (int style) const
 
+virtual int indentationGuideView () const
 
+virtual int defaultStyle () const
 
virtual QColor paper (int style) const
 
QColor defaultColor () const
 
virtual bool defaultEolFill (int style) const
 
QFont defaultFont () const
 
QColor defaultPaper () const
 
+virtual QColor defaultPaper (int style) const
 
QsciScintillaeditor () const
 
void setAPIs (QsciAbstractAPIs *apis)
 
void setDefaultColor (const QColor &c)
 
void setDefaultFont (const QFont &f)
 
void setDefaultPaper (const QColor &c)
 
+virtual void setEditor (QsciScintilla *editor)
 
bool readSettings (QSettings &qs, const char *prefix="/Scintilla")
 
virtual void refreshProperties ()
 
virtual int styleBitsNeeded () const
 
virtual const char * wordCharacters () const
 
bool writeSettings (QSettings &qs, const char *prefix="/Scintilla") const
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Additional Inherited Members

- Public Slots inherited from QsciLexer
virtual void setAutoIndentStyle (int autoindentstyle)
 
virtual void setColor (const QColor &c, int style=-1)
 
virtual void setEolFill (bool eoffill, int style=-1)
 
virtual void setFont (const QFont &f, int style=-1)
 
virtual void setPaper (const QColor &c, int style=-1)
 
- Signals inherited from QsciLexer
void colorChanged (const QColor &c, int style)
 
void eolFillChanged (bool eolfilled, int style)
 
void fontChanged (const QFont &f, int style)
 
void paperChanged (const QColor &c, int style)
 
void propertyChanged (const char *prop, const char *val)
 
- Protected Member Functions inherited from QsciLexer
virtual bool readProperties (QSettings &qs, const QString &prefix)
 
virtual bool writeProperties (QSettings &qs, const QString &prefix) const
 
+

Detailed Description

+

The QsciLexerSpice class encapsulates the Scintilla Spice lexer.

+

Member Enumeration Documentation

+
@@ -110,45 +220,42 @@
anonymous enum
-
-
+

This enum defines the meanings of the different styles used by the Spice lexer.

-
Enumerator:
-
Default  + + - - - - - - - -
Enumerator
Default 

The default.

Identifier  +
Identifier 

An identifier.

Command  +
Command 

A command.

Function  +
Function 

A function.

Parameter  +
Parameter 

A parameter.

Number  +
Number 

A number.

Delimiter  +
Delimiter 

A delimiter.

Value  +
Value 

A value.

Comment  +
Comment 

A comment.

- - -

Constructor & Destructor Documentation

- +

Constructor & Destructor Documentation

+
@@ -160,101 +267,126 @@
-
-
-

Construct a QsciLexerSpice with parent parent. parent is typically the QsciScintilla instance.

+
+

Construct a QsciLexerSpice with parent parent. parent is typically the QsciScintilla instance.

-

Member Function Documentation

- +

Member Function Documentation

+
+ + + + + +
- +
const char* QsciLexerSpice::lexer ( ) const [virtual] const
- -
+
+virtual
+

Returns the name of the lexer. Some lexers support a number of languages.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
const char* QsciLexerSpice::keywords ( int  set) const [virtual] const
- -
+
+virtual
+

Returns the set of keywords for the keyword set set recognised by the lexer as a space separated string.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerSpice::defaultColor ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the foreground colour of the text for style number style.

-
See also:
defaultPaper()
+
See also
defaultPaper()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QString QsciLexerSpice::description ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the descriptive name for style number style. If the style is invalid for this language then an empty QString is returned. This is intended to be used in user preference dialogs.

Implements QsciLexer.

- - - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerSpice-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerSpice-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerSpice-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerSpice-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerSpice Member List
-
+
-This is the complete list of members for QsciLexerSpice, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexer [virtual]
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexer [virtual]
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockLookback() const (defined in QsciLexer)QsciLexer [virtual]
blockStart(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
braceStyle() const (defined in QsciLexerSpice)QsciLexerSpice [virtual]
caseSensitive() const (defined in QsciLexer)QsciLexer [virtual]
color(int style) const QsciLexer [virtual]
colorChanged(const QColor &c, int style)QsciLexer [signal]
Command enum valueQsciLexerSpice
Comment enum valueQsciLexerSpice
Default enum valueQsciLexerSpice
defaultColor(int style) const QsciLexerSpice [virtual]
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexer [virtual]
defaultFont(int style) const QsciLexerSpice [virtual]
QsciLexer::defaultFont() const QsciLexer
defaultPaper() const QsciLexer
defaultPaper(int style) const QsciLexer [virtual]
defaultStyle() const (defined in QsciLexer)QsciLexer [virtual]
Delimiter enum valueQsciLexerSpice
description(int style) const QsciLexerSpice [virtual]
editor() const QsciLexer [inline]
eolFill(int style) const QsciLexer [virtual]
eolFillChanged(bool eolfilled, int style)QsciLexer [signal]
font(int style) const QsciLexer [virtual]
fontChanged(const QFont &f, int style)QsciLexer [signal]
Function enum valueQsciLexerSpice
Identifier enum valueQsciLexerSpice
indentationGuideView() const (defined in QsciLexer)QsciLexer [virtual]
keywords(int set) const QsciLexerSpice [virtual]
language() const QsciLexerSpice [virtual]
lexer() const QsciLexerSpice [virtual]
lexerId() const QsciLexer [virtual]
Number enum valueQsciLexerSpice
paper(int style) const QsciLexer [virtual]
paperChanged(const QColor &c, int style)QsciLexer [signal]
Parameter enum valueQsciLexerSpice
propertyChanged(const char *prop, const char *val)QsciLexer [signal]
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerSpice(QObject *parent=0)QsciLexerSpice
readProperties(QSettings &qs, const QString &prefix)QsciLexer [protected, virtual]
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexer [virtual]
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexer [virtual, slot]
setColor(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexer [virtual]
setEolFill(bool eoffill, int style=-1)QsciLexer [virtual, slot]
setFont(const QFont &f, int style=-1)QsciLexer [virtual, slot]
setPaper(const QColor &c, int style=-1)QsciLexer [virtual, slot]
styleBitsNeeded() const QsciLexer [virtual]
Value enum valueQsciLexerSpice
wordCharacters() const QsciLexer [virtual]
writeProperties(QSettings &qs, const QString &prefix) const QsciLexer [protected, virtual]
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexer [virtual]
~QsciLexerSpice()QsciLexerSpice [virtual]
- +

This is the complete list of members for QsciLexerSpice, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexervirtual
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexervirtual
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockLookback() const (defined in QsciLexer)QsciLexervirtual
blockStart(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexervirtual
braceStyle() const (defined in QsciLexerSpice)QsciLexerSpicevirtual
caseSensitive() const (defined in QsciLexer)QsciLexervirtual
color(int style) const QsciLexervirtual
colorChanged(const QColor &c, int style)QsciLexersignal
Command enum valueQsciLexerSpice
Comment enum valueQsciLexerSpice
Default enum valueQsciLexerSpice
defaultColor(int style) const QsciLexerSpicevirtual
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexervirtual
defaultFont(int style) const QsciLexerSpicevirtual
QsciLexer::defaultFont() const QsciLexer
defaultPaper() const QsciLexer
defaultPaper(int style) const QsciLexervirtual
defaultStyle() const (defined in QsciLexer)QsciLexervirtual
Delimiter enum valueQsciLexerSpice
description(int style) const QsciLexerSpicevirtual
editor() const QsciLexerinline
eolFill(int style) const QsciLexervirtual
eolFillChanged(bool eolfilled, int style)QsciLexersignal
font(int style) const QsciLexervirtual
fontChanged(const QFont &f, int style)QsciLexersignal
Function enum valueQsciLexerSpice
Identifier enum valueQsciLexerSpice
indentationGuideView() const (defined in QsciLexer)QsciLexervirtual
keywords(int set) const QsciLexerSpicevirtual
language() const QsciLexerSpicevirtual
lexer() const QsciLexerSpicevirtual
lexerId() const QsciLexervirtual
Number enum valueQsciLexerSpice
paper(int style) const QsciLexervirtual
paperChanged(const QColor &c, int style)QsciLexersignal
Parameter enum valueQsciLexerSpice
propertyChanged(const char *prop, const char *val)QsciLexersignal
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerSpice(QObject *parent=0)QsciLexerSpice
readProperties(QSettings &qs, const QString &prefix)QsciLexerprotectedvirtual
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexervirtual
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexervirtualslot
setColor(const QColor &c, int style=-1)QsciLexervirtualslot
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexervirtual
setEolFill(bool eoffill, int style=-1)QsciLexervirtualslot
setFont(const QFont &f, int style=-1)QsciLexervirtualslot
setPaper(const QColor &c, int style=-1)QsciLexervirtualslot
styleBitsNeeded() const QsciLexervirtual
Value enum valueQsciLexerSpice
wordCharacters() const QsciLexervirtual
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerprotectedvirtual
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexervirtual
~QsciLexerSpice()QsciLexerSpicevirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerSQL.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerSQL.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerSQL.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerSQL.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciLexerSQL Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerSQL Class Reference
- +
- +

#include <qscilexersql.h>

Inherits QsciLexer.

- -

List of all members.

-

-Public Types

- -

-Public Slots

- -

-Public Member Functions

- -

-Protected Member Functions

- -

Detailed Description

-

The QsciLexerSQL class encapsulates the Scintilla SQL lexer.

-

Member Enumeration Documentation

- + + + + +

+Public Types

enum  {
+  Default = 0, +Comment = 1, +CommentLine = 2, +
+  CommentDoc = 3, +Number = 4, +Keyword = 5, +
+  DoubleQuotedString = 6, +SingleQuotedString = 7, +PlusKeyword = 8, +
+  PlusPrompt = 9, +Operator = 10, +Identifier = 11, +
+  PlusComment = 13, +CommentLineHash = 15, +CommentDocKeyword = 17, +
+  CommentDocKeywordError = 18, +KeywordSet5 = 19, +KeywordSet6 = 20, +
+  KeywordSet7 = 21, +KeywordSet8 = 22, +QuotedIdentifier = 23, +
+  QuotedOperator = 24 +
+ }
 
+ + + + + + + + + + + + + + + + + + +

+Public Slots

virtual void setBackslashEscapes (bool enable)
 
virtual void setFoldComments (bool fold)
 
virtual void setFoldCompact (bool fold)
 
- Public Slots inherited from QsciLexer
virtual void setAutoIndentStyle (int autoindentstyle)
 
virtual void setColor (const QColor &c, int style=-1)
 
virtual void setEolFill (bool eoffill, int style=-1)
 
virtual void setFont (const QFont &f, int style=-1)
 
virtual void setPaper (const QColor &c, int style=-1)
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 QsciLexerSQL (QObject *parent=0)
 
+virtual ~QsciLexerSQL ()
 
+const char * language () const
 
const char * lexer () const
 
+int braceStyle () const
 
QColor defaultColor (int style) const
 
+bool defaultEolFill (int style) const
 
+QFont defaultFont (int style) const
 
QColor defaultPaper (int style) const
 
const char * keywords (int set) const
 
QString description (int style) const
 
void refreshProperties ()
 
bool backslashEscapes () const
 
void setDottedWords (bool enable)
 
bool dottedWords () const
 
void setFoldAtElse (bool fold)
 
bool foldAtElse () const
 
bool foldComments () const
 
bool foldCompact () const
 
void setFoldOnlyBegin (bool fold)
 
bool foldOnlyBegin () const
 
void setHashComments (bool enable)
 
bool hashComments () const
 
void setQuotedIdentifiers (bool enable)
 
bool quotedIdentifiers () const
 
- Public Member Functions inherited from QsciLexer
 QsciLexer (QObject *parent=0)
 
+virtual ~QsciLexer ()
 
virtual int lexerId () const
 
QsciAbstractAPIsapis () const
 
+virtual const char * autoCompletionFillups () const
 
+virtual QStringList autoCompletionWordSeparators () const
 
int autoIndentStyle ()
 
+virtual const char * blockEnd (int *style=0) const
 
+virtual int blockLookback () const
 
+virtual const char * blockStart (int *style=0) const
 
+virtual const char * blockStartKeyword (int *style=0) const
 
+virtual bool caseSensitive () const
 
virtual QColor color (int style) const
 
virtual bool eolFill (int style) const
 
virtual QFont font (int style) const
 
+virtual int indentationGuideView () const
 
+virtual int defaultStyle () const
 
virtual QColor paper (int style) const
 
QColor defaultColor () const
 
QFont defaultFont () const
 
QColor defaultPaper () const
 
QsciScintillaeditor () const
 
void setAPIs (QsciAbstractAPIs *apis)
 
void setDefaultColor (const QColor &c)
 
void setDefaultFont (const QFont &f)
 
void setDefaultPaper (const QColor &c)
 
+virtual void setEditor (QsciScintilla *editor)
 
bool readSettings (QSettings &qs, const char *prefix="/Scintilla")
 
virtual int styleBitsNeeded () const
 
virtual const char * wordCharacters () const
 
bool writeSettings (QSettings &qs, const char *prefix="/Scintilla") const
 
+ + + + + +

+Protected Member Functions

bool readProperties (QSettings &qs, const QString &prefix)
 
bool writeProperties (QSettings &qs, const QString &prefix) const
 
+ + + + + + + + + + + + +

+Additional Inherited Members

- Signals inherited from QsciLexer
void colorChanged (const QColor &c, int style)
 
void eolFillChanged (bool eolfilled, int style)
 
void fontChanged (const QFont &f, int style)
 
void paperChanged (const QColor &c, int style)
 
void propertyChanged (const char *prop, const char *val)
 
+

Detailed Description

+

The QsciLexerSQL class encapsulates the Scintilla SQL lexer.

+

Member Enumeration Documentation

+
@@ -158,81 +277,81 @@
anonymous enum
-
-
+

This enum defines the meanings of the different styles used by the SQL lexer.

-
Enumerator:
-
Default  + + - - - - - - - - - - - - - - - - - - - - +
Enumerator
Default 

The default.

Comment  +
Comment 

A comment.

CommentLine  +
CommentLine 

A line comment.

CommentDoc  +
CommentDoc 

A JavaDoc/Doxygen style comment.

Number  +
Number 

A number.

Keyword  +
Keyword 

A keyword.

DoubleQuotedString  +
DoubleQuotedString 

A double-quoted string.

SingleQuotedString  +
SingleQuotedString 

A single-quoted string.

PlusKeyword  +
PlusKeyword 

An SQL*Plus keyword.

PlusPrompt  +
PlusPrompt 

An SQL*Plus prompt.

Operator  +
Operator 

An operator.

Identifier  +
Identifier 

An identifier.

PlusComment  +
PlusComment 

An SQL*Plus comment.

CommentLineHash  +
CommentLineHash 

A '#' line comment.

CommentDocKeyword  +
CommentDocKeyword 

A JavaDoc/Doxygen keyword.

CommentDocKeywordError  +
CommentDocKeywordError 

A JavaDoc/Doxygen keyword error.

KeywordSet5  +
KeywordSet5 

A keyword defined in keyword set number 5. The class must be sub-classed and re-implement keywords() to make use of this style. Note that keywords must be defined using lower case.

KeywordSet6  +
KeywordSet6 

A keyword defined in keyword set number 6. The class must be sub-classed and re-implement keywords() to make use of this style. Note that keywords must be defined using lower case.

KeywordSet7  +
KeywordSet7 

A keyword defined in keyword set number 7. The class must be sub-classed and re-implement keywords() to make use of this style. Note that keywords must be defined using lower case.

KeywordSet8  +
KeywordSet8 

A keyword defined in keyword set number 8. The class must be sub-classed and re-implement keywords() to make use of this style. Note that keywords must be defined using lower case.

QuotedIdentifier  +
QuotedIdentifier 

A quoted identifier.

QuotedOperator  +

A quoted operator.

+
- - -

Constructor & Destructor Documentation

- +

Constructor & Destructor Documentation

+
@@ -244,152 +363,200 @@
-
-
-

Construct a QsciLexerSQL with parent parent. parent is typically the QsciScintilla instance.

+
+

Construct a QsciLexerSQL with parent parent. parent is typically the QsciScintilla instance.

-

Member Function Documentation

- +

Member Function Documentation

+
+ + + + + +
- +
const char* QsciLexerSQL::lexer ( ) const [virtual] const
- -
+
+virtual
+

Returns the name of the lexer. Some lexers support a number of languages.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerSQL::defaultColor ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the foreground colour of the text for style number style.

-
See also:
defaultPaper()
+
See also
defaultPaper()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerSQL::defaultPaper ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the background colour of the text for style number style.

-
See also:
defaultColor()
+
See also
defaultColor()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
const char* QsciLexerSQL::keywords ( int  set) const [virtual] const
- -
+
+virtual
+

Returns the set of keywords for the keyword set set recognised by the lexer as a space separated string.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QString QsciLexerSQL::description ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the descriptive name for style number style. If the style is invalid for this language then an empty QString is returned. This is intended to be used in user preference dialogs.

Implements QsciLexer.

- +
+ + + + + +
- +
void QsciLexerSQL::refreshProperties ( ) [virtual]
- -
+
+virtual
+

Causes all properties to be refreshed by emitting the propertyChanged() signal as required.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
bool QsciLexerSQL::backslashEscapes ( ) const [inline] const
- -
+
+inline
+

Returns true if backslash escapes are enabled.

-
See also:
setBackslashEscapes()
+
See also
setBackslashEscapes()
- +
@@ -401,32 +568,38 @@
-
-
+

If enable is true then words may contain dots (i.e. periods or full stops). The default is false.

-
See also:
dottedWords()
+
See also
dottedWords()
- +
+ + + + + +
- +
bool QsciLexerSQL::dottedWords ( ) const [inline] const
- -
+
+inline
+

Returns true if words may contain dots (i.e. periods or full stops).

-
See also:
setDottedWords()
+
See also
setDottedWords()
- +
@@ -438,68 +611,88 @@
-
-
+

If fold is true then ELSE blocks can be folded. The default is false.

-
See also:
foldAtElse()
+
See also
foldAtElse()
- +
+ + + + + +
- +
bool QsciLexerSQL::foldAtElse ( ) const [inline] const
- -
+
+inline
+

Returns true if ELSE blocks can be folded.

-
See also:
setFoldAtElse()
+
See also
setFoldAtElse()
- +
+ + + + + +
- +
bool QsciLexerSQL::foldComments ( ) const [inline] const
- -
+
+inline
+

Returns true if multi-line comment blocks can be folded.

-
See also:
setFoldComments()
+
See also
setFoldComments()
- +
+ + + + + +
- +
bool QsciLexerSQL::foldCompact ( ) const [inline] const
- -
+
+inline
+

Returns true if trailing blank lines are included in a fold block.

-
See also:
setFoldCompact()
+
See also
setFoldCompact()
- +
@@ -511,32 +704,38 @@
-
-
+

If fold is true then only BEGIN blocks can be folded. The default is false.

-
See also:
foldOnlyBegin()
+
See also
foldOnlyBegin()
- +
+ + + + + +
- +
bool QsciLexerSQL::foldOnlyBegin ( ) const [inline] const
- -
+
+inline
+

Returns true if BEGIN blocks only can be folded.

-
See also:
setFoldOnlyBegin()
+
See also
setFoldOnlyBegin()
- +
@@ -548,32 +747,38 @@
-
-
+

If enable is true then '#' is used as a comment character. It is typically enabled for MySQL and disabled for Oracle. The default is false.

-
See also:
hashComments()
+
See also
hashComments()
- +
+ + + + + +
- +
bool QsciLexerSQL::hashComments ( ) const [inline] const
- -
+
+inline
+

Returns true if '#' is used as a comment character.

-
See also:
setHashComments()
+
See also
setHashComments()
- +
@@ -585,91 +790,121 @@
-
-
+

If enable is true then quoted identifiers are enabled. The default is false.

-
See also:
quotedIdentifiers()
+
See also
quotedIdentifiers()
- +
+ + + + + +
- +
bool QsciLexerSQL::quotedIdentifiers ( ) const [inline] const
- -
+
+inline
+

Returns true if quoted identifiers are enabled.

-
See also:
setQuotedIdentifiers()
+
See also
setQuotedIdentifiers()
- +
+ + + + + +
- +
virtual void QsciLexerSQL::setBackslashEscapes ( bool  enable) [virtual, slot]
- -
+
+virtualslot
+

If enable is true then backslash escapes are enabled. The default is false.

-
See also:
backslashEscapes()
+
See also
backslashEscapes()
- +
+ + + + + +
- +
virtual void QsciLexerSQL::setFoldComments ( bool  fold) [virtual, slot]
- -
+
+virtualslot
+

If fold is true then multi-line comment blocks can be folded. The default is false.

-
See also:
foldComments()
+
See also
foldComments()
- +
+ + + + + +
- +
virtual void QsciLexerSQL::setFoldCompact ( bool  fold) [virtual, slot]
- -
+
+virtualslot
+

If fold is true then trailing blank lines are included in a fold block. The default is true.

-
See also:
foldCompact()
+
See also
foldCompact()
- +
+ + + + + +
@@ -686,20 +921,27 @@ - +
bool QsciLexerSQL::readProperties
) [protected, virtual]
- -
+
+protectedvirtual
+

The lexer's properties are read from the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

Reimplemented from QsciLexer.

- +
+ + + + + +
@@ -716,25 +958,27 @@ - +
bool QsciLexerSQL::writeProperties
) const [protected, virtual] const
- -
+
+protectedvirtual
+

The lexer's properties are written to the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

Reimplemented from QsciLexer.

- - - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerSQL-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerSQL-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerSQL-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerSQL-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerSQL Member List
-
+
-This is the complete list of members for QsciLexerSQL, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexer [virtual]
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexer [virtual]
autoIndentStyle()QsciLexer
backslashEscapes() const QsciLexerSQL [inline]
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockLookback() const (defined in QsciLexer)QsciLexer [virtual]
blockStart(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
braceStyle() const (defined in QsciLexerSQL)QsciLexerSQL [virtual]
caseSensitive() const (defined in QsciLexer)QsciLexer [virtual]
color(int style) const QsciLexer [virtual]
colorChanged(const QColor &c, int style)QsciLexer [signal]
Comment enum valueQsciLexerSQL
CommentDoc enum valueQsciLexerSQL
CommentDocKeyword enum valueQsciLexerSQL
CommentDocKeywordError enum valueQsciLexerSQL
CommentLine enum valueQsciLexerSQL
CommentLineHash enum valueQsciLexerSQL
Default enum valueQsciLexerSQL
defaultColor(int style) const QsciLexerSQL [virtual]
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerSQL [virtual]
defaultFont(int style) const QsciLexerSQL [virtual]
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerSQL [virtual]
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexer [virtual]
description(int style) const QsciLexerSQL [virtual]
dottedWords() const QsciLexerSQL [inline]
DoubleQuotedString enum valueQsciLexerSQL
editor() const QsciLexer [inline]
eolFill(int style) const QsciLexer [virtual]
eolFillChanged(bool eolfilled, int style)QsciLexer [signal]
foldAtElse() const QsciLexerSQL [inline]
foldComments() const QsciLexerSQL [inline]
foldCompact() const QsciLexerSQL [inline]
foldOnlyBegin() const QsciLexerSQL [inline]
font(int style) const QsciLexer [virtual]
fontChanged(const QFont &f, int style)QsciLexer [signal]
hashComments() const QsciLexerSQL [inline]
Identifier enum valueQsciLexerSQL
indentationGuideView() const (defined in QsciLexer)QsciLexer [virtual]
Keyword enum valueQsciLexerSQL
keywords(int set) const QsciLexerSQL [virtual]
KeywordSet5 enum valueQsciLexerSQL
KeywordSet6 enum valueQsciLexerSQL
KeywordSet7 enum valueQsciLexerSQL
KeywordSet8 enum valueQsciLexerSQL
language() const QsciLexerSQL [virtual]
lexer() const QsciLexerSQL [virtual]
lexerId() const QsciLexer [virtual]
Number enum valueQsciLexerSQL
Operator enum valueQsciLexerSQL
paper(int style) const QsciLexer [virtual]
paperChanged(const QColor &c, int style)QsciLexer [signal]
PlusComment enum valueQsciLexerSQL
PlusKeyword enum valueQsciLexerSQL
PlusPrompt enum valueQsciLexerSQL
propertyChanged(const char *prop, const char *val)QsciLexer [signal]
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerSQL(QObject *parent=0)QsciLexerSQL
QuotedIdentifier enum valueQsciLexerSQL
quotedIdentifiers() const QsciLexerSQL [inline]
readProperties(QSettings &qs, const QString &prefix)QsciLexerSQL [protected, virtual]
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerSQL [virtual]
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexer [virtual, slot]
setBackslashEscapes(bool enable)QsciLexerSQL [virtual, slot]
setColor(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setDottedWords(bool enable)QsciLexerSQL
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexer [virtual]
setEolFill(bool eoffill, int style=-1)QsciLexer [virtual, slot]
setFoldAtElse(bool fold)QsciLexerSQL
setFoldComments(bool fold)QsciLexerSQL [virtual, slot]
setFoldCompact(bool fold)QsciLexerSQL [virtual, slot]
setFoldOnlyBegin(bool fold)QsciLexerSQL
setFont(const QFont &f, int style=-1)QsciLexer [virtual, slot]
setHashComments(bool enable)QsciLexerSQL
setPaper(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setQuotedIdentifiers(bool enable)QsciLexerSQL
SingleQuotedString enum valueQsciLexerSQL
styleBitsNeeded() const QsciLexer [virtual]
wordCharacters() const QsciLexer [virtual]
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerSQL [protected, virtual]
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexer [virtual]
~QsciLexerSQL()QsciLexerSQL [virtual]
- +

This is the complete list of members for QsciLexerSQL, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexervirtual
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexervirtual
autoIndentStyle()QsciLexer
backslashEscapes() const QsciLexerSQLinline
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockLookback() const (defined in QsciLexer)QsciLexervirtual
blockStart(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexervirtual
braceStyle() const (defined in QsciLexerSQL)QsciLexerSQLvirtual
caseSensitive() const (defined in QsciLexer)QsciLexervirtual
color(int style) const QsciLexervirtual
colorChanged(const QColor &c, int style)QsciLexersignal
Comment enum valueQsciLexerSQL
CommentDoc enum valueQsciLexerSQL
CommentDocKeyword enum valueQsciLexerSQL
CommentDocKeywordError enum valueQsciLexerSQL
CommentLine enum valueQsciLexerSQL
CommentLineHash enum valueQsciLexerSQL
Default enum valueQsciLexerSQL
defaultColor(int style) const QsciLexerSQLvirtual
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerSQLvirtual
defaultFont(int style) const QsciLexerSQLvirtual
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerSQLvirtual
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexervirtual
description(int style) const QsciLexerSQLvirtual
dottedWords() const QsciLexerSQLinline
DoubleQuotedString enum valueQsciLexerSQL
editor() const QsciLexerinline
eolFill(int style) const QsciLexervirtual
eolFillChanged(bool eolfilled, int style)QsciLexersignal
foldAtElse() const QsciLexerSQLinline
foldComments() const QsciLexerSQLinline
foldCompact() const QsciLexerSQLinline
foldOnlyBegin() const QsciLexerSQLinline
font(int style) const QsciLexervirtual
fontChanged(const QFont &f, int style)QsciLexersignal
hashComments() const QsciLexerSQLinline
Identifier enum valueQsciLexerSQL
indentationGuideView() const (defined in QsciLexer)QsciLexervirtual
Keyword enum valueQsciLexerSQL
keywords(int set) const QsciLexerSQLvirtual
KeywordSet5 enum valueQsciLexerSQL
KeywordSet6 enum valueQsciLexerSQL
KeywordSet7 enum valueQsciLexerSQL
KeywordSet8 enum valueQsciLexerSQL
language() const QsciLexerSQLvirtual
lexer() const QsciLexerSQLvirtual
lexerId() const QsciLexervirtual
Number enum valueQsciLexerSQL
Operator enum valueQsciLexerSQL
paper(int style) const QsciLexervirtual
paperChanged(const QColor &c, int style)QsciLexersignal
PlusComment enum valueQsciLexerSQL
PlusKeyword enum valueQsciLexerSQL
PlusPrompt enum valueQsciLexerSQL
propertyChanged(const char *prop, const char *val)QsciLexersignal
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerSQL(QObject *parent=0)QsciLexerSQL
QuotedIdentifier enum valueQsciLexerSQL
quotedIdentifiers() const QsciLexerSQLinline
QuotedOperator enum valueQsciLexerSQL
readProperties(QSettings &qs, const QString &prefix)QsciLexerSQLprotectedvirtual
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerSQLvirtual
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexervirtualslot
setBackslashEscapes(bool enable)QsciLexerSQLvirtualslot
setColor(const QColor &c, int style=-1)QsciLexervirtualslot
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setDottedWords(bool enable)QsciLexerSQL
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexervirtual
setEolFill(bool eoffill, int style=-1)QsciLexervirtualslot
setFoldAtElse(bool fold)QsciLexerSQL
setFoldComments(bool fold)QsciLexerSQLvirtualslot
setFoldCompact(bool fold)QsciLexerSQLvirtualslot
setFoldOnlyBegin(bool fold)QsciLexerSQL
setFont(const QFont &f, int style=-1)QsciLexervirtualslot
setHashComments(bool enable)QsciLexerSQL
setPaper(const QColor &c, int style=-1)QsciLexervirtualslot
setQuotedIdentifiers(bool enable)QsciLexerSQL
SingleQuotedString enum valueQsciLexerSQL
styleBitsNeeded() const QsciLexervirtual
wordCharacters() const QsciLexervirtual
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerSQLprotectedvirtual
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexervirtual
~QsciLexerSQL()QsciLexerSQLvirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerTCL.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerTCL.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerTCL.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerTCL.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciLexerTCL Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerTCL Class Reference
- +
- +

#include <qscilexertcl.h>

Inherits QsciLexer.

- -

List of all members.

-

-Public Types

- -

-Public Member Functions

- -

-Protected Member Functions

- -

Detailed Description

-

The QsciLexerTCL class encapsulates the Scintilla TCL lexer.

-

Member Enumeration Documentation

- + + + + +

+Public Types

enum  {
+  Default = 0, +Comment = 1, +CommentLine = 2, +
+  Number = 3, +QuotedKeyword = 4, +QuotedString = 5, +
+  Operator = 6, +Identifier = 7, +Substitution = 8, +
+  SubstitutionBrace = 9, +Modifier = 10, +ExpandKeyword = 11, +
+  TCLKeyword = 12, +TkKeyword = 13, +ITCLKeyword = 14, +
+  TkCommand = 15, +KeywordSet6 = 16, +KeywordSet7 = 17, +
+  KeywordSet8 = 18, +KeywordSet9 = 19, +CommentBox = 20, +
+  CommentBlock = 21 +
+ }
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 QsciLexerTCL (QObject *parent=0)
 
+virtual ~QsciLexerTCL ()
 
+const char * language () const
 
const char * lexer () const
 
+int braceStyle () const
 
QColor defaultColor (int style) const
 
+bool defaultEolFill (int style) const
 
+QFont defaultFont (int style) const
 
QColor defaultPaper (int style) const
 
const char * keywords (int set) const
 
QString description (int style) const
 
void refreshProperties ()
 
void setFoldComments (bool fold)
 
bool foldComments () const
 
- Public Member Functions inherited from QsciLexer
 QsciLexer (QObject *parent=0)
 
+virtual ~QsciLexer ()
 
virtual int lexerId () const
 
QsciAbstractAPIsapis () const
 
+virtual const char * autoCompletionFillups () const
 
+virtual QStringList autoCompletionWordSeparators () const
 
int autoIndentStyle ()
 
+virtual const char * blockEnd (int *style=0) const
 
+virtual int blockLookback () const
 
+virtual const char * blockStart (int *style=0) const
 
+virtual const char * blockStartKeyword (int *style=0) const
 
+virtual bool caseSensitive () const
 
virtual QColor color (int style) const
 
virtual bool eolFill (int style) const
 
virtual QFont font (int style) const
 
+virtual int indentationGuideView () const
 
+virtual int defaultStyle () const
 
virtual QColor paper (int style) const
 
QColor defaultColor () const
 
QFont defaultFont () const
 
QColor defaultPaper () const
 
QsciScintillaeditor () const
 
void setAPIs (QsciAbstractAPIs *apis)
 
void setDefaultColor (const QColor &c)
 
void setDefaultFont (const QFont &f)
 
void setDefaultPaper (const QColor &c)
 
+virtual void setEditor (QsciScintilla *editor)
 
bool readSettings (QSettings &qs, const char *prefix="/Scintilla")
 
virtual int styleBitsNeeded () const
 
virtual const char * wordCharacters () const
 
bool writeSettings (QSettings &qs, const char *prefix="/Scintilla") const
 
+ + + + + +

+Protected Member Functions

bool readProperties (QSettings &qs, const QString &prefix)
 
bool writeProperties (QSettings &qs, const QString &prefix) const
 
+ + + + + + + + + + + + + + + + + + + + + + + +

+Additional Inherited Members

- Public Slots inherited from QsciLexer
virtual void setAutoIndentStyle (int autoindentstyle)
 
virtual void setColor (const QColor &c, int style=-1)
 
virtual void setEolFill (bool eoffill, int style=-1)
 
virtual void setFont (const QFont &f, int style=-1)
 
virtual void setPaper (const QColor &c, int style=-1)
 
- Signals inherited from QsciLexer
void colorChanged (const QColor &c, int style)
 
void eolFillChanged (bool eolfilled, int style)
 
void fontChanged (const QFont &f, int style)
 
void paperChanged (const QColor &c, int style)
 
void propertyChanged (const char *prop, const char *val)
 
+

Detailed Description

+

The QsciLexerTCL class encapsulates the Scintilla TCL lexer.

+

Member Enumeration Documentation

+
@@ -141,84 +245,81 @@
anonymous enum
-
-
+

This enum defines the meanings of the different styles used by the TCL lexer.

-
Enumerator:
-
Default  + + - - - - - - - - - - - - - - - - - - - - -
Enumerator
Default 

The default.

Comment  +
Comment 

A comment.

CommentLine  +
CommentLine 

A comment line.

Number  +
Number 

A number.

QuotedKeyword  +
QuotedKeyword 

A quoted keyword.

QuotedString  +
QuotedString 

A quoted string.

Operator  +
Operator 

An operator.

Identifier  +
Identifier 

An identifier.

Substitution  +
Substitution 

A substitution.

SubstitutionBrace  +
SubstitutionBrace 

A substitution starting with a brace.

Modifier  +
Modifier 

A modifier.

ExpandKeyword  +
ExpandKeyword 

Expand keyword (defined in keyword set number 5).

TCLKeyword  +
TCLKeyword 

A TCL keyword (defined in keyword set number 1).

TkKeyword  +
TkKeyword 

A Tk keyword (defined in keyword set number 2).

ITCLKeyword  +
ITCLKeyword 

An iTCL keyword (defined in keyword set number 3).

TkCommand  +
TkCommand 

A Tk command (defined in keyword set number 4).

KeywordSet6  +
KeywordSet6 

A keyword defined in keyword set number 6. The class must be sub-classed and re-implement keywords() to make use of this style.

KeywordSet7  +
KeywordSet7 

A keyword defined in keyword set number 7. The class must be sub-classed and re-implement keywords() to make use of this style.

KeywordSet8  +
KeywordSet8 

A keyword defined in keyword set number 8. The class must be sub-classed and re-implement keywords() to make use of this style.

KeywordSet9  +
KeywordSet9 

A keyword defined in keyword set number 9. The class must be sub-classed and re-implement keywords() to make use of this style.

CommentBox  +
CommentBox 

A comment box.

CommentBlock  +
CommentBlock 

A comment block.

- - -

Constructor & Destructor Documentation

- +

Constructor & Destructor Documentation

+
@@ -230,134 +331,175 @@
-
-
-

Construct a QsciLexerTCL with parent parent. parent is typically the QsciScintilla instance.

+
+

Construct a QsciLexerTCL with parent parent. parent is typically the QsciScintilla instance.

-

Member Function Documentation

- +

Member Function Documentation

+
+ + + + + +
- +
const char* QsciLexerTCL::lexer ( ) const [virtual] const
- -
+
+virtual
+

Returns the name of the lexer. Some lexers support a number of languages.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerTCL::defaultColor ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the foreground colour of the text for style number style.

-
See also:
defaultPaper()
+
See also
defaultPaper()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerTCL::defaultPaper ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the background colour of the text for style number style.

-
See also:
defaultColor()
+
See also
defaultColor()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
const char* QsciLexerTCL::keywords ( int  set) const [virtual] const
- -
+
+virtual
+

Returns the set of keywords for the keyword set set recognised by the lexer as a space separated string.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QString QsciLexerTCL::description ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the descriptive name for style number style. If the style is invalid for this language then an empty QString is returned. This is intended to be used in user preference dialogs.

Implements QsciLexer.

- +
+ + + + + +
- +
void QsciLexerTCL::refreshProperties ( ) [virtual]
- -
+
+virtual
+

Causes all properties to be refreshed by emitting the propertyChanged() signal as required.

Reimplemented from QsciLexer.

- +
@@ -369,34 +511,43 @@
-
-
+

If fold is true then multi-line comment blocks can be folded. The default is false.

-
See also:
foldComments()
+
See also
foldComments()
- +
+ + + + + +
- +
bool QsciLexerTCL::foldComments ( ) const [inline] const
- -
+
+inline
+

Returns true if multi-line comment blocks can be folded.

-
See also:
setFoldComments()
+
See also
setFoldComments()
- +
+ + + + + +
@@ -413,20 +564,27 @@ - +
bool QsciLexerTCL::readProperties
) [protected, virtual]
- -
+
+protectedvirtual
+

The lexer's properties are read from the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

Reimplemented from QsciLexer.

- +
+ + + + + +
@@ -443,25 +601,27 @@ - +
bool QsciLexerTCL::writeProperties
) const [protected, virtual] const
- -
+
+protectedvirtual
+

The lexer's properties are written to the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

Reimplemented from QsciLexer.

- - - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerTCL-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerTCL-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerTCL-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerTCL-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerTCL Member List
-
+
-This is the complete list of members for QsciLexerTCL, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexer [virtual]
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexer [virtual]
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockLookback() const (defined in QsciLexer)QsciLexer [virtual]
blockStart(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
braceStyle() const (defined in QsciLexerTCL)QsciLexerTCL [virtual]
caseSensitive() const (defined in QsciLexer)QsciLexer [virtual]
color(int style) const QsciLexer [virtual]
colorChanged(const QColor &c, int style)QsciLexer [signal]
Comment enum valueQsciLexerTCL
CommentBlock enum valueQsciLexerTCL
CommentBox enum valueQsciLexerTCL
CommentLine enum valueQsciLexerTCL
Default enum valueQsciLexerTCL
defaultColor(int style) const QsciLexerTCL [virtual]
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerTCL [virtual]
defaultFont(int style) const QsciLexerTCL [virtual]
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerTCL [virtual]
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexer [virtual]
description(int style) const QsciLexerTCL [virtual]
editor() const QsciLexer [inline]
eolFill(int style) const QsciLexer [virtual]
eolFillChanged(bool eolfilled, int style)QsciLexer [signal]
ExpandKeyword enum valueQsciLexerTCL
foldComments() const QsciLexerTCL [inline]
font(int style) const QsciLexer [virtual]
fontChanged(const QFont &f, int style)QsciLexer [signal]
Identifier enum valueQsciLexerTCL
indentationGuideView() const (defined in QsciLexer)QsciLexer [virtual]
ITCLKeyword enum valueQsciLexerTCL
keywords(int set) const QsciLexerTCL [virtual]
KeywordSet6 enum valueQsciLexerTCL
KeywordSet7 enum valueQsciLexerTCL
KeywordSet8 enum valueQsciLexerTCL
KeywordSet9 enum valueQsciLexerTCL
language() const QsciLexerTCL [virtual]
lexer() const QsciLexerTCL [virtual]
lexerId() const QsciLexer [virtual]
Modifier enum valueQsciLexerTCL
Number enum valueQsciLexerTCL
Operator enum valueQsciLexerTCL
paper(int style) const QsciLexer [virtual]
paperChanged(const QColor &c, int style)QsciLexer [signal]
propertyChanged(const char *prop, const char *val)QsciLexer [signal]
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerTCL(QObject *parent=0)QsciLexerTCL
QuotedKeyword enum valueQsciLexerTCL
QuotedString enum valueQsciLexerTCL
readProperties(QSettings &qs, const QString &prefix)QsciLexerTCL [protected, virtual]
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerTCL [virtual]
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexer [virtual, slot]
setColor(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexer [virtual]
setEolFill(bool eoffill, int style=-1)QsciLexer [virtual, slot]
setFoldComments(bool fold)QsciLexerTCL
setFont(const QFont &f, int style=-1)QsciLexer [virtual, slot]
setPaper(const QColor &c, int style=-1)QsciLexer [virtual, slot]
styleBitsNeeded() const QsciLexer [virtual]
Substitution enum valueQsciLexerTCL
SubstitutionBrace enum valueQsciLexerTCL
TCLKeyword enum valueQsciLexerTCL
TkCommand enum valueQsciLexerTCL
TkKeyword enum valueQsciLexerTCL
wordCharacters() const QsciLexer [virtual]
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerTCL [protected, virtual]
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexer [virtual]
~QsciLexerTCL()QsciLexerTCL [virtual]
- +

This is the complete list of members for QsciLexerTCL, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexervirtual
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexervirtual
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockLookback() const (defined in QsciLexer)QsciLexervirtual
blockStart(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexervirtual
braceStyle() const (defined in QsciLexerTCL)QsciLexerTCLvirtual
caseSensitive() const (defined in QsciLexer)QsciLexervirtual
color(int style) const QsciLexervirtual
colorChanged(const QColor &c, int style)QsciLexersignal
Comment enum valueQsciLexerTCL
CommentBlock enum valueQsciLexerTCL
CommentBox enum valueQsciLexerTCL
CommentLine enum valueQsciLexerTCL
Default enum valueQsciLexerTCL
defaultColor(int style) const QsciLexerTCLvirtual
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerTCLvirtual
defaultFont(int style) const QsciLexerTCLvirtual
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerTCLvirtual
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexervirtual
description(int style) const QsciLexerTCLvirtual
editor() const QsciLexerinline
eolFill(int style) const QsciLexervirtual
eolFillChanged(bool eolfilled, int style)QsciLexersignal
ExpandKeyword enum valueQsciLexerTCL
foldComments() const QsciLexerTCLinline
font(int style) const QsciLexervirtual
fontChanged(const QFont &f, int style)QsciLexersignal
Identifier enum valueQsciLexerTCL
indentationGuideView() const (defined in QsciLexer)QsciLexervirtual
ITCLKeyword enum valueQsciLexerTCL
keywords(int set) const QsciLexerTCLvirtual
KeywordSet6 enum valueQsciLexerTCL
KeywordSet7 enum valueQsciLexerTCL
KeywordSet8 enum valueQsciLexerTCL
KeywordSet9 enum valueQsciLexerTCL
language() const QsciLexerTCLvirtual
lexer() const QsciLexerTCLvirtual
lexerId() const QsciLexervirtual
Modifier enum valueQsciLexerTCL
Number enum valueQsciLexerTCL
Operator enum valueQsciLexerTCL
paper(int style) const QsciLexervirtual
paperChanged(const QColor &c, int style)QsciLexersignal
propertyChanged(const char *prop, const char *val)QsciLexersignal
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerTCL(QObject *parent=0)QsciLexerTCL
QuotedKeyword enum valueQsciLexerTCL
QuotedString enum valueQsciLexerTCL
readProperties(QSettings &qs, const QString &prefix)QsciLexerTCLprotectedvirtual
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerTCLvirtual
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexervirtualslot
setColor(const QColor &c, int style=-1)QsciLexervirtualslot
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexervirtual
setEolFill(bool eoffill, int style=-1)QsciLexervirtualslot
setFoldComments(bool fold)QsciLexerTCL
setFont(const QFont &f, int style=-1)QsciLexervirtualslot
setPaper(const QColor &c, int style=-1)QsciLexervirtualslot
styleBitsNeeded() const QsciLexervirtual
Substitution enum valueQsciLexerTCL
SubstitutionBrace enum valueQsciLexerTCL
TCLKeyword enum valueQsciLexerTCL
TkCommand enum valueQsciLexerTCL
TkKeyword enum valueQsciLexerTCL
wordCharacters() const QsciLexervirtual
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerTCLprotectedvirtual
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexervirtual
~QsciLexerTCL()QsciLexerTCLvirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerTeX.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerTeX.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerTeX.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerTeX.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciLexerTeX Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerTeX Class Reference
- +
- +

#include <qscilexertex.h>

Inherits QsciLexer.

- -

List of all members.

-

-Public Types

- -

-Public Member Functions

- -

-Protected Member Functions

- -

Detailed Description

-

The QsciLexerTeX class encapsulates the Scintilla TeX lexer.

-

Member Enumeration Documentation

- + + + + +

+Public Types

enum  {
+  Default = 0, +Special = 1, +Group = 2, +
+  Symbol = 3, +Command = 4, +Text = 5 +
+ }
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 QsciLexerTeX (QObject *parent=0)
 
+virtual ~QsciLexerTeX ()
 
+const char * language () const
 
const char * lexer () const
 
+const char * wordCharacters () const
 
+QColor defaultColor (int style) const
 
const char * keywords (int set) const
 
QString description (int style) const
 
void refreshProperties ()
 
void setFoldComments (bool fold)
 
bool foldComments () const
 
void setFoldCompact (bool fold)
 
bool foldCompact () const
 
void setProcessComments (bool enable)
 
bool processComments () const
 
void setProcessIf (bool enable)
 
bool processIf () const
 
- Public Member Functions inherited from QsciLexer
 QsciLexer (QObject *parent=0)
 
+virtual ~QsciLexer ()
 
virtual int lexerId () const
 
QsciAbstractAPIsapis () const
 
+virtual const char * autoCompletionFillups () const
 
+virtual QStringList autoCompletionWordSeparators () const
 
int autoIndentStyle ()
 
+virtual const char * blockEnd (int *style=0) const
 
+virtual int blockLookback () const
 
+virtual const char * blockStart (int *style=0) const
 
+virtual const char * blockStartKeyword (int *style=0) const
 
+virtual int braceStyle () const
 
+virtual bool caseSensitive () const
 
virtual QColor color (int style) const
 
virtual bool eolFill (int style) const
 
virtual QFont font (int style) const
 
+virtual int indentationGuideView () const
 
+virtual int defaultStyle () const
 
virtual QColor paper (int style) const
 
QColor defaultColor () const
 
virtual bool defaultEolFill (int style) const
 
QFont defaultFont () const
 
+virtual QFont defaultFont (int style) const
 
QColor defaultPaper () const
 
+virtual QColor defaultPaper (int style) const
 
QsciScintillaeditor () const
 
void setAPIs (QsciAbstractAPIs *apis)
 
void setDefaultColor (const QColor &c)
 
void setDefaultFont (const QFont &f)
 
void setDefaultPaper (const QColor &c)
 
+virtual void setEditor (QsciScintilla *editor)
 
bool readSettings (QSettings &qs, const char *prefix="/Scintilla")
 
virtual int styleBitsNeeded () const
 
bool writeSettings (QSettings &qs, const char *prefix="/Scintilla") const
 
+ + + + + +

+Protected Member Functions

bool readProperties (QSettings &qs, const QString &prefix)
 
bool writeProperties (QSettings &qs, const QString &prefix) const
 
+ + + + + + + + + + + + + + + + + + + + + + + +

+Additional Inherited Members

- Public Slots inherited from QsciLexer
virtual void setAutoIndentStyle (int autoindentstyle)
 
virtual void setColor (const QColor &c, int style=-1)
 
virtual void setEolFill (bool eoffill, int style=-1)
 
virtual void setFont (const QFont &f, int style=-1)
 
virtual void setPaper (const QColor &c, int style=-1)
 
- Signals inherited from QsciLexer
void colorChanged (const QColor &c, int style)
 
void eolFillChanged (bool eolfilled, int style)
 
void fontChanged (const QFont &f, int style)
 
void paperChanged (const QColor &c, int style)
 
void propertyChanged (const char *prop, const char *val)
 
+

Detailed Description

+

The QsciLexerTeX class encapsulates the Scintilla TeX lexer.

+

Member Enumeration Documentation

+
@@ -121,36 +237,33 @@
anonymous enum
-
-
+

This enum defines the meanings of the different styles used by the TeX lexer.

-
Enumerator:
-
Default  + + - - - - -
Enumerator
Default 

The default.

Special  +
Special 

A special.

Group  +
Group 

A group.

Symbol  +
Symbol 

A symbol.

Command  +
Command 

A command.

Text  +
Text 

Text.

- - -

Constructor & Destructor Documentation

- +

Constructor & Destructor Documentation

+
@@ -162,92 +275,119 @@
-
-
-

Construct a QsciLexerTeX with parent parent. parent is typically the QsciScintilla instance.

+
+

Construct a QsciLexerTeX with parent parent. parent is typically the QsciScintilla instance.

-

Member Function Documentation

- +

Member Function Documentation

+
+ + + + + +
- +
const char* QsciLexerTeX::lexer ( ) const [virtual] const
- -
+
+virtual
+

Returns the name of the lexer. Some lexers support a number of languages.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
const char* QsciLexerTeX::keywords ( int  set) const [virtual] const
- -
+
+virtual
+

Returns the set of keywords for the keyword set set recognised by the lexer as a space separated string.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QString QsciLexerTeX::description ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the descriptive name for style number style. If the style is invalid for this language then an empty QString is returned. This is intended to be used in user preference dialogs.

Implements QsciLexer.

- +
+ + + + + +
- +
void QsciLexerTeX::refreshProperties ( ) [virtual]
- -
+
+virtual
+

Causes all properties to be refreshed by emitting the propertyChanged() signal as required.

Reimplemented from QsciLexer.

- +
@@ -259,32 +399,38 @@
-
-
+

If fold is true then multi-line comment blocks can be folded. The default is false.

-
See also:
foldComments()
+
See also
foldComments()
- +
+ + + + + +
- +
bool QsciLexerTeX::foldComments ( ) const [inline] const
- -
+
+inline
+

Returns true if multi-line comment blocks can be folded.

-
See also:
setFoldComments()
+
See also
setFoldComments()
- +
@@ -296,32 +442,38 @@
-
-
+

If fold is true then trailing blank lines are included in a fold block. The default is true.

-
See also:
foldCompact()
+
See also
foldCompact()
- +
+ + + + + +
- +
bool QsciLexerTeX::foldCompact ( ) const [inline] const
- -
+
+inline
+

Returns true if trailing blank lines are included in a fold block.

-
See also:
setFoldCompact()
+
See also
setFoldCompact()
- +
@@ -333,32 +485,38 @@
-
-
+

If enable is true then comments are processed as TeX source otherwise they are ignored. The default is false.

-
See also:
processComments()
+
See also
processComments()
- +
+ + + + + +
- +
bool QsciLexerTeX::processComments ( ) const [inline] const
- -
+
+inline
+

Returns true if comments are processed as TeX source.

-
See also:
setProcessComments()
+
See also
setProcessComments()
- +
@@ -370,34 +528,43 @@
-
-
+

If enable is true then \if<unknown> processed is processed as a command. The default is true.

-
See also:
processIf()
+
See also
processIf()
- +
+ + + + + +
- +
bool QsciLexerTeX::processIf ( ) const [inline] const
- -
+
+inline
+

Returns true if \if<unknown> is processed as a command.

-
See also:
setProcessIf()
+
See also
setProcessIf()
- +
+ + + + + +
@@ -414,20 +581,27 @@ - +
bool QsciLexerTeX::readProperties
) [protected, virtual]
- -
+
+protectedvirtual
+

The lexer's properties are read from the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

Reimplemented from QsciLexer.

- +
+ + + + + +
@@ -444,25 +618,27 @@ - +
bool QsciLexerTeX::writeProperties
) const [protected, virtual] const
- -
+
+protectedvirtual
+

The lexer's properties are written to the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

Reimplemented from QsciLexer.

- - - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerTeX-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerTeX-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerTeX-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerTeX-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerTeX Member List
-
+
-This is the complete list of members for QsciLexerTeX, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexer [virtual]
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexer [virtual]
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockLookback() const (defined in QsciLexer)QsciLexer [virtual]
blockStart(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
braceStyle() const (defined in QsciLexer)QsciLexer [virtual]
caseSensitive() const (defined in QsciLexer)QsciLexer [virtual]
color(int style) const QsciLexer [virtual]
colorChanged(const QColor &c, int style)QsciLexer [signal]
Command enum valueQsciLexerTeX
Default enum valueQsciLexerTeX
defaultColor(int style) const QsciLexerTeX [virtual]
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexer [virtual]
defaultFont() const QsciLexer
defaultFont(int style) const QsciLexer [virtual]
defaultPaper() const QsciLexer
defaultPaper(int style) const QsciLexer [virtual]
defaultStyle() const (defined in QsciLexer)QsciLexer [virtual]
description(int style) const QsciLexerTeX [virtual]
editor() const QsciLexer [inline]
eolFill(int style) const QsciLexer [virtual]
eolFillChanged(bool eolfilled, int style)QsciLexer [signal]
foldComments() const QsciLexerTeX [inline]
foldCompact() const QsciLexerTeX [inline]
font(int style) const QsciLexer [virtual]
fontChanged(const QFont &f, int style)QsciLexer [signal]
Group enum valueQsciLexerTeX
indentationGuideView() const (defined in QsciLexer)QsciLexer [virtual]
keywords(int set) const QsciLexerTeX [virtual]
language() const QsciLexerTeX [virtual]
lexer() const QsciLexerTeX [virtual]
lexerId() const QsciLexer [virtual]
paper(int style) const QsciLexer [virtual]
paperChanged(const QColor &c, int style)QsciLexer [signal]
processComments() const QsciLexerTeX [inline]
processIf() const QsciLexerTeX [inline]
propertyChanged(const char *prop, const char *val)QsciLexer [signal]
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerTeX(QObject *parent=0)QsciLexerTeX
readProperties(QSettings &qs, const QString &prefix)QsciLexerTeX [protected, virtual]
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerTeX [virtual]
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexer [virtual, slot]
setColor(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexer [virtual]
setEolFill(bool eoffill, int style=-1)QsciLexer [virtual, slot]
setFoldComments(bool fold)QsciLexerTeX
setFoldCompact(bool fold)QsciLexerTeX
setFont(const QFont &f, int style=-1)QsciLexer [virtual, slot]
setPaper(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setProcessComments(bool enable)QsciLexerTeX
setProcessIf(bool enable)QsciLexerTeX
Special enum valueQsciLexerTeX
styleBitsNeeded() const QsciLexer [virtual]
Symbol enum valueQsciLexerTeX
Text enum valueQsciLexerTeX
wordCharacters() const QsciLexerTeX [virtual]
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerTeX [protected, virtual]
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexer [virtual]
~QsciLexerTeX()QsciLexerTeX [virtual]
- +

This is the complete list of members for QsciLexerTeX, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexervirtual
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexervirtual
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockLookback() const (defined in QsciLexer)QsciLexervirtual
blockStart(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexervirtual
braceStyle() const (defined in QsciLexer)QsciLexervirtual
caseSensitive() const (defined in QsciLexer)QsciLexervirtual
color(int style) const QsciLexervirtual
colorChanged(const QColor &c, int style)QsciLexersignal
Command enum valueQsciLexerTeX
Default enum valueQsciLexerTeX
defaultColor(int style) const QsciLexerTeXvirtual
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexervirtual
defaultFont() const QsciLexer
defaultFont(int style) const QsciLexervirtual
defaultPaper() const QsciLexer
defaultPaper(int style) const QsciLexervirtual
defaultStyle() const (defined in QsciLexer)QsciLexervirtual
description(int style) const QsciLexerTeXvirtual
editor() const QsciLexerinline
eolFill(int style) const QsciLexervirtual
eolFillChanged(bool eolfilled, int style)QsciLexersignal
foldComments() const QsciLexerTeXinline
foldCompact() const QsciLexerTeXinline
font(int style) const QsciLexervirtual
fontChanged(const QFont &f, int style)QsciLexersignal
Group enum valueQsciLexerTeX
indentationGuideView() const (defined in QsciLexer)QsciLexervirtual
keywords(int set) const QsciLexerTeXvirtual
language() const QsciLexerTeXvirtual
lexer() const QsciLexerTeXvirtual
lexerId() const QsciLexervirtual
paper(int style) const QsciLexervirtual
paperChanged(const QColor &c, int style)QsciLexersignal
processComments() const QsciLexerTeXinline
processIf() const QsciLexerTeXinline
propertyChanged(const char *prop, const char *val)QsciLexersignal
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerTeX(QObject *parent=0)QsciLexerTeX
readProperties(QSettings &qs, const QString &prefix)QsciLexerTeXprotectedvirtual
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerTeXvirtual
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexervirtualslot
setColor(const QColor &c, int style=-1)QsciLexervirtualslot
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexervirtual
setEolFill(bool eoffill, int style=-1)QsciLexervirtualslot
setFoldComments(bool fold)QsciLexerTeX
setFoldCompact(bool fold)QsciLexerTeX
setFont(const QFont &f, int style=-1)QsciLexervirtualslot
setPaper(const QColor &c, int style=-1)QsciLexervirtualslot
setProcessComments(bool enable)QsciLexerTeX
setProcessIf(bool enable)QsciLexerTeX
Special enum valueQsciLexerTeX
styleBitsNeeded() const QsciLexervirtual
Symbol enum valueQsciLexerTeX
Text enum valueQsciLexerTeX
wordCharacters() const QsciLexerTeXvirtual
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerTeXprotectedvirtual
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexervirtual
~QsciLexerTeX()QsciLexerTeXvirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerVerilog.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerVerilog.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerVerilog.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerVerilog.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciLexerVerilog Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerVerilog Class Reference
- +
- +

#include <qscilexerverilog.h>

Inherits QsciLexer.

- -

List of all members.

-

-Public Types

- -

-Public Member Functions

- -

-Protected Member Functions

- -

Detailed Description

-

The QsciLexerVerilog class encapsulates the Scintilla Verilog lexer.

-

Member Enumeration Documentation

- + + + + +

+Public Types

enum  {
+  Default = 0, +InactiveDefault = Default + 64, +Comment = 1, +
+  InactiveComment = Comment + 64, +CommentLine = 2, +InactiveCommentLine = CommentLine + 64, +
+  CommentBang = 3, +InactiveCommentBang = CommentBang + 64, +Number = 4, +
+  InactiveNumber = Number + 64, +Keyword = 5, +InactiveKeyword = Keyword + 64, +
+  String = 6, +InactiveString = String + 64, +KeywordSet2 = 7, +
+  InactiveKeywordSet2 = KeywordSet2 + 64, +SystemTask = 8, +InactiveSystemTask = SystemTask + 64, +
+  Preprocessor = 9, +InactivePreprocessor = Preprocessor + 64, +Operator = 10, +
+  InactiveOperator = Operator + 64, +Identifier = 11, +InactiveIdentifier = Identifier + 64, +
+  UnclosedString = 12, +InactiveUnclosedString = UnclosedString + 64, +UserKeywordSet = 19, +
+  InactiveUserKeywordSet = UserKeywordSet + 64, +CommentKeyword = 20, +InactiveCommentKeyword = CommentKeyword + 64, +
+  DeclareInputPort = 21, +InactiveDeclareInputPort = DeclareInputPort + 64, +DeclareOutputPort = 22, +
+  InactiveDeclareOutputPort = DeclareOutputPort + 64, +DeclareInputOutputPort = 23, +InactiveDeclareInputOutputPort = DeclareInputOutputPort + 64, +
+  PortConnection = 24, +InactivePortConnection = PortConnection + 64 +
+ }
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 QsciLexerVerilog (QObject *parent=0)
 
+virtual ~QsciLexerVerilog ()
 
+const char * language () const
 
const char * lexer () const
 
+int braceStyle () const
 
+const char * wordCharacters () const
 
QColor defaultColor (int style) const
 
+bool defaultEolFill (int style) const
 
+QFont defaultFont (int style) const
 
QColor defaultPaper (int style) const
 
const char * keywords (int set) const
 
QString description (int style) const
 
void refreshProperties ()
 
void setFoldAtElse (bool fold)
 
bool foldAtElse () const
 
void setFoldComments (bool fold)
 
bool foldComments () const
 
void setFoldCompact (bool fold)
 
bool foldCompact () const
 
void setFoldPreprocessor (bool fold)
 
bool foldPreprocessor () const
 
void setFoldAtModule (bool fold)
 
bool foldAtModule () const
 
- Public Member Functions inherited from QsciLexer
 QsciLexer (QObject *parent=0)
 
+virtual ~QsciLexer ()
 
virtual int lexerId () const
 
QsciAbstractAPIsapis () const
 
+virtual const char * autoCompletionFillups () const
 
+virtual QStringList autoCompletionWordSeparators () const
 
int autoIndentStyle ()
 
+virtual const char * blockEnd (int *style=0) const
 
+virtual int blockLookback () const
 
+virtual const char * blockStart (int *style=0) const
 
+virtual const char * blockStartKeyword (int *style=0) const
 
+virtual bool caseSensitive () const
 
virtual QColor color (int style) const
 
virtual bool eolFill (int style) const
 
virtual QFont font (int style) const
 
+virtual int indentationGuideView () const
 
+virtual int defaultStyle () const
 
virtual QColor paper (int style) const
 
QColor defaultColor () const
 
QFont defaultFont () const
 
QColor defaultPaper () const
 
QsciScintillaeditor () const
 
void setAPIs (QsciAbstractAPIs *apis)
 
void setDefaultColor (const QColor &c)
 
void setDefaultFont (const QFont &f)
 
void setDefaultPaper (const QColor &c)
 
+virtual void setEditor (QsciScintilla *editor)
 
bool readSettings (QSettings &qs, const char *prefix="/Scintilla")
 
virtual int styleBitsNeeded () const
 
bool writeSettings (QSettings &qs, const char *prefix="/Scintilla") const
 
+ + + + + +

+Protected Member Functions

bool readProperties (QSettings &qs, const QString &prefix)
 
bool writeProperties (QSettings &qs, const QString &prefix) const
 
+ + + + + + + + + + + + + + + + + + + + + + + +

+Additional Inherited Members

- Public Slots inherited from QsciLexer
virtual void setAutoIndentStyle (int autoindentstyle)
 
virtual void setColor (const QColor &c, int style=-1)
 
virtual void setEolFill (bool eoffill, int style=-1)
 
virtual void setFont (const QFont &f, int style=-1)
 
virtual void setPaper (const QColor &c, int style=-1)
 
- Signals inherited from QsciLexer
void colorChanged (const QColor &c, int style)
 
void eolFillChanged (bool eolfilled, int style)
 
void fontChanged (const QFont &f, int style)
 
void paperChanged (const QColor &c, int style)
 
void propertyChanged (const char *prop, const char *val)
 
+

Detailed Description

+

The QsciLexerVerilog class encapsulates the Scintilla Verilog lexer.

+

Member Enumeration Documentation

+
@@ -140,60 +283,72 @@
anonymous enum
-
-
+

This enum defines the meanings of the different styles used by the Verilog lexer.

-
Enumerator:
-
Default  + + - - - - - - - - - - - - - + + + + +
Enumerator
Default 

The default.

Comment  +
Comment 

A comment.

CommentLine  +
CommentLine 

A line comment.

CommentBang  +
CommentBang 

A bang comment.

Number  +
Number 

A number.

Keyword  +
Keyword 

A keyword.

String  +
String 

A string.

KeywordSet2  +
KeywordSet2 

A keyword defined in keyword set number 2. The class must be sub-classed and re-implement keywords() to make use of this style.

SystemTask  +
SystemTask 

A system task.

Preprocessor  +
Preprocessor 

A pre-processor block.

Operator  +
Operator 

An operator.

Identifier  +
Identifier 

An identifier.

UnclosedString  +
UnclosedString 

The end of a line where a string is not closed.

UserKeywordSet  +
UserKeywordSet 

A keyword defined in keyword set number 4. The class must be sub-classed and re-implement keywords() to make use of this style. This set is intended to be used for user defined identifiers and tasks.

CommentKeyword  +

A keyword comment.

+
DeclareInputPort  +

An input port declaration.

+
DeclareOutputPort  +

An output port declaration.

+
DeclareInputOutputPort  +

An input/output port declaration.

+
PortConnection  +

A port connection.

+
- - -

Constructor & Destructor Documentation

- +

Constructor & Destructor Documentation

+
@@ -205,134 +360,175 @@
-
-
-

Construct a QsciLexerVerilog with parent parent. parent is typically the QsciScintilla instance.

+
+

Construct a QsciLexerVerilog with parent parent. parent is typically the QsciScintilla instance.

-

Member Function Documentation

- +

Member Function Documentation

+
+ + + + + +
- +
const char* QsciLexerVerilog::lexer ( ) const [virtual] const
- -
+
+virtual
+

Returns the name of the lexer. Some lexers support a number of languages.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerVerilog::defaultColor ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the foreground colour of the text for style number style.

-
See also:
defaultPaper()
+
See also
defaultPaper()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerVerilog::defaultPaper ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the background colour of the text for style number style.

-
See also:
defaultColor()
+
See also
defaultColor()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
const char* QsciLexerVerilog::keywords ( int  set) const [virtual] const
- -
+
+virtual
+

Returns the set of keywords for the keyword set set recognised by the lexer as a space separated string.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QString QsciLexerVerilog::description ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the descriptive name for style number style. If the style is invalid for this language then an empty QString is returned. This is intended to be used in user preference dialogs.

Implements QsciLexer.

- +
+ + + + + +
- +
void QsciLexerVerilog::refreshProperties ( ) [virtual]
- -
+
+virtual
+

Causes all properties to be refreshed by emitting the propertyChanged() signal as required.

Reimplemented from QsciLexer.

- +
@@ -344,16 +540,18 @@
-
-
+

If fold is true then "} else {" lines can be folded. The default is false.

-
See also:
foldAtElse()
+
See also
foldAtElse()
- +
+ + + + + +
@@ -362,14 +560,18 @@
bool QsciLexerVerilog::foldAtElse const
- -
+
+inline
+

Returns true if "} else {" lines can be folded.

-
See also:
setFoldAtElse()
+
See also
setFoldAtElse()
- +
@@ -381,16 +583,18 @@
-
-
+

If fold is true then multi-line comment blocks can be folded. The default is false.

-
See also:
foldComments()
+
See also
foldComments()
- +
+ + + + + +
@@ -399,14 +603,18 @@
bool QsciLexerVerilog::foldComments const
- -
+
+inline
+

Returns true if multi-line comment blocks can be folded.

-
See also:
setFoldComments()
+
See also
setFoldComments()
- +
@@ -418,16 +626,18 @@
-
-
+

If fold is true then trailing blank lines are included in a fold block. The default is true.

-
See also:
foldCompact()
+
See also
foldCompact()
- +
+ + + + + +
@@ -436,14 +646,18 @@
bool QsciLexerVerilog::foldCompact const
- -
+
+inline
+

Returns true if trailing blank lines are included in a fold block.

-
See also:
setFoldCompact()
+
See also
setFoldCompact()
- +
@@ -455,16 +669,18 @@
-
-
+

If fold is true then preprocessor blocks can be folded. The default is true.

-
See also:
foldPreprocessor()
+
See also
foldPreprocessor()
- +
+ + + + + +
@@ -473,14 +689,18 @@
bool QsciLexerVerilog::foldPreprocessor const
- -
+
+inline
+

Returns true if preprocessor blocks can be folded.

-
See also:
setFoldPreprocessor()
+
See also
setFoldPreprocessor()
- +
@@ -492,16 +712,18 @@
-
-
+

If fold is true then modules can be folded. The default is false.

-
See also:
foldAtModule()
+
See also
foldAtModule()
- +
+ + + + + +
@@ -510,16 +732,23 @@
bool QsciLexerVerilog::foldAtModule const
- -
+
+inline
+

Returns true if modules can be folded.

-
See also:
setFoldAtModule()
+
See also
setFoldAtModule()
- +
+ + + + + +
@@ -536,21 +765,28 @@ - +
bool QsciLexerVerilog::readProperties
) [protected, virtual]
- -
+
+protectedvirtual
+

The lexer's properties are read from the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

-
See also:
writeProperties()
+
See also
writeProperties()

Reimplemented from QsciLexer.

- +
+ + + + + +
@@ -567,26 +803,28 @@ - +
bool QsciLexerVerilog::writeProperties
) const [protected, virtual] const
- -
+
+protectedvirtual
+

The lexer's properties are written to the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

-
See also:
readProperties()
+
See also
readProperties()

Reimplemented from QsciLexer.

- - - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerVerilog-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerVerilog-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerVerilog-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerVerilog-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerVerilog Member List
-
+
-This is the complete list of members for QsciLexerVerilog, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexer [virtual]
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexer [virtual]
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockLookback() const (defined in QsciLexer)QsciLexer [virtual]
blockStart(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
braceStyle() const (defined in QsciLexerVerilog)QsciLexerVerilog [virtual]
caseSensitive() const (defined in QsciLexer)QsciLexer [virtual]
color(int style) const QsciLexer [virtual]
colorChanged(const QColor &c, int style)QsciLexer [signal]
Comment enum valueQsciLexerVerilog
CommentBang enum valueQsciLexerVerilog
CommentLine enum valueQsciLexerVerilog
Default enum valueQsciLexerVerilog
defaultColor(int style) const QsciLexerVerilog [virtual]
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerVerilog [virtual]
defaultFont(int style) const QsciLexerVerilog [virtual]
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerVerilog [virtual]
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexer [virtual]
description(int style) const QsciLexerVerilog [virtual]
editor() const QsciLexer [inline]
eolFill(int style) const QsciLexer [virtual]
eolFillChanged(bool eolfilled, int style)QsciLexer [signal]
foldAtElse() const QsciLexerVerilog
foldAtModule() const QsciLexerVerilog
foldComments() const QsciLexerVerilog
foldCompact() const QsciLexerVerilog
foldPreprocessor() const QsciLexerVerilog
font(int style) const QsciLexer [virtual]
fontChanged(const QFont &f, int style)QsciLexer [signal]
Identifier enum valueQsciLexerVerilog
indentationGuideView() const (defined in QsciLexer)QsciLexer [virtual]
Keyword enum valueQsciLexerVerilog
keywords(int set) const QsciLexerVerilog [virtual]
KeywordSet2 enum valueQsciLexerVerilog
language() const QsciLexerVerilog [virtual]
lexer() const QsciLexerVerilog [virtual]
lexerId() const QsciLexer [virtual]
Number enum valueQsciLexerVerilog
Operator enum valueQsciLexerVerilog
paper(int style) const QsciLexer [virtual]
paperChanged(const QColor &c, int style)QsciLexer [signal]
Preprocessor enum valueQsciLexerVerilog
propertyChanged(const char *prop, const char *val)QsciLexer [signal]
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerVerilog(QObject *parent=0)QsciLexerVerilog
readProperties(QSettings &qs, const QString &prefix)QsciLexerVerilog [protected, virtual]
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerVerilog [virtual]
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexer [virtual, slot]
setColor(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexer [virtual]
setEolFill(bool eoffill, int style=-1)QsciLexer [virtual, slot]
setFoldAtElse(bool fold)QsciLexerVerilog
setFoldAtModule(bool fold)QsciLexerVerilog
setFoldComments(bool fold)QsciLexerVerilog
setFoldCompact(bool fold)QsciLexerVerilog
setFoldPreprocessor(bool fold)QsciLexerVerilog
setFont(const QFont &f, int style=-1)QsciLexer [virtual, slot]
setPaper(const QColor &c, int style=-1)QsciLexer [virtual, slot]
String enum valueQsciLexerVerilog
styleBitsNeeded() const QsciLexer [virtual]
SystemTask enum valueQsciLexerVerilog
UnclosedString enum valueQsciLexerVerilog
UserKeywordSet enum valueQsciLexerVerilog
wordCharacters() const QsciLexerVerilog [virtual]
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerVerilog [protected, virtual]
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexer [virtual]
~QsciLexerVerilog()QsciLexerVerilog [virtual]
- +

This is the complete list of members for QsciLexerVerilog, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexervirtual
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexervirtual
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockLookback() const (defined in QsciLexer)QsciLexervirtual
blockStart(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexervirtual
braceStyle() const (defined in QsciLexerVerilog)QsciLexerVerilogvirtual
caseSensitive() const (defined in QsciLexer)QsciLexervirtual
color(int style) const QsciLexervirtual
colorChanged(const QColor &c, int style)QsciLexersignal
Comment enum valueQsciLexerVerilog
CommentBang enum valueQsciLexerVerilog
CommentKeyword enum valueQsciLexerVerilog
CommentLine enum valueQsciLexerVerilog
DeclareInputOutputPort enum valueQsciLexerVerilog
DeclareInputPort enum valueQsciLexerVerilog
DeclareOutputPort enum valueQsciLexerVerilog
Default enum valueQsciLexerVerilog
defaultColor(int style) const QsciLexerVerilogvirtual
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerVerilogvirtual
defaultFont(int style) const QsciLexerVerilogvirtual
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerVerilogvirtual
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexervirtual
description(int style) const QsciLexerVerilogvirtual
editor() const QsciLexerinline
eolFill(int style) const QsciLexervirtual
eolFillChanged(bool eolfilled, int style)QsciLexersignal
foldAtElse() const QsciLexerVeriloginline
foldAtModule() const QsciLexerVeriloginline
foldComments() const QsciLexerVeriloginline
foldCompact() const QsciLexerVeriloginline
foldPreprocessor() const QsciLexerVeriloginline
font(int style) const QsciLexervirtual
fontChanged(const QFont &f, int style)QsciLexersignal
Identifier enum valueQsciLexerVerilog
InactiveComment enum value (defined in QsciLexerVerilog)QsciLexerVerilog
InactiveCommentBang enum value (defined in QsciLexerVerilog)QsciLexerVerilog
InactiveCommentKeyword enum value (defined in QsciLexerVerilog)QsciLexerVerilog
InactiveCommentLine enum value (defined in QsciLexerVerilog)QsciLexerVerilog
InactiveDeclareInputOutputPort enum value (defined in QsciLexerVerilog)QsciLexerVerilog
InactiveDeclareInputPort enum value (defined in QsciLexerVerilog)QsciLexerVerilog
InactiveDeclareOutputPort enum value (defined in QsciLexerVerilog)QsciLexerVerilog
InactiveDefault enum value (defined in QsciLexerVerilog)QsciLexerVerilog
InactiveIdentifier enum value (defined in QsciLexerVerilog)QsciLexerVerilog
InactiveKeyword enum value (defined in QsciLexerVerilog)QsciLexerVerilog
InactiveKeywordSet2 enum value (defined in QsciLexerVerilog)QsciLexerVerilog
InactiveNumber enum value (defined in QsciLexerVerilog)QsciLexerVerilog
InactiveOperator enum value (defined in QsciLexerVerilog)QsciLexerVerilog
InactivePortConnection enum value (defined in QsciLexerVerilog)QsciLexerVerilog
InactivePreprocessor enum value (defined in QsciLexerVerilog)QsciLexerVerilog
InactiveString enum value (defined in QsciLexerVerilog)QsciLexerVerilog
InactiveSystemTask enum value (defined in QsciLexerVerilog)QsciLexerVerilog
InactiveUnclosedString enum value (defined in QsciLexerVerilog)QsciLexerVerilog
InactiveUserKeywordSet enum value (defined in QsciLexerVerilog)QsciLexerVerilog
indentationGuideView() const (defined in QsciLexer)QsciLexervirtual
Keyword enum valueQsciLexerVerilog
keywords(int set) const QsciLexerVerilogvirtual
KeywordSet2 enum valueQsciLexerVerilog
language() const QsciLexerVerilogvirtual
lexer() const QsciLexerVerilogvirtual
lexerId() const QsciLexervirtual
Number enum valueQsciLexerVerilog
Operator enum valueQsciLexerVerilog
paper(int style) const QsciLexervirtual
paperChanged(const QColor &c, int style)QsciLexersignal
PortConnection enum valueQsciLexerVerilog
Preprocessor enum valueQsciLexerVerilog
propertyChanged(const char *prop, const char *val)QsciLexersignal
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerVerilog(QObject *parent=0)QsciLexerVerilog
readProperties(QSettings &qs, const QString &prefix)QsciLexerVerilogprotectedvirtual
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerVerilogvirtual
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexervirtualslot
setColor(const QColor &c, int style=-1)QsciLexervirtualslot
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexervirtual
setEolFill(bool eoffill, int style=-1)QsciLexervirtualslot
setFoldAtElse(bool fold)QsciLexerVerilog
setFoldAtModule(bool fold)QsciLexerVerilog
setFoldComments(bool fold)QsciLexerVerilog
setFoldCompact(bool fold)QsciLexerVerilog
setFoldPreprocessor(bool fold)QsciLexerVerilog
setFont(const QFont &f, int style=-1)QsciLexervirtualslot
setPaper(const QColor &c, int style=-1)QsciLexervirtualslot
String enum valueQsciLexerVerilog
styleBitsNeeded() const QsciLexervirtual
SystemTask enum valueQsciLexerVerilog
UnclosedString enum valueQsciLexerVerilog
UserKeywordSet enum valueQsciLexerVerilog
wordCharacters() const QsciLexerVerilogvirtual
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerVerilogprotectedvirtual
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexervirtual
~QsciLexerVerilog()QsciLexerVerilogvirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerVHDL.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerVHDL.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerVHDL.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerVHDL.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciLexerVHDL Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerVHDL Class Reference
- +
- +

#include <qscilexervhdl.h>

Inherits QsciLexer.

- -

List of all members.

-

-Public Types

- -

-Public Slots

- -

-Public Member Functions

- -

-Protected Member Functions

- -

Detailed Description

-

The QsciLexerVHDL class encapsulates the Scintilla VHDL lexer.

-

Member Enumeration Documentation

- + + + + +

+Public Types

enum  {
+  Default = 0, +Comment = 1, +CommentLine = 2, +
+  Number = 3, +String = 4, +Operator = 5, +
+  Identifier = 6, +UnclosedString = 7, +Keyword = 8, +
+  StandardOperator = 9, +Attribute = 10, +StandardFunction = 11, +
+  StandardPackage = 12, +StandardType = 13, +KeywordSet7 = 14, +
+  CommentBlock = 15 +
+ }
 
+ + + + + + + + + + + + + + + + + + + + + + +

+Public Slots

virtual void setFoldComments (bool fold)
 
virtual void setFoldCompact (bool fold)
 
virtual void setFoldAtElse (bool fold)
 
virtual void setFoldAtBegin (bool fold)
 
virtual void setFoldAtParenthesis (bool fold)
 
- Public Slots inherited from QsciLexer
virtual void setAutoIndentStyle (int autoindentstyle)
 
virtual void setColor (const QColor &c, int style=-1)
 
virtual void setEolFill (bool eoffill, int style=-1)
 
virtual void setFont (const QFont &f, int style=-1)
 
virtual void setPaper (const QColor &c, int style=-1)
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 QsciLexerVHDL (QObject *parent=0)
 
+virtual ~QsciLexerVHDL ()
 
+const char * language () const
 
const char * lexer () const
 
+int braceStyle () const
 
QColor defaultColor (int style) const
 
+bool defaultEolFill (int style) const
 
+QFont defaultFont (int style) const
 
QColor defaultPaper (int style) const
 
const char * keywords (int set) const
 
QString description (int style) const
 
void refreshProperties ()
 
bool foldComments () const
 
bool foldCompact () const
 
bool foldAtElse () const
 
bool foldAtBegin () const
 
bool foldAtParenthesis () const
 
- Public Member Functions inherited from QsciLexer
 QsciLexer (QObject *parent=0)
 
+virtual ~QsciLexer ()
 
virtual int lexerId () const
 
QsciAbstractAPIsapis () const
 
+virtual const char * autoCompletionFillups () const
 
+virtual QStringList autoCompletionWordSeparators () const
 
int autoIndentStyle ()
 
+virtual const char * blockEnd (int *style=0) const
 
+virtual int blockLookback () const
 
+virtual const char * blockStart (int *style=0) const
 
+virtual const char * blockStartKeyword (int *style=0) const
 
+virtual bool caseSensitive () const
 
virtual QColor color (int style) const
 
virtual bool eolFill (int style) const
 
virtual QFont font (int style) const
 
+virtual int indentationGuideView () const
 
+virtual int defaultStyle () const
 
virtual QColor paper (int style) const
 
QColor defaultColor () const
 
QFont defaultFont () const
 
QColor defaultPaper () const
 
QsciScintillaeditor () const
 
void setAPIs (QsciAbstractAPIs *apis)
 
void setDefaultColor (const QColor &c)
 
void setDefaultFont (const QFont &f)
 
void setDefaultPaper (const QColor &c)
 
+virtual void setEditor (QsciScintilla *editor)
 
bool readSettings (QSettings &qs, const char *prefix="/Scintilla")
 
virtual int styleBitsNeeded () const
 
virtual const char * wordCharacters () const
 
bool writeSettings (QSettings &qs, const char *prefix="/Scintilla") const
 
+ + + + + +

+Protected Member Functions

bool readProperties (QSettings &qs, const QString &prefix)
 
bool writeProperties (QSettings &qs, const QString &prefix) const
 
+ + + + + + + + + + + + +

+Additional Inherited Members

- Signals inherited from QsciLexer
void colorChanged (const QColor &c, int style)
 
void eolFillChanged (bool eolfilled, int style)
 
void fontChanged (const QFont &f, int style)
 
void paperChanged (const QColor &c, int style)
 
void propertyChanged (const char *prop, const char *val)
 
+

Detailed Description

+

The QsciLexerVHDL class encapsulates the Scintilla VHDL lexer.

+

Member Enumeration Documentation

+
@@ -144,63 +257,63 @@
anonymous enum
-
-
+

This enum defines the meanings of the different styles used by the VHDL lexer.

-
Enumerator:
-
Default  + + - - - - - - - - - - - - - - +
Enumerator
Default 

The default.

Comment  +
Comment 

A comment.

CommentLine  +
CommentLine 

A comment line.

Number  +
Number 

A number.

String  +
String 

A string.

Operator  +
Operator 

An operator.

Identifier  +
Identifier 

An identifier.

UnclosedString  +
UnclosedString 

The end of a line where a string is not closed.

Keyword  +
Keyword 

A keyword.

StandardOperator  +
StandardOperator 

A standard operator.

Attribute  +
Attribute 

An attribute.

StandardFunction  +
StandardFunction 

A standard function.

StandardPackage  +
StandardPackage 

A standard package.

StandardType  +
StandardType 

A standard type.

KeywordSet7  +
KeywordSet7 

A keyword defined in keyword set number 7. The class must be sub-classed and re-implement keywords() to make use of this style.

CommentBlock  +

A comment block.

+
- - -

Constructor & Destructor Documentation

- +

Constructor & Destructor Documentation

+
@@ -212,134 +325,175 @@
-
-
-

Construct a QsciLexerVHDL with parent parent. parent is typically the QsciScintilla instance.

+
+

Construct a QsciLexerVHDL with parent parent. parent is typically the QsciScintilla instance.

-

Member Function Documentation

- +

Member Function Documentation

+
+ + + + + +
- +
const char* QsciLexerVHDL::lexer ( ) const [virtual] const
- -
+
+virtual
+

Returns the name of the lexer. Some lexers support a number of languages.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerVHDL::defaultColor ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the foreground colour of the text for style number style.

-
See also:
defaultPaper()
+
See also
defaultPaper()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerVHDL::defaultPaper ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the background colour of the text for style number style.

-
See also:
defaultColor()
+
See also
defaultColor()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
const char* QsciLexerVHDL::keywords ( int  set) const [virtual] const
- -
+
+virtual
+

Returns the set of keywords for the keyword set set recognised by the lexer as a space separated string.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QString QsciLexerVHDL::description ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the descriptive name for style number style. If the style is invalid for this language then an empty QString is returned. This is intended to be used in user preference dialogs.

Implements QsciLexer.

- +
+ + + + + +
- +
void QsciLexerVHDL::refreshProperties ( ) [virtual]
- -
+
+virtual
+

Causes all properties to be refreshed by emitting the propertyChanged() signal as required.

Reimplemented from QsciLexer.

- +
@@ -350,14 +504,13 @@
const
-
-
+

Returns true if multi-line comment blocks can be folded.

-
See also:
setFoldComments()
+
See also
setFoldComments()
- +
@@ -368,14 +521,13 @@
const
-
-
+

Returns true if trailing blank lines are included in a fold block.

-
See also:
setFoldCompact()
+
See also
setFoldCompact()
- +
@@ -386,14 +538,13 @@
const
-
-
+

Returns true if else blocks can be folded.

-
See also:
setFoldAtElse()
+
See also
setFoldAtElse()
- +
@@ -404,14 +555,13 @@
const
-
-
+

Returns true if begin blocks can be folded.

-
See also:
setFoldAtBegin()
+
See also
setFoldAtBegin()
- +
@@ -422,111 +572,148 @@
const
-
-
+

Returns true if blocks can be folded at a parenthesis.

-
See also:
setFoldAtParenthesis()
+
See also
setFoldAtParenthesis()
- +
+ + + + + +
- +
virtual void QsciLexerVHDL::setFoldComments ( bool  fold) [virtual, slot]
- -
+
+virtualslot
+

If fold is true then multi-line comment blocks can be folded. The default is true.

-
See also:
foldComments()
+
See also
foldComments()
- +
+ + + + + +
- +
virtual void QsciLexerVHDL::setFoldCompact ( bool  fold) [virtual, slot]
- -
+
+virtualslot
+

If fold is true then trailing blank lines are included in a fold block. The default is true.

-
See also:
foldCompact()
+
See also
foldCompact()
- +
+ + + + + +
- +
virtual void QsciLexerVHDL::setFoldAtElse ( bool  fold) [virtual, slot]
- -
+
+virtualslot
+

If fold is true then else blocks can be folded. The default is true.

-
See also:
foldAtElse()
+
See also
foldAtElse()
- +
+ + + + + +
- +
virtual void QsciLexerVHDL::setFoldAtBegin ( bool  fold) [virtual, slot]
- -
+
+virtualslot
+

If fold is true then begin blocks can be folded. The default is true.

-
See also:
foldAtBegin()
+
See also
foldAtBegin()
- +
+ + + + + +
- +
virtual void QsciLexerVHDL::setFoldAtParenthesis ( bool  fold) [virtual, slot]
- -
+
+virtualslot
+

If fold is true then blocks can be folded at a parenthesis. The default is true.

-
See also:
foldAtParenthesis()
+
See also
foldAtParenthesis()
- +
+ + + + + +
@@ -543,20 +730,27 @@ - +
bool QsciLexerVHDL::readProperties
) [protected, virtual]
- -
+
+protectedvirtual
+

The lexer's properties are read from the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

Reimplemented from QsciLexer.

- +
+ + + + + +
@@ -573,25 +767,27 @@ - +
bool QsciLexerVHDL::writeProperties
) const [protected, virtual] const
- -
+
+protectedvirtual
+

The lexer's properties are written to the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

Reimplemented from QsciLexer.

- - - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerVHDL-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerVHDL-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerVHDL-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerVHDL-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerVHDL Member List
-
+
-This is the complete list of members for QsciLexerVHDL, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
apis() const QsciLexer
Attribute enum valueQsciLexerVHDL
autoCompletionFillups() const (defined in QsciLexer)QsciLexer [virtual]
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexer [virtual]
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockLookback() const (defined in QsciLexer)QsciLexer [virtual]
blockStart(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
braceStyle() const (defined in QsciLexerVHDL)QsciLexerVHDL [virtual]
caseSensitive() const (defined in QsciLexer)QsciLexer [virtual]
color(int style) const QsciLexer [virtual]
colorChanged(const QColor &c, int style)QsciLexer [signal]
Comment enum valueQsciLexerVHDL
CommentLine enum valueQsciLexerVHDL
Default enum valueQsciLexerVHDL
defaultColor(int style) const QsciLexerVHDL [virtual]
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerVHDL [virtual]
defaultFont(int style) const QsciLexerVHDL [virtual]
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerVHDL [virtual]
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexer [virtual]
description(int style) const QsciLexerVHDL [virtual]
editor() const QsciLexer [inline]
eolFill(int style) const QsciLexer [virtual]
eolFillChanged(bool eolfilled, int style)QsciLexer [signal]
foldAtBegin() const QsciLexerVHDL
foldAtElse() const QsciLexerVHDL
foldAtParenthesis() const QsciLexerVHDL
foldComments() const QsciLexerVHDL
foldCompact() const QsciLexerVHDL
font(int style) const QsciLexer [virtual]
fontChanged(const QFont &f, int style)QsciLexer [signal]
Identifier enum valueQsciLexerVHDL
indentationGuideView() const (defined in QsciLexer)QsciLexer [virtual]
Keyword enum valueQsciLexerVHDL
keywords(int set) const QsciLexerVHDL [virtual]
KeywordSet7 enum valueQsciLexerVHDL
language() const QsciLexerVHDL [virtual]
lexer() const QsciLexerVHDL [virtual]
lexerId() const QsciLexer [virtual]
Number enum valueQsciLexerVHDL
Operator enum valueQsciLexerVHDL
paper(int style) const QsciLexer [virtual]
paperChanged(const QColor &c, int style)QsciLexer [signal]
propertyChanged(const char *prop, const char *val)QsciLexer [signal]
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerVHDL(QObject *parent=0)QsciLexerVHDL
readProperties(QSettings &qs, const QString &prefix)QsciLexerVHDL [protected, virtual]
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerVHDL [virtual]
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexer [virtual, slot]
setColor(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexer [virtual]
setEolFill(bool eoffill, int style=-1)QsciLexer [virtual, slot]
setFoldAtBegin(bool fold)QsciLexerVHDL [virtual, slot]
setFoldAtElse(bool fold)QsciLexerVHDL [virtual, slot]
setFoldAtParenthesis(bool fold)QsciLexerVHDL [virtual, slot]
setFoldComments(bool fold)QsciLexerVHDL [virtual, slot]
setFoldCompact(bool fold)QsciLexerVHDL [virtual, slot]
setFont(const QFont &f, int style=-1)QsciLexer [virtual, slot]
setPaper(const QColor &c, int style=-1)QsciLexer [virtual, slot]
StandardFunction enum valueQsciLexerVHDL
StandardOperator enum valueQsciLexerVHDL
StandardPackage enum valueQsciLexerVHDL
StandardType enum valueQsciLexerVHDL
String enum valueQsciLexerVHDL
styleBitsNeeded() const QsciLexer [virtual]
UnclosedString enum valueQsciLexerVHDL
wordCharacters() const QsciLexer [virtual]
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerVHDL [protected, virtual]
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexer [virtual]
~QsciLexerVHDL()QsciLexerVHDL [virtual]
- +

This is the complete list of members for QsciLexerVHDL, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
apis() const QsciLexer
Attribute enum valueQsciLexerVHDL
autoCompletionFillups() const (defined in QsciLexer)QsciLexervirtual
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexervirtual
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockLookback() const (defined in QsciLexer)QsciLexervirtual
blockStart(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexervirtual
braceStyle() const (defined in QsciLexerVHDL)QsciLexerVHDLvirtual
caseSensitive() const (defined in QsciLexer)QsciLexervirtual
color(int style) const QsciLexervirtual
colorChanged(const QColor &c, int style)QsciLexersignal
Comment enum valueQsciLexerVHDL
CommentBlock enum valueQsciLexerVHDL
CommentLine enum valueQsciLexerVHDL
Default enum valueQsciLexerVHDL
defaultColor(int style) const QsciLexerVHDLvirtual
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerVHDLvirtual
defaultFont(int style) const QsciLexerVHDLvirtual
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerVHDLvirtual
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexervirtual
description(int style) const QsciLexerVHDLvirtual
editor() const QsciLexerinline
eolFill(int style) const QsciLexervirtual
eolFillChanged(bool eolfilled, int style)QsciLexersignal
foldAtBegin() const QsciLexerVHDL
foldAtElse() const QsciLexerVHDL
foldAtParenthesis() const QsciLexerVHDL
foldComments() const QsciLexerVHDL
foldCompact() const QsciLexerVHDL
font(int style) const QsciLexervirtual
fontChanged(const QFont &f, int style)QsciLexersignal
Identifier enum valueQsciLexerVHDL
indentationGuideView() const (defined in QsciLexer)QsciLexervirtual
Keyword enum valueQsciLexerVHDL
keywords(int set) const QsciLexerVHDLvirtual
KeywordSet7 enum valueQsciLexerVHDL
language() const QsciLexerVHDLvirtual
lexer() const QsciLexerVHDLvirtual
lexerId() const QsciLexervirtual
Number enum valueQsciLexerVHDL
Operator enum valueQsciLexerVHDL
paper(int style) const QsciLexervirtual
paperChanged(const QColor &c, int style)QsciLexersignal
propertyChanged(const char *prop, const char *val)QsciLexersignal
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerVHDL(QObject *parent=0)QsciLexerVHDL
readProperties(QSettings &qs, const QString &prefix)QsciLexerVHDLprotectedvirtual
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerVHDLvirtual
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexervirtualslot
setColor(const QColor &c, int style=-1)QsciLexervirtualslot
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexervirtual
setEolFill(bool eoffill, int style=-1)QsciLexervirtualslot
setFoldAtBegin(bool fold)QsciLexerVHDLvirtualslot
setFoldAtElse(bool fold)QsciLexerVHDLvirtualslot
setFoldAtParenthesis(bool fold)QsciLexerVHDLvirtualslot
setFoldComments(bool fold)QsciLexerVHDLvirtualslot
setFoldCompact(bool fold)QsciLexerVHDLvirtualslot
setFont(const QFont &f, int style=-1)QsciLexervirtualslot
setPaper(const QColor &c, int style=-1)QsciLexervirtualslot
StandardFunction enum valueQsciLexerVHDL
StandardOperator enum valueQsciLexerVHDL
StandardPackage enum valueQsciLexerVHDL
StandardType enum valueQsciLexerVHDL
String enum valueQsciLexerVHDL
styleBitsNeeded() const QsciLexervirtual
UnclosedString enum valueQsciLexerVHDL
wordCharacters() const QsciLexervirtual
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerVHDLprotectedvirtual
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexervirtual
~QsciLexerVHDL()QsciLexerVHDLvirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerXML.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerXML.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerXML.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerXML.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciLexerXML Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerXML Class Reference
- +
- +

#include <qscilexerxml.h>

Inherits QsciLexerHTML.

- -

List of all members.

-

-Public Member Functions

- -

-Protected Member Functions

- -

Detailed Description

-

The QsciLexerXML class encapsulates the Scintilla XML lexer.

-

Constructor & Destructor Documentation

- + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 QsciLexerXML (QObject *parent=0)
 
+virtual ~QsciLexerXML ()
 
+const char * language () const
 
const char * lexer () const
 
QColor defaultColor (int style) const
 
+bool defaultEolFill (int style) const
 
+QFont defaultFont (int style) const
 
QColor defaultPaper (int style) const
 
const char * keywords (int set) const
 
void refreshProperties ()
 
void setScriptsStyled (bool styled)
 
bool scriptsStyled () const
 
- Public Member Functions inherited from QsciLexerHTML
 QsciLexerHTML (QObject *parent=0)
 
+virtual ~QsciLexerHTML ()
 
+const char * autoCompletionFillups () const
 
+const char * wordCharacters () const
 
QString description (int style) const
 
bool caseSensitiveTags () const
 
void setDjangoTemplates (bool enabled)
 
bool djangoTemplates () const
 
bool foldCompact () const
 
bool foldPreprocessor () const
 
void setFoldScriptComments (bool fold)
 
bool foldScriptComments () const
 
void setFoldScriptHeredocs (bool fold)
 
bool foldScriptHeredocs () const
 
void setMakoTemplates (bool enabled)
 
bool makoTemplates () const
 
- Public Member Functions inherited from QsciLexer
 QsciLexer (QObject *parent=0)
 
+virtual ~QsciLexer ()
 
virtual int lexerId () const
 
QsciAbstractAPIsapis () const
 
+virtual QStringList autoCompletionWordSeparators () const
 
int autoIndentStyle ()
 
+virtual const char * blockEnd (int *style=0) const
 
+virtual int blockLookback () const
 
+virtual const char * blockStart (int *style=0) const
 
+virtual const char * blockStartKeyword (int *style=0) const
 
+virtual int braceStyle () const
 
+virtual bool caseSensitive () const
 
virtual QColor color (int style) const
 
virtual bool eolFill (int style) const
 
virtual QFont font (int style) const
 
+virtual int indentationGuideView () const
 
+virtual int defaultStyle () const
 
virtual QColor paper (int style) const
 
QColor defaultColor () const
 
QFont defaultFont () const
 
QColor defaultPaper () const
 
QsciScintillaeditor () const
 
void setAPIs (QsciAbstractAPIs *apis)
 
void setDefaultColor (const QColor &c)
 
void setDefaultFont (const QFont &f)
 
void setDefaultPaper (const QColor &c)
 
+virtual void setEditor (QsciScintilla *editor)
 
bool readSettings (QSettings &qs, const char *prefix="/Scintilla")
 
virtual int styleBitsNeeded () const
 
bool writeSettings (QSettings &qs, const char *prefix="/Scintilla") const
 
+ + + + + +

+Protected Member Functions

bool readProperties (QSettings &qs, const QString &prefix)
 
bool writeProperties (QSettings &qs, const QString &prefix) const
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Additional Inherited Members

- Public Types inherited from QsciLexerHTML
enum  {
+  Default = 0, +Tag = 1, +UnknownTag = 2, +
+  Attribute = 3, +UnknownAttribute = 4, +HTMLNumber = 5, +
+  HTMLDoubleQuotedString = 6, +HTMLSingleQuotedString = 7, +OtherInTag = 8, +
+  HTMLComment = 9, +Entity = 10, +XMLTagEnd = 11, +
+  XMLStart = 12, +XMLEnd = 13, +Script = 14, +
+  ASPAtStart = 15, +ASPStart = 16, +CDATA = 17, +
+  PHPStart = 18, +HTMLValue = 19, +ASPXCComment = 20, +
+  SGMLDefault = 21, +SGMLCommand = 22, +SGMLParameter = 23, +
+  SGMLDoubleQuotedString = 24, +SGMLSingleQuotedString = 25, +SGMLError = 26, +
+  SGMLSpecial = 27, +SGMLEntity = 28, +SGMLComment = 29, +
+  SGMLParameterComment = 30, +SGMLBlockDefault = 31, +JavaScriptStart = 40, +
+  JavaScriptDefault = 41, +JavaScriptComment = 42, +JavaScriptCommentLine = 43, +
+  JavaScriptCommentDoc = 44, +JavaScriptNumber = 45, +JavaScriptWord = 46, +
+  JavaScriptKeyword = 47, +JavaScriptDoubleQuotedString = 48, +JavaScriptSingleQuotedString = 49, +
+  JavaScriptSymbol = 50, +JavaScriptUnclosedString = 51, +JavaScriptRegex = 52, +
+  ASPJavaScriptStart = 55, +ASPJavaScriptDefault = 56, +ASPJavaScriptComment = 57, +
+  ASPJavaScriptCommentLine = 58, +ASPJavaScriptCommentDoc = 59, +ASPJavaScriptNumber = 60, +
+  ASPJavaScriptWord = 61, +ASPJavaScriptKeyword = 62, +ASPJavaScriptDoubleQuotedString = 63, +
+  ASPJavaScriptSingleQuotedString = 64, +ASPJavaScriptSymbol = 65, +ASPJavaScriptUnclosedString = 66, +
+  ASPJavaScriptRegex = 67, +VBScriptStart = 70, +VBScriptDefault = 71, +
+  VBScriptComment = 72, +VBScriptNumber = 73, +VBScriptKeyword = 74, +
+  VBScriptString = 75, +VBScriptIdentifier = 76, +VBScriptUnclosedString = 77, +
+  ASPVBScriptStart = 80, +ASPVBScriptDefault = 81, +ASPVBScriptComment = 82, +
+  ASPVBScriptNumber = 83, +ASPVBScriptKeyword = 84, +ASPVBScriptString = 85, +
+  ASPVBScriptIdentifier = 86, +ASPVBScriptUnclosedString = 87, +PythonStart = 90, +
+  PythonDefault = 91, +PythonComment = 92, +PythonNumber = 93, +
+  PythonDoubleQuotedString = 94, +PythonSingleQuotedString = 95, +PythonKeyword = 96, +
+  PythonTripleSingleQuotedString = 97, +PythonTripleDoubleQuotedString = 98, +PythonClassName = 99, +
+  PythonFunctionMethodName = 100, +PythonOperator = 101, +PythonIdentifier = 102, +
+  ASPPythonStart = 105, +ASPPythonDefault = 106, +ASPPythonComment = 107, +
+  ASPPythonNumber = 108, +ASPPythonDoubleQuotedString = 109, +ASPPythonSingleQuotedString = 110, +
+  ASPPythonKeyword = 111, +ASPPythonTripleSingleQuotedString = 112, +ASPPythonTripleDoubleQuotedString = 113, +
+  ASPPythonClassName = 114, +ASPPythonFunctionMethodName = 115, +ASPPythonOperator = 116, +
+  ASPPythonIdentifier = 117, +PHPDefault = 118, +PHPDoubleQuotedString = 119, +
+  PHPSingleQuotedString = 120, +PHPKeyword = 121, +PHPNumber = 122, +
+  PHPVariable = 123, +PHPComment = 124, +PHPCommentLine = 125, +
+  PHPDoubleQuotedVariable = 126, +PHPOperator = 127 +
+ }
 
- Public Slots inherited from QsciLexerHTML
virtual void setFoldCompact (bool fold)
 
virtual void setFoldPreprocessor (bool fold)
 
virtual void setCaseSensitiveTags (bool sens)
 
- Public Slots inherited from QsciLexer
virtual void setAutoIndentStyle (int autoindentstyle)
 
virtual void setColor (const QColor &c, int style=-1)
 
virtual void setEolFill (bool eoffill, int style=-1)
 
virtual void setFont (const QFont &f, int style=-1)
 
virtual void setPaper (const QColor &c, int style=-1)
 
- Signals inherited from QsciLexer
void colorChanged (const QColor &c, int style)
 
void eolFillChanged (bool eolfilled, int style)
 
void fontChanged (const QFont &f, int style)
 
void paperChanged (const QColor &c, int style)
 
void propertyChanged (const char *prop, const char *val)
 
+

Detailed Description

+

The QsciLexerXML class encapsulates the Scintilla XML lexer.

+

Constructor & Destructor Documentation

+
@@ -105,114 +399,148 @@
-
-
-

Construct a QsciLexerXML with parent parent. parent is typically the QsciScintilla instance.

+
+

Construct a QsciLexerXML with parent parent. parent is typically the QsciScintilla instance.

-

Member Function Documentation

- +

Member Function Documentation

+
+ + + + + +
- +
const char* QsciLexerXML::lexer ( ) const [virtual] const
- -
+
+virtual
+

Returns the name of the lexer. Some lexers support a number of languages.

Reimplemented from QsciLexerHTML.

- +
+ + + + + +
- +
QColor QsciLexerXML::defaultColor ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the foreground colour of the text for style number style.

-
See also:
defaultPaper()
+
See also
defaultPaper()

Reimplemented from QsciLexerHTML.

- +
+ + + + + +
- +
QColor QsciLexerXML::defaultPaper ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the background colour of the text for style number style.

-
See also:
defaultColor()
+
See also
defaultColor()

Reimplemented from QsciLexerHTML.

- +
+ + + + + +
- +
const char* QsciLexerXML::keywords ( int  set) const [virtual] const
- -
+
+virtual
+

Returns the set of keywords for the keyword set set recognised by the lexer as a space separated string.

Reimplemented from QsciLexerHTML.

- +
+ + + + + +
- +
void QsciLexerXML::refreshProperties ( ) [virtual]
- -
+
+virtual
+

Causes all properties to be refreshed by emitting the propertyChanged() signal as required.

Reimplemented from QsciLexerHTML.

- +
@@ -224,14 +552,13 @@
-
-
+

If allowed is true then scripts are styled. The default is true.

-
See also:
scriptsStyled()
+
See also
scriptsStyled()
- +
@@ -242,16 +569,18 @@
const
-
-
+

Returns true if scripts are styled.

-
See also:
setScriptsStyled()
+
See also
setScriptsStyled()
- +
+ + + + + +
@@ -268,20 +597,27 @@ - +
bool QsciLexerXML::readProperties
) [protected, virtual]
- -
+
+protectedvirtual
+

The lexer's properties are read from the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

Reimplemented from QsciLexerHTML.

- +
+ + + + + +
@@ -298,25 +634,27 @@ - +
bool QsciLexerXML::writeProperties
) const [protected, virtual] const
- -
+
+protectedvirtual
+

The lexer's properties are written to the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

Reimplemented from QsciLexerHTML.

-
- - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerXML-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerXML-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerXML-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerXML-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerXML Member List
-
+
-This is the complete list of members for QsciLexerXML, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
apis() const QsciLexer
ASPAtStart enum valueQsciLexerHTML
ASPJavaScriptComment enum valueQsciLexerHTML
ASPJavaScriptCommentDoc enum valueQsciLexerHTML
ASPJavaScriptCommentLine enum valueQsciLexerHTML
ASPJavaScriptDefault enum valueQsciLexerHTML
ASPJavaScriptDoubleQuotedString enum valueQsciLexerHTML
ASPJavaScriptKeyword enum valueQsciLexerHTML
ASPJavaScriptNumber enum valueQsciLexerHTML
ASPJavaScriptRegex enum valueQsciLexerHTML
ASPJavaScriptSingleQuotedString enum valueQsciLexerHTML
ASPJavaScriptStart enum valueQsciLexerHTML
ASPJavaScriptSymbol enum valueQsciLexerHTML
ASPJavaScriptUnclosedString enum valueQsciLexerHTML
ASPJavaScriptWord enum valueQsciLexerHTML
ASPPythonClassName enum valueQsciLexerHTML
ASPPythonComment enum valueQsciLexerHTML
ASPPythonDefault enum valueQsciLexerHTML
ASPPythonDoubleQuotedString enum valueQsciLexerHTML
ASPPythonFunctionMethodName enum valueQsciLexerHTML
ASPPythonIdentifier enum valueQsciLexerHTML
ASPPythonKeyword enum valueQsciLexerHTML
ASPPythonNumber enum valueQsciLexerHTML
ASPPythonOperator enum valueQsciLexerHTML
ASPPythonSingleQuotedString enum valueQsciLexerHTML
ASPPythonStart enum valueQsciLexerHTML
ASPPythonTripleDoubleQuotedString enum valueQsciLexerHTML
ASPPythonTripleSingleQuotedString enum valueQsciLexerHTML
ASPStart enum valueQsciLexerHTML
ASPVBScriptComment enum valueQsciLexerHTML
ASPVBScriptDefault enum valueQsciLexerHTML
ASPVBScriptIdentifier enum valueQsciLexerHTML
ASPVBScriptKeyword enum valueQsciLexerHTML
ASPVBScriptNumber enum valueQsciLexerHTML
ASPVBScriptStart enum valueQsciLexerHTML
ASPVBScriptString enum valueQsciLexerHTML
ASPVBScriptUnclosedString enum valueQsciLexerHTML
ASPXCComment enum valueQsciLexerHTML
Attribute enum valueQsciLexerHTML
autoCompletionFillups() const (defined in QsciLexerHTML)QsciLexerHTML [virtual]
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexer [virtual]
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockLookback() const (defined in QsciLexer)QsciLexer [virtual]
blockStart(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
braceStyle() const (defined in QsciLexer)QsciLexer [virtual]
caseSensitive() const (defined in QsciLexer)QsciLexer [virtual]
caseSensitiveTags() const QsciLexerHTML [inline]
CDATA enum valueQsciLexerHTML
color(int style) const QsciLexer [virtual]
colorChanged(const QColor &c, int style)QsciLexer [signal]
Default enum valueQsciLexerHTML
defaultColor(int style) const QsciLexerXML [virtual]
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerXML [virtual]
defaultFont(int style) const QsciLexerXML [virtual]
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerXML [virtual]
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexer [virtual]
description(int style) const QsciLexerHTML [virtual]
djangoTemplates() const QsciLexerHTML [inline]
editor() const QsciLexer [inline]
Entity enum valueQsciLexerHTML
eolFill(int style) const QsciLexer [virtual]
eolFillChanged(bool eolfilled, int style)QsciLexer [signal]
foldCompact() const QsciLexerHTML [inline]
foldPreprocessor() const QsciLexerHTML [inline]
foldScriptComments() const QsciLexerHTML [inline]
foldScriptHeredocs() const QsciLexerHTML [inline]
font(int style) const QsciLexer [virtual]
fontChanged(const QFont &f, int style)QsciLexer [signal]
HTMLComment enum valueQsciLexerHTML
HTMLDoubleQuotedString enum valueQsciLexerHTML
HTMLNumber enum valueQsciLexerHTML
HTMLSingleQuotedString enum valueQsciLexerHTML
HTMLValue enum valueQsciLexerHTML
indentationGuideView() const (defined in QsciLexer)QsciLexer [virtual]
JavaScriptComment enum valueQsciLexerHTML
JavaScriptCommentDoc enum valueQsciLexerHTML
JavaScriptCommentLine enum valueQsciLexerHTML
JavaScriptDefault enum valueQsciLexerHTML
JavaScriptDoubleQuotedString enum valueQsciLexerHTML
JavaScriptKeyword enum valueQsciLexerHTML
JavaScriptNumber enum valueQsciLexerHTML
JavaScriptRegex enum valueQsciLexerHTML
JavaScriptSingleQuotedString enum valueQsciLexerHTML
JavaScriptStart enum valueQsciLexerHTML
JavaScriptSymbol enum valueQsciLexerHTML
JavaScriptUnclosedString enum valueQsciLexerHTML
JavaScriptWord enum valueQsciLexerHTML
keywords(int set) const QsciLexerXML [virtual]
language() const QsciLexerXML [virtual]
lexer() const QsciLexerXML [virtual]
lexerId() const QsciLexer [virtual]
makoTemplates() const QsciLexerHTML [inline]
OtherInTag enum valueQsciLexerHTML
paper(int style) const QsciLexer [virtual]
paperChanged(const QColor &c, int style)QsciLexer [signal]
PHPComment enum valueQsciLexerHTML
PHPCommentLine enum valueQsciLexerHTML
PHPDefault enum valueQsciLexerHTML
PHPDoubleQuotedString enum valueQsciLexerHTML
PHPDoubleQuotedVariable enum valueQsciLexerHTML
PHPKeyword enum valueQsciLexerHTML
PHPNumber enum valueQsciLexerHTML
PHPOperator enum valueQsciLexerHTML
PHPSingleQuotedString enum valueQsciLexerHTML
PHPStart enum valueQsciLexerHTML
PHPVariable enum valueQsciLexerHTML
propertyChanged(const char *prop, const char *val)QsciLexer [signal]
PythonClassName enum valueQsciLexerHTML
PythonComment enum valueQsciLexerHTML
PythonDefault enum valueQsciLexerHTML
PythonDoubleQuotedString enum valueQsciLexerHTML
PythonFunctionMethodName enum valueQsciLexerHTML
PythonIdentifier enum valueQsciLexerHTML
PythonKeyword enum valueQsciLexerHTML
PythonNumber enum valueQsciLexerHTML
PythonOperator enum valueQsciLexerHTML
PythonSingleQuotedString enum valueQsciLexerHTML
PythonStart enum valueQsciLexerHTML
PythonTripleDoubleQuotedString enum valueQsciLexerHTML
PythonTripleSingleQuotedString enum valueQsciLexerHTML
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerHTML(QObject *parent=0)QsciLexerHTML
QsciLexerXML(QObject *parent=0)QsciLexerXML
readProperties(QSettings &qs, const QString &prefix)QsciLexerXML [protected, virtual]
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerXML [virtual]
Script enum valueQsciLexerHTML
scriptsStyled() const QsciLexerXML
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexer [virtual, slot]
setCaseSensitiveTags(bool sens)QsciLexerHTML [virtual, slot]
setColor(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setDjangoTemplates(bool enabled)QsciLexerHTML
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexer [virtual]
setEolFill(bool eoffill, int style=-1)QsciLexer [virtual, slot]
setFoldCompact(bool fold)QsciLexerHTML [virtual, slot]
setFoldPreprocessor(bool fold)QsciLexerHTML [virtual, slot]
setFoldScriptComments(bool fold)QsciLexerHTML
setFoldScriptHeredocs(bool fold)QsciLexerHTML
setFont(const QFont &f, int style=-1)QsciLexer [virtual, slot]
setMakoTemplates(bool enabled)QsciLexerHTML
setPaper(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setScriptsStyled(bool styled)QsciLexerXML
SGMLBlockDefault enum valueQsciLexerHTML
SGMLCommand enum valueQsciLexerHTML
SGMLComment enum valueQsciLexerHTML
SGMLDefault enum valueQsciLexerHTML
SGMLDoubleQuotedString enum valueQsciLexerHTML
SGMLEntity enum valueQsciLexerHTML
SGMLError enum valueQsciLexerHTML
SGMLParameter enum valueQsciLexerHTML
SGMLParameterComment enum valueQsciLexerHTML
SGMLSingleQuotedString enum valueQsciLexerHTML
SGMLSpecial enum valueQsciLexerHTML
styleBitsNeeded() const QsciLexer [virtual]
Tag enum valueQsciLexerHTML
UnknownAttribute enum valueQsciLexerHTML
UnknownTag enum valueQsciLexerHTML
VBScriptComment enum valueQsciLexerHTML
VBScriptDefault enum valueQsciLexerHTML
VBScriptIdentifier enum valueQsciLexerHTML
VBScriptKeyword enum valueQsciLexerHTML
VBScriptNumber enum valueQsciLexerHTML
VBScriptStart enum valueQsciLexerHTML
VBScriptString enum valueQsciLexerHTML
VBScriptUnclosedString enum valueQsciLexerHTML
wordCharacters() const QsciLexerHTML [virtual]
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerXML [protected, virtual]
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
XMLEnd enum valueQsciLexerHTML
XMLStart enum valueQsciLexerHTML
XMLTagEnd enum valueQsciLexerHTML
~QsciLexer()QsciLexer [virtual]
~QsciLexerHTML()QsciLexerHTML [virtual]
~QsciLexerXML()QsciLexerXML [virtual]
- +

This is the complete list of members for QsciLexerXML, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
apis() const QsciLexer
ASPAtStart enum valueQsciLexerHTML
ASPJavaScriptComment enum valueQsciLexerHTML
ASPJavaScriptCommentDoc enum valueQsciLexerHTML
ASPJavaScriptCommentLine enum valueQsciLexerHTML
ASPJavaScriptDefault enum valueQsciLexerHTML
ASPJavaScriptDoubleQuotedString enum valueQsciLexerHTML
ASPJavaScriptKeyword enum valueQsciLexerHTML
ASPJavaScriptNumber enum valueQsciLexerHTML
ASPJavaScriptRegex enum valueQsciLexerHTML
ASPJavaScriptSingleQuotedString enum valueQsciLexerHTML
ASPJavaScriptStart enum valueQsciLexerHTML
ASPJavaScriptSymbol enum valueQsciLexerHTML
ASPJavaScriptUnclosedString enum valueQsciLexerHTML
ASPJavaScriptWord enum valueQsciLexerHTML
ASPPythonClassName enum valueQsciLexerHTML
ASPPythonComment enum valueQsciLexerHTML
ASPPythonDefault enum valueQsciLexerHTML
ASPPythonDoubleQuotedString enum valueQsciLexerHTML
ASPPythonFunctionMethodName enum valueQsciLexerHTML
ASPPythonIdentifier enum valueQsciLexerHTML
ASPPythonKeyword enum valueQsciLexerHTML
ASPPythonNumber enum valueQsciLexerHTML
ASPPythonOperator enum valueQsciLexerHTML
ASPPythonSingleQuotedString enum valueQsciLexerHTML
ASPPythonStart enum valueQsciLexerHTML
ASPPythonTripleDoubleQuotedString enum valueQsciLexerHTML
ASPPythonTripleSingleQuotedString enum valueQsciLexerHTML
ASPStart enum valueQsciLexerHTML
ASPVBScriptComment enum valueQsciLexerHTML
ASPVBScriptDefault enum valueQsciLexerHTML
ASPVBScriptIdentifier enum valueQsciLexerHTML
ASPVBScriptKeyword enum valueQsciLexerHTML
ASPVBScriptNumber enum valueQsciLexerHTML
ASPVBScriptStart enum valueQsciLexerHTML
ASPVBScriptString enum valueQsciLexerHTML
ASPVBScriptUnclosedString enum valueQsciLexerHTML
ASPXCComment enum valueQsciLexerHTML
Attribute enum valueQsciLexerHTML
autoCompletionFillups() const (defined in QsciLexerHTML)QsciLexerHTMLvirtual
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexervirtual
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockLookback() const (defined in QsciLexer)QsciLexervirtual
blockStart(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexervirtual
braceStyle() const (defined in QsciLexer)QsciLexervirtual
caseSensitive() const (defined in QsciLexer)QsciLexervirtual
caseSensitiveTags() const QsciLexerHTMLinline
CDATA enum valueQsciLexerHTML
color(int style) const QsciLexervirtual
colorChanged(const QColor &c, int style)QsciLexersignal
Default enum valueQsciLexerHTML
defaultColor(int style) const QsciLexerXMLvirtual
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerXMLvirtual
defaultFont(int style) const QsciLexerXMLvirtual
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerXMLvirtual
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexervirtual
description(int style) const QsciLexerHTMLvirtual
djangoTemplates() const QsciLexerHTMLinline
editor() const QsciLexerinline
Entity enum valueQsciLexerHTML
eolFill(int style) const QsciLexervirtual
eolFillChanged(bool eolfilled, int style)QsciLexersignal
foldCompact() const QsciLexerHTMLinline
foldPreprocessor() const QsciLexerHTMLinline
foldScriptComments() const QsciLexerHTMLinline
foldScriptHeredocs() const QsciLexerHTMLinline
font(int style) const QsciLexervirtual
fontChanged(const QFont &f, int style)QsciLexersignal
HTMLComment enum valueQsciLexerHTML
HTMLDoubleQuotedString enum valueQsciLexerHTML
HTMLNumber enum valueQsciLexerHTML
HTMLSingleQuotedString enum valueQsciLexerHTML
HTMLValue enum valueQsciLexerHTML
indentationGuideView() const (defined in QsciLexer)QsciLexervirtual
JavaScriptComment enum valueQsciLexerHTML
JavaScriptCommentDoc enum valueQsciLexerHTML
JavaScriptCommentLine enum valueQsciLexerHTML
JavaScriptDefault enum valueQsciLexerHTML
JavaScriptDoubleQuotedString enum valueQsciLexerHTML
JavaScriptKeyword enum valueQsciLexerHTML
JavaScriptNumber enum valueQsciLexerHTML
JavaScriptRegex enum valueQsciLexerHTML
JavaScriptSingleQuotedString enum valueQsciLexerHTML
JavaScriptStart enum valueQsciLexerHTML
JavaScriptSymbol enum valueQsciLexerHTML
JavaScriptUnclosedString enum valueQsciLexerHTML
JavaScriptWord enum valueQsciLexerHTML
keywords(int set) const QsciLexerXMLvirtual
language() const QsciLexerXMLvirtual
lexer() const QsciLexerXMLvirtual
lexerId() const QsciLexervirtual
makoTemplates() const QsciLexerHTMLinline
OtherInTag enum valueQsciLexerHTML
paper(int style) const QsciLexervirtual
paperChanged(const QColor &c, int style)QsciLexersignal
PHPComment enum valueQsciLexerHTML
PHPCommentLine enum valueQsciLexerHTML
PHPDefault enum valueQsciLexerHTML
PHPDoubleQuotedString enum valueQsciLexerHTML
PHPDoubleQuotedVariable enum valueQsciLexerHTML
PHPKeyword enum valueQsciLexerHTML
PHPNumber enum valueQsciLexerHTML
PHPOperator enum valueQsciLexerHTML
PHPSingleQuotedString enum valueQsciLexerHTML
PHPStart enum valueQsciLexerHTML
PHPVariable enum valueQsciLexerHTML
propertyChanged(const char *prop, const char *val)QsciLexersignal
PythonClassName enum valueQsciLexerHTML
PythonComment enum valueQsciLexerHTML
PythonDefault enum valueQsciLexerHTML
PythonDoubleQuotedString enum valueQsciLexerHTML
PythonFunctionMethodName enum valueQsciLexerHTML
PythonIdentifier enum valueQsciLexerHTML
PythonKeyword enum valueQsciLexerHTML
PythonNumber enum valueQsciLexerHTML
PythonOperator enum valueQsciLexerHTML
PythonSingleQuotedString enum valueQsciLexerHTML
PythonStart enum valueQsciLexerHTML
PythonTripleDoubleQuotedString enum valueQsciLexerHTML
PythonTripleSingleQuotedString enum valueQsciLexerHTML
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerHTML(QObject *parent=0)QsciLexerHTML
QsciLexerXML(QObject *parent=0)QsciLexerXML
readProperties(QSettings &qs, const QString &prefix)QsciLexerXMLprotectedvirtual
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerXMLvirtual
Script enum valueQsciLexerHTML
scriptsStyled() const QsciLexerXML
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexervirtualslot
setCaseSensitiveTags(bool sens)QsciLexerHTMLvirtualslot
setColor(const QColor &c, int style=-1)QsciLexervirtualslot
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setDjangoTemplates(bool enabled)QsciLexerHTML
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexervirtual
setEolFill(bool eoffill, int style=-1)QsciLexervirtualslot
setFoldCompact(bool fold)QsciLexerHTMLvirtualslot
setFoldPreprocessor(bool fold)QsciLexerHTMLvirtualslot
setFoldScriptComments(bool fold)QsciLexerHTML
setFoldScriptHeredocs(bool fold)QsciLexerHTML
setFont(const QFont &f, int style=-1)QsciLexervirtualslot
setMakoTemplates(bool enabled)QsciLexerHTML
setPaper(const QColor &c, int style=-1)QsciLexervirtualslot
setScriptsStyled(bool styled)QsciLexerXML
SGMLBlockDefault enum valueQsciLexerHTML
SGMLCommand enum valueQsciLexerHTML
SGMLComment enum valueQsciLexerHTML
SGMLDefault enum valueQsciLexerHTML
SGMLDoubleQuotedString enum valueQsciLexerHTML
SGMLEntity enum valueQsciLexerHTML
SGMLError enum valueQsciLexerHTML
SGMLParameter enum valueQsciLexerHTML
SGMLParameterComment enum valueQsciLexerHTML
SGMLSingleQuotedString enum valueQsciLexerHTML
SGMLSpecial enum valueQsciLexerHTML
styleBitsNeeded() const QsciLexervirtual
Tag enum valueQsciLexerHTML
UnknownAttribute enum valueQsciLexerHTML
UnknownTag enum valueQsciLexerHTML
VBScriptComment enum valueQsciLexerHTML
VBScriptDefault enum valueQsciLexerHTML
VBScriptIdentifier enum valueQsciLexerHTML
VBScriptKeyword enum valueQsciLexerHTML
VBScriptNumber enum valueQsciLexerHTML
VBScriptStart enum valueQsciLexerHTML
VBScriptString enum valueQsciLexerHTML
VBScriptUnclosedString enum valueQsciLexerHTML
wordCharacters() const QsciLexerHTMLvirtual
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerXMLprotectedvirtual
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
XMLEnd enum valueQsciLexerHTML
XMLStart enum valueQsciLexerHTML
XMLTagEnd enum valueQsciLexerHTML
~QsciLexer()QsciLexervirtual
~QsciLexerHTML()QsciLexerHTMLvirtual
~QsciLexerXML()QsciLexerXMLvirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerYAML.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerYAML.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerYAML.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerYAML.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciLexerYAML Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerYAML Class Reference
- +
- +

#include <qscilexeryaml.h>

Inherits QsciLexer.

- -

List of all members.

-

-Public Types

- -

-Public Slots

- -

-Public Member Functions

- -

-Protected Member Functions

- -

Detailed Description

-

The QsciLexerYAML class encapsulates the Scintilla YAML lexer.

-

Member Enumeration Documentation

- + + + + +

+Public Types

enum  {
+  Default = 0, +Comment = 1, +Identifier = 2, +
+  Keyword = 3, +Number = 4, +Reference = 5, +
+  DocumentDelimiter = 6, +TextBlockMarker = 7, +SyntaxErrorMarker = 8, +
+  Operator = 9 +
+ }
 
+ + + + + + + + + + + + + + +

+Public Slots

virtual void setFoldComments (bool fold)
 
- Public Slots inherited from QsciLexer
virtual void setAutoIndentStyle (int autoindentstyle)
 
virtual void setColor (const QColor &c, int style=-1)
 
virtual void setEolFill (bool eoffill, int style=-1)
 
virtual void setFont (const QFont &f, int style=-1)
 
virtual void setPaper (const QColor &c, int style=-1)
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 QsciLexerYAML (QObject *parent=0)
 
+virtual ~QsciLexerYAML ()
 
+const char * language () const
 
const char * lexer () const
 
QColor defaultColor (int style) const
 
+bool defaultEolFill (int style) const
 
+QFont defaultFont (int style) const
 
QColor defaultPaper (int style) const
 
const char * keywords (int set) const
 
QString description (int style) const
 
void refreshProperties ()
 
bool foldComments () const
 
- Public Member Functions inherited from QsciLexer
 QsciLexer (QObject *parent=0)
 
+virtual ~QsciLexer ()
 
virtual int lexerId () const
 
QsciAbstractAPIsapis () const
 
+virtual const char * autoCompletionFillups () const
 
+virtual QStringList autoCompletionWordSeparators () const
 
int autoIndentStyle ()
 
+virtual const char * blockEnd (int *style=0) const
 
+virtual int blockLookback () const
 
+virtual const char * blockStart (int *style=0) const
 
+virtual const char * blockStartKeyword (int *style=0) const
 
+virtual int braceStyle () const
 
+virtual bool caseSensitive () const
 
virtual QColor color (int style) const
 
virtual bool eolFill (int style) const
 
virtual QFont font (int style) const
 
+virtual int indentationGuideView () const
 
+virtual int defaultStyle () const
 
virtual QColor paper (int style) const
 
QColor defaultColor () const
 
QFont defaultFont () const
 
QColor defaultPaper () const
 
QsciScintillaeditor () const
 
void setAPIs (QsciAbstractAPIs *apis)
 
void setDefaultColor (const QColor &c)
 
void setDefaultFont (const QFont &f)
 
void setDefaultPaper (const QColor &c)
 
+virtual void setEditor (QsciScintilla *editor)
 
bool readSettings (QSettings &qs, const char *prefix="/Scintilla")
 
virtual int styleBitsNeeded () const
 
virtual const char * wordCharacters () const
 
bool writeSettings (QSettings &qs, const char *prefix="/Scintilla") const
 
+ + + + + +

+Protected Member Functions

bool readProperties (QSettings &qs, const QString &prefix)
 
bool writeProperties (QSettings &qs, const QString &prefix) const
 
+ + + + + + + + + + + + +

+Additional Inherited Members

- Signals inherited from QsciLexer
void colorChanged (const QColor &c, int style)
 
void eolFillChanged (bool eolfilled, int style)
 
void fontChanged (const QFont &f, int style)
 
void paperChanged (const QColor &c, int style)
 
void propertyChanged (const char *prop, const char *val)
 
+

Detailed Description

+

The QsciLexerYAML class encapsulates the Scintilla YAML lexer.

+

Member Enumeration Documentation

+
@@ -128,48 +233,45 @@
anonymous enum
-
-
+

This enum defines the meanings of the different styles used by the YAML lexer.

-
Enumerator:
-
Default  + + - - - - - - - - -
Enumerator
Default 

The default.

Comment  +
Comment 

A comment.

Identifier  +
Identifier 

An identifier.

Keyword  +
Keyword 

A keyword.

Number  +
Number 

A number.

Reference  +
Reference 

A reference.

DocumentDelimiter  +
DocumentDelimiter 

A document delimiter.

TextBlockMarker  +
TextBlockMarker 

A text block marker.

SyntaxErrorMarker  +
SyntaxErrorMarker 

A syntax error marker.

Operator  +
Operator 

An operator.

- - -

Constructor & Destructor Documentation

- +

Constructor & Destructor Documentation

+
@@ -181,134 +283,175 @@
-
-
-

Construct a QsciLexerYAML with parent parent. parent is typically the QsciScintilla instance.

+
+

Construct a QsciLexerYAML with parent parent. parent is typically the QsciScintilla instance.

-

Member Function Documentation

- +

Member Function Documentation

+
+ + + + + +
- +
const char* QsciLexerYAML::lexer ( ) const [virtual] const
- -
+
+virtual
+

Returns the name of the lexer. Some lexers support a number of languages.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerYAML::defaultColor ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the foreground colour of the text for style number style.

-
See also:
defaultPaper()
+
See also
defaultPaper()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QColor QsciLexerYAML::defaultPaper ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the background colour of the text for style number style.

-
See also:
defaultColor()
+
See also
defaultColor()

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
const char* QsciLexerYAML::keywords ( int  set) const [virtual] const
- -
+
+virtual
+

Returns the set of keywords for the keyword set set recognised by the lexer as a space separated string.

Reimplemented from QsciLexer.

- +
+ + + + + +
- +
QString QsciLexerYAML::description ( int  style) const [virtual] const
- -
+
+virtual
+

Returns the descriptive name for style number style. If the style is invalid for this language then an empty QString is returned. This is intended to be used in user preference dialogs.

Implements QsciLexer.

- +
+ + + + + +
- +
void QsciLexerYAML::refreshProperties ( ) [virtual]
- -
+
+virtual
+

Causes all properties to be refreshed by emitting the propertyChanged() signal as required.

Reimplemented from QsciLexer.

- +
@@ -319,35 +462,44 @@
const
-
-
+

Returns true if multi-line comment blocks can be folded.

-
See also:
setFoldComments()
+
See also
setFoldComments()
- +
+ + + + + +
- +
virtual void QsciLexerYAML::setFoldComments ( bool  fold) [virtual, slot]
- -
+
+virtualslot
+

If fold is true then multi-line comment blocks can be folded. The default is false.

-
See also:
foldComments()
+
See also
foldComments()
- +
+ + + + + +
@@ -364,20 +516,27 @@ - +
bool QsciLexerYAML::readProperties
) [protected, virtual]
- -
+
+protectedvirtual
+

The lexer's properties are read from the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

Reimplemented from QsciLexer.

- +
+ + + + + +
@@ -394,25 +553,27 @@ - +
bool QsciLexerYAML::writeProperties
) const [protected, virtual] const
- -
+
+protectedvirtual
+

The lexer's properties are written to the settings qs. prefix (which has a trailing '/') should be used as a prefix to the key of each setting. true is returned if there is no error.

Reimplemented from QsciLexer.

- - - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerYAML-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerYAML-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciLexerYAML-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciLexerYAML-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciLexerYAML Member List
-
+
-This is the complete list of members for QsciLexerYAML, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexer [virtual]
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexer [virtual]
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockLookback() const (defined in QsciLexer)QsciLexer [virtual]
blockStart(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexer [virtual]
braceStyle() const (defined in QsciLexer)QsciLexer [virtual]
caseSensitive() const (defined in QsciLexer)QsciLexer [virtual]
color(int style) const QsciLexer [virtual]
colorChanged(const QColor &c, int style)QsciLexer [signal]
Comment enum valueQsciLexerYAML
Default enum valueQsciLexerYAML
defaultColor(int style) const QsciLexerYAML [virtual]
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerYAML [virtual]
defaultFont(int style) const QsciLexerYAML [virtual]
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerYAML [virtual]
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexer [virtual]
description(int style) const QsciLexerYAML [virtual]
DocumentDelimiter enum valueQsciLexerYAML
editor() const QsciLexer [inline]
eolFill(int style) const QsciLexer [virtual]
eolFillChanged(bool eolfilled, int style)QsciLexer [signal]
foldComments() const QsciLexerYAML
font(int style) const QsciLexer [virtual]
fontChanged(const QFont &f, int style)QsciLexer [signal]
Identifier enum valueQsciLexerYAML
indentationGuideView() const (defined in QsciLexer)QsciLexer [virtual]
Keyword enum valueQsciLexerYAML
keywords(int set) const QsciLexerYAML [virtual]
language() const QsciLexerYAML [virtual]
lexer() const QsciLexerYAML [virtual]
lexerId() const QsciLexer [virtual]
Number enum valueQsciLexerYAML
Operator enum valueQsciLexerYAML
paper(int style) const QsciLexer [virtual]
paperChanged(const QColor &c, int style)QsciLexer [signal]
propertyChanged(const char *prop, const char *val)QsciLexer [signal]
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerYAML(QObject *parent=0)QsciLexerYAML
readProperties(QSettings &qs, const QString &prefix)QsciLexerYAML [protected, virtual]
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
Reference enum valueQsciLexerYAML
refreshProperties()QsciLexerYAML [virtual]
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexer [virtual, slot]
setColor(const QColor &c, int style=-1)QsciLexer [virtual, slot]
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexer [virtual]
setEolFill(bool eoffill, int style=-1)QsciLexer [virtual, slot]
setFoldComments(bool fold)QsciLexerYAML [virtual, slot]
setFont(const QFont &f, int style=-1)QsciLexer [virtual, slot]
setPaper(const QColor &c, int style=-1)QsciLexer [virtual, slot]
styleBitsNeeded() const QsciLexer [virtual]
SyntaxErrorMarker enum valueQsciLexerYAML
TextBlockMarker enum valueQsciLexerYAML
wordCharacters() const QsciLexer [virtual]
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerYAML [protected, virtual]
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexer [virtual]
~QsciLexerYAML()QsciLexerYAML [virtual]
- +

This is the complete list of members for QsciLexerYAML, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
apis() const QsciLexer
autoCompletionFillups() const (defined in QsciLexer)QsciLexervirtual
autoCompletionWordSeparators() const (defined in QsciLexer)QsciLexervirtual
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockLookback() const (defined in QsciLexer)QsciLexervirtual
blockStart(int *style=0) const (defined in QsciLexer)QsciLexervirtual
blockStartKeyword(int *style=0) const (defined in QsciLexer)QsciLexervirtual
braceStyle() const (defined in QsciLexer)QsciLexervirtual
caseSensitive() const (defined in QsciLexer)QsciLexervirtual
color(int style) const QsciLexervirtual
colorChanged(const QColor &c, int style)QsciLexersignal
Comment enum valueQsciLexerYAML
Default enum valueQsciLexerYAML
defaultColor(int style) const QsciLexerYAMLvirtual
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerYAMLvirtual
defaultFont(int style) const QsciLexerYAMLvirtual
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerYAMLvirtual
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const (defined in QsciLexer)QsciLexervirtual
description(int style) const QsciLexerYAMLvirtual
DocumentDelimiter enum valueQsciLexerYAML
editor() const QsciLexerinline
eolFill(int style) const QsciLexervirtual
eolFillChanged(bool eolfilled, int style)QsciLexersignal
foldComments() const QsciLexerYAML
font(int style) const QsciLexervirtual
fontChanged(const QFont &f, int style)QsciLexersignal
Identifier enum valueQsciLexerYAML
indentationGuideView() const (defined in QsciLexer)QsciLexervirtual
Keyword enum valueQsciLexerYAML
keywords(int set) const QsciLexerYAMLvirtual
language() const QsciLexerYAMLvirtual
lexer() const QsciLexerYAMLvirtual
lexerId() const QsciLexervirtual
Number enum valueQsciLexerYAML
Operator enum valueQsciLexerYAML
paper(int style) const QsciLexervirtual
paperChanged(const QColor &c, int style)QsciLexersignal
propertyChanged(const char *prop, const char *val)QsciLexersignal
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerYAML(QObject *parent=0)QsciLexerYAML
readProperties(QSettings &qs, const QString &prefix)QsciLexerYAMLprotectedvirtual
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
Reference enum valueQsciLexerYAML
refreshProperties()QsciLexerYAMLvirtual
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexervirtualslot
setColor(const QColor &c, int style=-1)QsciLexervirtualslot
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexervirtual
setEolFill(bool eoffill, int style=-1)QsciLexervirtualslot
setFoldComments(bool fold)QsciLexerYAMLvirtualslot
setFont(const QFont &f, int style=-1)QsciLexervirtualslot
setPaper(const QColor &c, int style=-1)QsciLexervirtualslot
styleBitsNeeded() const QsciLexervirtual
SyntaxErrorMarker enum valueQsciLexerYAML
TextBlockMarker enum valueQsciLexerYAML
wordCharacters() const QsciLexervirtual
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerYAMLprotectedvirtual
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexervirtual
~QsciLexerYAML()QsciLexerYAMLvirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciMacro.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciMacro.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciMacro.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciMacro.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciMacro Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciMacro Class Reference
- +
- +

#include <qscimacro.h>

-

List of all members.

-

-Classes

-
    -
  • struct Macro -
-

-Public Slots

- -

-Public Member Functions

- -

Detailed Description

-

The QsciMacro class represents a sequence of recordable editor commands.

+

Inherits QObject.

+ + + + + + + + +

+Public Slots

+virtual void play ()
 
+virtual void startRecording ()
 
+virtual void endRecording ()
 
+ + + + + + + + + + + + + +

+Public Member Functions

QsciMacro (QsciScintilla *parent)
 
 QsciMacro (const QString &asc, QsciScintilla *parent)
 
+virtual ~QsciMacro ()
 
+void clear ()
 
bool load (const QString &asc)
 
QString save () const
 
+

Detailed Description

+

The QsciMacro class represents a sequence of recordable editor commands.

Methods are provided to convert convert a macro to and from a textual representation so that they can be easily written to and read from permanent storage.

-

Constructor & Destructor Documentation

- +

Constructor & Destructor Documentation

+
@@ -117,14 +112,13 @@
-
-
-

Construct a QsciMacro from the printable ASCII representation asc, with parent parent.

+
+

Construct a QsciMacro from the printable ASCII representation asc, with parent parent.

-

Member Function Documentation

- +

Member Function Documentation

+
@@ -136,14 +130,13 @@
-
-
+

Load the macro from the printable ASCII representation asc. Returns true if there was no error.

-
See also:
save()
+
See also
save()
- +
@@ -154,21 +147,18 @@
const
-
-
+

Return a printable ASCII representation of the macro. It is guaranteed that only printable ASCII characters are used and that double quote characters will not be used.

-
See also:
load()
+
See also
load()
-
- - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciMacro-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciMacro-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciMacro-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciMacro-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciMacro Member List
-
+
-This is the complete list of members for QsciMacro, including all inherited members. - - - - - - - - - -
clear()QsciMacro
endRecording()QsciMacro [virtual, slot]
load(const QString &asc)QsciMacro
play()QsciMacro [virtual, slot]
QsciMacro(QsciScintilla *parent)QsciMacro
QsciMacro(const QString &asc, QsciScintilla *parent)QsciMacro
save() const QsciMacro
startRecording()QsciMacro [virtual, slot]
~QsciMacro()QsciMacro [virtual]
- +

This is the complete list of members for QsciMacro, including all inherited members.

+ + + + + + + + + + +
clear()QsciMacro
endRecording()QsciMacrovirtualslot
load(const QString &asc)QsciMacro
play()QsciMacrovirtualslot
QsciMacro(QsciScintilla *parent)QsciMacro
QsciMacro(const QString &asc, QsciScintilla *parent)QsciMacro
save() const QsciMacro
startRecording()QsciMacrovirtualslot
~QsciMacro()QsciMacrovirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciPrinter.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciPrinter.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciPrinter.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciPrinter.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciPrinter Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciPrinter Class Reference
- +
- +

#include <qsciprinter.h>

-

List of all members.

-

-Public Member Functions

- -

Detailed Description

+

Inherits QPrinter.

+ + + + + + + + + + + + + + + + + + +

+Public Member Functions

QsciPrinter (PrinterMode mode=ScreenResolution)
 
+virtual ~QsciPrinter ()
 
virtual void formatPage (QPainter &painter, bool drawing, QRect &area, int pagenr)
 
int magnification () const
 
virtual void setMagnification (int magnification)
 
virtual int printRange (QsciScintillaBase *qsb, int from=-1, int to=-1)
 
QsciScintilla::WrapMode wrapMode () const
 
virtual void setWrapMode (QsciScintilla::WrapMode wmode)
 
+

Detailed Description

The QsciPrinter class is a sub-class of the Qt QPrinter class that is able to print the text of a Scintilla document.

The class can be further sub-classed to alter to layout of the text, adding headers and footers for example.

-

Member Function Documentation

- +

Member Function Documentation

+
+ + + + + +
@@ -110,55 +113,76 @@ - +
virtual void QsciPrinter::formatPage
) [virtual]
- -
+
+virtual
+

Format a page, by adding headers and footers for example, before the document text is drawn on it. painter is the painter to be used to add customised text and graphics. drawing is true if the page is actually being drawn rather than being sized. painter drawing methods must only be called when drawing is true. area is the area of the page that will be used to draw the text. This should be modified if it is necessary to reserve space for any customised text or graphics. By default the area is relative to the printable area of the page. Use QPrinter::setFullPage() because calling printRange() if you want to try and print over the whole page. pagenr is the number of the page. The first page is numbered 1.

- +
+ + + + + +
- +
int QsciPrinter::magnification ( ) const [inline] const
- -
+
+inline
+

Return the number of points to add to each font when printing.

-
See also:
setMagnification()
+
See also
setMagnification()
- +
+ + + + + +
- +
virtual void QsciPrinter::setMagnification ( int  magnification) [virtual]
- -
+
+virtual
+

Sets the number of points to add to each font when printing to magnification.

-
See also:
magnification()
+
See also
magnification()
- +
+ + + + + +
@@ -181,60 +205,76 @@ - +
virtual int QsciPrinter::printRange
) [virtual]
- -
+
+virtual
+

Print a range of lines from the Scintilla instance qsb. from is the first line to print and a negative value signifies the first line of text. to is the last line to print and a negative value signifies the last line of text. true is returned if there was no error.

- +
+ + + + + +
- +
QsciScintilla::WrapMode QsciPrinter::wrapMode ( ) const [inline] const
- -
-

Return the line wrap mode used when printing. The default is QsciScintilla::WrapWord.

-
See also:
setWrapMode()
+
+inline
+
+

Return the line wrap mode used when printing. The default is QsciScintilla::WrapWord.

+
See also
setWrapMode()
- +
+ + + + + +
- +
virtual void QsciPrinter::setWrapMode ( QsciScintilla::WrapMode  wmode) [virtual]
- -
+
+virtual
+

Sets the line wrap mode used when printing to wmode.

-
See also:
wrapMode()
+
See also
wrapMode()
- - - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciPrinter-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciPrinter-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciPrinter-members.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciPrinter-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciPrinter Member List
-
+
-This is the complete list of members for QsciPrinter, including all inherited members. - - - - - - - - -
formatPage(QPainter &painter, bool drawing, QRect &area, int pagenr)QsciPrinter [virtual]
magnification() const QsciPrinter [inline]
printRange(QsciScintillaBase *qsb, int from=-1, int to=-1)QsciPrinter [virtual]
QsciPrinter(PrinterMode mode=ScreenResolution)QsciPrinter
setMagnification(int magnification)QsciPrinter [virtual]
setWrapMode(QsciScintilla::WrapMode wmode)QsciPrinter [virtual]
wrapMode() const QsciPrinter [inline]
~QsciPrinter()QsciPrinter [virtual]
- +

This is the complete list of members for QsciPrinter, including all inherited members.

+ + + + + + + + + +
formatPage(QPainter &painter, bool drawing, QRect &area, int pagenr)QsciPrintervirtual
magnification() const QsciPrinterinline
printRange(QsciScintillaBase *qsb, int from=-1, int to=-1)QsciPrintervirtual
QsciPrinter(PrinterMode mode=ScreenResolution)QsciPrinter
setMagnification(int magnification)QsciPrintervirtual
setWrapMode(QsciScintilla::WrapMode wmode)QsciPrintervirtual
wrapMode() const QsciPrinterinline
~QsciPrinter()QsciPrintervirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciScintillaBase.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciScintillaBase.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciScintillaBase.html 2014-09-11 17:15:21.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciScintillaBase.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciScintillaBase Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciScintillaBase Class Reference
- +
- +

#include <qsciscintillabase.h>

-

Inherited by QsciScintilla.

+

Inherits QAbstractScrollArea.

-

List of all members.

-

-Public Types

-
    -
  • enum {
    -  SCI_START = 2000, -SCI_OPTIONAL_START = 3000, -SCI_LEXER_START = 4000, -
    -  SCI_ADDTEXT = 2001, -SCI_ADDSTYLEDTEXT = 2002, -SCI_INSERTTEXT = 2003, -
    -  SCI_CLEARALL = 2004, -SCI_CLEARDOCUMENTSTYLE = 2005, -SCI_GETLENGTH = 2006, -
    -  SCI_GETCHARAT = 2007, -SCI_GETCURRENTPOS = 2008, -SCI_GETANCHOR = 2009, -
    -  SCI_GETSTYLEAT = 2010, -SCI_REDO = 2011, -SCI_SETUNDOCOLLECTION = 2012, -
    -  SCI_SELECTALL = 2013, -SCI_SETSAVEPOINT = 2014, -SCI_GETSTYLEDTEXT = 2015, -
    -  SCI_CANREDO = 2016, -SCI_MARKERLINEFROMHANDLE = 2017, -SCI_MARKERDELETEHANDLE = 2018, -
    -  SCI_GETUNDOCOLLECTION = 2019, -SCI_GETVIEWWS = 2020, -SCI_SETVIEWWS = 2021, -
    -  SCI_POSITIONFROMPOINT = 2022, -SCI_POSITIONFROMPOINTCLOSE = 2023, -SCI_GOTOLINE = 2024, -
    -  SCI_GOTOPOS = 2025, -SCI_SETANCHOR = 2026, -SCI_GETCURLINE = 2027, -
    -  SCI_GETENDSTYLED = 2028, -SCI_CONVERTEOLS = 2029, -SCI_GETEOLMODE = 2030, -
    -  SCI_SETEOLMODE = 2031, -SCI_STARTSTYLING = 2032, -SCI_SETSTYLING = 2033, -
    -  SCI_GETBUFFEREDDRAW = 2034, -SCI_SETBUFFEREDDRAW = 2035, -SCI_SETTABWIDTH = 2036, -
    -  SCI_GETTABWIDTH = 2121, -SCI_SETCODEPAGE = 2037, -SCI_MARKERDEFINE = 2040, -
    -  SCI_MARKERSETFORE = 2041, -SCI_MARKERSETBACK = 2042, -SCI_MARKERADD = 2043, -
    -  SCI_MARKERDELETE = 2044, -SCI_MARKERDELETEALL = 2045, -SCI_MARKERGET = 2046, -
    -  SCI_MARKERNEXT = 2047, -SCI_MARKERPREVIOUS = 2048, -SCI_MARKERDEFINEPIXMAP = 2049, -
    -  SCI_SETMARGINTYPEN = 2240, -SCI_GETMARGINTYPEN = 2241, -SCI_SETMARGINWIDTHN = 2242, -
    -  SCI_GETMARGINWIDTHN = 2243, -SCI_SETMARGINMASKN = 2244, -SCI_GETMARGINMASKN = 2245, -
    -  SCI_SETMARGINSENSITIVEN = 2246, -SCI_GETMARGINSENSITIVEN = 2247, -SCI_SETMARGINCURSORN = 2248, -
    -  SCI_GETMARGINCURSORN = 2249, -SCI_STYLECLEARALL = 2050, -SCI_STYLESETFORE = 2051, -
    -  SCI_STYLESETBACK = 2052, -SCI_STYLESETBOLD = 2053, -SCI_STYLESETITALIC = 2054, -
    -  SCI_STYLESETSIZE = 2055, -SCI_STYLESETFONT = 2056, -SCI_STYLESETEOLFILLED = 2057, -
    -  SCI_STYLERESETDEFAULT = 2058, -SCI_STYLESETUNDERLINE = 2059, -SCI_STYLESETCASE = 2060, -
    -  SCI_STYLESETSIZEFRACTIONAL = 2061, -SCI_STYLEGETSIZEFRACTIONAL = 2062, -SCI_STYLESETWEIGHT = 2063, -
    -  SCI_STYLEGETWEIGHT = 2064, -SCI_STYLESETCHARACTERSET = 2066, -SCI_SETSELFORE = 2067, -
    -  SCI_SETSELBACK = 2068, -SCI_SETCARETFORE = 2069, -SCI_ASSIGNCMDKEY = 2070, -
    -  SCI_CLEARCMDKEY = 2071, -SCI_CLEARALLCMDKEYS = 2072, -SCI_SETSTYLINGEX = 2073, -
    -  SCI_STYLESETVISIBLE = 2074, -SCI_GETCARETPERIOD = 2075, -SCI_SETCARETPERIOD = 2076, -
    -  SCI_SETWORDCHARS = 2077, -SCI_BEGINUNDOACTION = 2078, -SCI_ENDUNDOACTION = 2079, -
    -  SCI_INDICSETSTYLE = 2080, -SCI_INDICGETSTYLE = 2081, -SCI_INDICSETFORE = 2082, -
    -  SCI_INDICGETFORE = 2083, -SCI_SETWHITESPACEFORE = 2084, -SCI_SETWHITESPACEBACK = 2085, -
    -  SCI_SETWHITESPACESIZE = 2086, -SCI_GETWHITESPACESIZE = 2087, -SCI_SETSTYLEBITS = 2090, -
    -  SCI_GETSTYLEBITS = 2091, -SCI_SETLINESTATE = 2092, -SCI_GETLINESTATE = 2093, -
    -  SCI_GETMAXLINESTATE = 2094, -SCI_GETCARETLINEVISIBLE = 2095, -SCI_SETCARETLINEVISIBLE = 2096, -
    -  SCI_GETCARETLINEBACK = 2097, -SCI_SETCARETLINEBACK = 2098, -SCI_STYLESETCHANGEABLE = 2099, -
    -  SCI_AUTOCSHOW = 2100, -SCI_AUTOCCANCEL = 2101, -SCI_AUTOCACTIVE = 2102, -
    -  SCI_AUTOCPOSSTART = 2103, -SCI_AUTOCCOMPLETE = 2104, -SCI_AUTOCSTOPS = 2105, -
    -  SCI_AUTOCSETSEPARATOR = 2106, -SCI_AUTOCGETSEPARATOR = 2107, -SCI_AUTOCSELECT = 2108, -
    -  SCI_AUTOCSETCANCELATSTART = 2110, -SCI_AUTOCGETCANCELATSTART = 2111, -SCI_AUTOCSETFILLUPS = 2112, -
    -  SCI_AUTOCSETCHOOSESINGLE = 2113, -SCI_AUTOCGETCHOOSESINGLE = 2114, -SCI_AUTOCSETIGNORECASE = 2115, -
    -  SCI_AUTOCGETIGNORECASE = 2116, -SCI_USERLISTSHOW = 2117, -SCI_AUTOCSETAUTOHIDE = 2118, -
    -  SCI_AUTOCGETAUTOHIDE = 2119, -SCI_AUTOCSETDROPRESTOFWORD = 2270, -SCI_AUTOCGETDROPRESTOFWORD = 2271, -
    -  SCI_SETINDENT = 2122, -SCI_GETINDENT = 2123, -SCI_SETUSETABS = 2124, -
    -  SCI_GETUSETABS = 2125, -SCI_SETLINEINDENTATION = 2126, -SCI_GETLINEINDENTATION = 2127, -
    -  SCI_GETLINEINDENTPOSITION = 2128, -SCI_GETCOLUMN = 2129, -SCI_SETHSCROLLBAR = 2130, -
    -  SCI_GETHSCROLLBAR = 2131, -SCI_SETINDENTATIONGUIDES = 2132, -SCI_GETINDENTATIONGUIDES = 2133, -
    -  SCI_SETHIGHLIGHTGUIDE = 2134, -SCI_GETHIGHLIGHTGUIDE = 2135, -SCI_GETLINEENDPOSITION = 2136, -
    -  SCI_GETCODEPAGE = 2137, -SCI_GETCARETFORE = 2138, -SCI_GETREADONLY = 2140, -
    -  SCI_SETCURRENTPOS = 2141, -SCI_SETSELECTIONSTART = 2142, -SCI_GETSELECTIONSTART = 2143, -
    -  SCI_SETSELECTIONEND = 2144, -SCI_GETSELECTIONEND = 2145, -SCI_SETPRINTMAGNIFICATION = 2146, -
    -  SCI_GETPRINTMAGNIFICATION = 2147, -SCI_SETPRINTCOLOURMODE = 2148, -SCI_GETPRINTCOLOURMODE = 2149, -
    -  SCI_FINDTEXT = 2150, -SCI_FORMATRANGE = 2151, -SCI_GETFIRSTVISIBLELINE = 2152, -
    -  SCI_GETLINE = 2153, -SCI_GETLINECOUNT = 2154, -SCI_SETMARGINLEFT = 2155, -
    -  SCI_GETMARGINLEFT = 2156, -SCI_SETMARGINRIGHT = 2157, -SCI_GETMARGINRIGHT = 2158, -
    -  SCI_GETMODIFY = 2159, -SCI_SETSEL = 2160, -SCI_GETSELTEXT = 2161, -
    -  SCI_GETTEXTRANGE = 2162, -SCI_HIDESELECTION = 2163, -SCI_POINTXFROMPOSITION = 2164, -
    -  SCI_POINTYFROMPOSITION = 2165, -SCI_LINEFROMPOSITION = 2166, -SCI_POSITIONFROMLINE = 2167, -
    -  SCI_LINESCROLL = 2168, -SCI_SCROLLCARET = 2169, -SCI_REPLACESEL = 2170, -
    -  SCI_SETREADONLY = 2171, -SCI_NULL = 2172, -SCI_CANPASTE = 2173, -
    -  SCI_CANUNDO = 2174, -SCI_EMPTYUNDOBUFFER = 2175, -SCI_UNDO = 2176, -
    -  SCI_CUT = 2177, -SCI_COPY = 2178, -SCI_PASTE = 2179, -
    -  SCI_CLEAR = 2180, -SCI_SETTEXT = 2181, -SCI_GETTEXT = 2182, -
    -  SCI_GETTEXTLENGTH = 2183, -SCI_GETDIRECTFUNCTION = 2184, -SCI_GETDIRECTPOINTER = 2185, -
    -  SCI_SETOVERTYPE = 2186, -SCI_GETOVERTYPE = 2187, -SCI_SETCARETWIDTH = 2188, -
    -  SCI_GETCARETWIDTH = 2189, -SCI_SETTARGETSTART = 2190, -SCI_GETTARGETSTART = 2191, -
    -  SCI_SETTARGETEND = 2192, -SCI_GETTARGETEND = 2193, -SCI_REPLACETARGET = 2194, -
    -  SCI_REPLACETARGETRE = 2195, -SCI_SEARCHINTARGET = 2197, -SCI_SETSEARCHFLAGS = 2198, -
    -  SCI_GETSEARCHFLAGS = 2199, -SCI_CALLTIPSHOW = 2200, -SCI_CALLTIPCANCEL = 2201, -
    -  SCI_CALLTIPACTIVE = 2202, -SCI_CALLTIPPOSSTART = 2203, -SCI_CALLTIPSETHLT = 2204, -
    -  SCI_CALLTIPSETBACK = 2205, -SCI_CALLTIPSETFORE = 2206, -SCI_CALLTIPSETFOREHLT = 2207, -
    -  SCI_AUTOCSETMAXWIDTH = 2208, -SCI_AUTOCGETMAXWIDTH = 2209, -SCI_AUTOCSETMAXHEIGHT = 2210, -
    -  SCI_AUTOCGETMAXHEIGHT = 2211, -SCI_CALLTIPUSESTYLE = 2212, -SCI_CALLTIPSETPOSITION = 2213, -
    -  SCI_VISIBLEFROMDOCLINE = 2220, -SCI_DOCLINEFROMVISIBLE = 2221, -SCI_SETFOLDLEVEL = 2222, -
    -  SCI_GETFOLDLEVEL = 2223, -SCI_GETLASTCHILD = 2224, -SCI_GETFOLDPARENT = 2225, -
    -  SCI_SHOWLINES = 2226, -SCI_HIDELINES = 2227, -SCI_GETLINEVISIBLE = 2228, -
    -  SCI_SETFOLDEXPANDED = 2229, -SCI_GETFOLDEXPANDED = 2230, -SCI_TOGGLEFOLD = 2231, -
    -  SCI_ENSUREVISIBLE = 2232, -SCI_SETFOLDFLAGS = 2233, -SCI_ENSUREVISIBLEENFORCEPOLICY = 2234, -
    -  SCI_WRAPCOUNT = 2235, -SCI_GETALLLINESVISIBLE = 2236, -SCI_FOLDLINE = 2237, -
    -  SCI_FOLDCHILDREN = 2238, -SCI_EXPANDCHILDREN = 2239, -SCI_SETTABINDENTS = 2260, -
    -  SCI_GETTABINDENTS = 2261, -SCI_SETBACKSPACEUNINDENTS = 2262, -SCI_GETBACKSPACEUNINDENTS = 2263, -
    -  SCI_SETMOUSEDWELLTIME = 2264, -SCI_GETMOUSEDWELLTIME = 2265, -SCI_WORDSTARTPOSITION = 2266, -
    -  SCI_WORDENDPOSITION = 2267, -SCI_SETWRAPMODE = 2268, -SCI_GETWRAPMODE = 2269, -
    -  SCI_SETLAYOUTCACHE = 2272, -SCI_GETLAYOUTCACHE = 2273, -SCI_SETSCROLLWIDTH = 2274, -
    -  SCI_GETSCROLLWIDTH = 2275, -SCI_TEXTWIDTH = 2276, -SCI_SETENDATLASTLINE = 2277, -
    -  SCI_GETENDATLASTLINE = 2278, -SCI_TEXTHEIGHT = 2279, -SCI_SETVSCROLLBAR = 2280, -
    -  SCI_GETVSCROLLBAR = 2281, -SCI_APPENDTEXT = 2282, -SCI_GETTWOPHASEDRAW = 2283, -
    -  SCI_SETTWOPHASEDRAW = 2284, -SCI_AUTOCGETTYPESEPARATOR = 2285, -SCI_AUTOCSETTYPESEPARATOR = 2286, -
    -  SCI_TARGETFROMSELECTION = 2287, -SCI_LINESJOIN = 2288, -SCI_LINESSPLIT = 2289, -
    -  SCI_SETFOLDMARGINCOLOUR = 2290, -SCI_SETFOLDMARGINHICOLOUR = 2291, -SCI_MARKERSETBACKSELECTED = 2292, -
    -  SCI_MARKERENABLEHIGHLIGHT = 2293, -SCI_LINEDOWN = 2300, -SCI_LINEDOWNEXTEND = 2301, -
    -  SCI_LINEUP = 2302, -SCI_LINEUPEXTEND = 2303, -SCI_CHARLEFT = 2304, -
    -  SCI_CHARLEFTEXTEND = 2305, -SCI_CHARRIGHT = 2306, -SCI_CHARRIGHTEXTEND = 2307, -
    -  SCI_WORDLEFT = 2308, -SCI_WORDLEFTEXTEND = 2309, -SCI_WORDRIGHT = 2310, -
    -  SCI_WORDRIGHTEXTEND = 2311, -SCI_HOME = 2312, -SCI_HOMEEXTEND = 2313, -
    -  SCI_LINEEND = 2314, -SCI_LINEENDEXTEND = 2315, -SCI_DOCUMENTSTART = 2316, -
    -  SCI_DOCUMENTSTARTEXTEND = 2317, -SCI_DOCUMENTEND = 2318, -SCI_DOCUMENTENDEXTEND = 2319, -
    -  SCI_PAGEUP = 2320, -SCI_PAGEUPEXTEND = 2321, -SCI_PAGEDOWN = 2322, -
    -  SCI_PAGEDOWNEXTEND = 2323, -SCI_EDITTOGGLEOVERTYPE = 2324, -SCI_CANCEL = 2325, -
    -  SCI_DELETEBACK = 2326, -SCI_TAB = 2327, -SCI_BACKTAB = 2328, -
    -  SCI_NEWLINE = 2329, -SCI_FORMFEED = 2330, -SCI_VCHOME = 2331, -
    -  SCI_VCHOMEEXTEND = 2332, -SCI_ZOOMIN = 2333, -SCI_ZOOMOUT = 2334, -
    -  SCI_DELWORDLEFT = 2335, -SCI_DELWORDRIGHT = 2336, -SCI_LINECUT = 2337, -
    -  SCI_LINEDELETE = 2338, -SCI_LINETRANSPOSE = 2339, -SCI_LOWERCASE = 2340, -
    -  SCI_UPPERCASE = 2341, -SCI_LINESCROLLDOWN = 2342, -SCI_LINESCROLLUP = 2343, -
    -  SCI_DELETEBACKNOTLINE = 2344, -SCI_HOMEDISPLAY = 2345, -SCI_HOMEDISPLAYEXTEND = 2346, -
    -  SCI_LINEENDDISPLAY = 2347, -SCI_LINEENDDISPLAYEXTEND = 2348, -SCI_MOVECARETINSIDEVIEW = 2401, -
    -  SCI_LINELENGTH = 2350, -SCI_BRACEHIGHLIGHT = 2351, -SCI_BRACEBADLIGHT = 2352, -
    -  SCI_BRACEMATCH = 2353, -SCI_GETVIEWEOL = 2355, -SCI_SETVIEWEOL = 2356, -
    -  SCI_GETDOCPOINTER = 2357, -SCI_SETDOCPOINTER = 2358, -SCI_SETMODEVENTMASK = 2359, -
    -  SCI_GETEDGECOLUMN = 2360, -SCI_SETEDGECOLUMN = 2361, -SCI_GETEDGEMODE = 2362, -
    -  SCI_SETEDGEMODE = 2363, -SCI_GETEDGECOLOUR = 2364, -SCI_SETEDGECOLOUR = 2365, -
    -  SCI_SEARCHANCHOR = 2366, -SCI_SEARCHNEXT = 2367, -SCI_SEARCHPREV = 2368, -
    -  SCI_LINESONSCREEN = 2370, -SCI_USEPOPUP = 2371, -SCI_SELECTIONISRECTANGLE = 2372, -
    -  SCI_SETZOOM = 2373, -SCI_GETZOOM = 2374, -SCI_CREATEDOCUMENT = 2375, -
    -  SCI_ADDREFDOCUMENT = 2376, -SCI_RELEASEDOCUMENT = 2377, -SCI_GETMODEVENTMASK = 2378, -
    -  SCI_SETFOCUS = 2380, -SCI_GETFOCUS = 2381, -SCI_SETSTATUS = 2382, -
    -  SCI_GETSTATUS = 2383, -SCI_SETMOUSEDOWNCAPTURES = 2384, -SCI_GETMOUSEDOWNCAPTURES = 2385, -
    -  SCI_SETCURSOR = 2386, -SCI_GETCURSOR = 2387, -SCI_SETCONTROLCHARSYMBOL = 2388, -
    -  SCI_GETCONTROLCHARSYMBOL = 2389, -SCI_WORDPARTLEFT = 2390, -SCI_WORDPARTLEFTEXTEND = 2391, -
    -  SCI_WORDPARTRIGHT = 2392, -SCI_WORDPARTRIGHTEXTEND = 2393, -SCI_SETVISIBLEPOLICY = 2394, -
    -  SCI_DELLINELEFT = 2395, -SCI_DELLINERIGHT = 2396, -SCI_SETXOFFSET = 2397, -
    -  SCI_GETXOFFSET = 2398, -SCI_CHOOSECARETX = 2399, -SCI_GRABFOCUS = 2400, -
    -  SCI_SETXCARETPOLICY = 2402, -SCI_SETYCARETPOLICY = 2403, -SCI_LINEDUPLICATE = 2404, -
    -  SCI_REGISTERIMAGE = 2405, -SCI_SETPRINTWRAPMODE = 2406, -SCI_GETPRINTWRAPMODE = 2407, -
    -  SCI_CLEARREGISTEREDIMAGES = 2408, -SCI_STYLESETHOTSPOT = 2409, -SCI_SETHOTSPOTACTIVEFORE = 2410, -
    -  SCI_SETHOTSPOTACTIVEBACK = 2411, -SCI_SETHOTSPOTACTIVEUNDERLINE = 2412, -SCI_PARADOWN = 2413, -
    -  SCI_PARADOWNEXTEND = 2414, -SCI_PARAUP = 2415, -SCI_PARAUPEXTEND = 2416, -
    -  SCI_POSITIONBEFORE = 2417, -SCI_POSITIONAFTER = 2418, -SCI_COPYRANGE = 2419, -
    -  SCI_COPYTEXT = 2420, -SCI_SETHOTSPOTSINGLELINE = 2421, -SCI_SETSELECTIONMODE = 2422, -
    -  SCI_GETSELECTIONMODE = 2423, -SCI_GETLINESELSTARTPOSITION = 2424, -SCI_GETLINESELENDPOSITION = 2425, -
    -  SCI_LINEDOWNRECTEXTEND = 2426, -SCI_LINEUPRECTEXTEND = 2427, -SCI_CHARLEFTRECTEXTEND = 2428, -
    -  SCI_CHARRIGHTRECTEXTEND = 2429, -SCI_HOMERECTEXTEND = 2430, -SCI_VCHOMERECTEXTEND = 2431, -
    -  SCI_LINEENDRECTEXTEND = 2432, -SCI_PAGEUPRECTEXTEND = 2433, -SCI_PAGEDOWNRECTEXTEND = 2434, -
    -  SCI_STUTTEREDPAGEUP = 2435, -SCI_STUTTEREDPAGEUPEXTEND = 2436, -SCI_STUTTEREDPAGEDOWN = 2437, -
    -  SCI_STUTTEREDPAGEDOWNEXTEND = 2438, -SCI_WORDLEFTEND = 2439, -SCI_WORDLEFTENDEXTEND = 2440, -
    -  SCI_WORDRIGHTEND = 2441, -SCI_WORDRIGHTENDEXTEND = 2442, -SCI_SETWHITESPACECHARS = 2443, -
    -  SCI_SETCHARSDEFAULT = 2444, -SCI_AUTOCGETCURRENT = 2445, -SCI_ALLOCATE = 2446, -
    -  SCI_HOMEWRAP = 2349, -SCI_HOMEWRAPEXTEND = 2450, -SCI_LINEENDWRAP = 2451, -
    -  SCI_LINEENDWRAPEXTEND = 2452, -SCI_VCHOMEWRAP = 2453, -SCI_VCHOMEWRAPEXTEND = 2454, -
    -  SCI_LINECOPY = 2455, -SCI_FINDCOLUMN = 2456, -SCI_GETCARETSTICKY = 2457, -
    -  SCI_SETCARETSTICKY = 2458, -SCI_TOGGLECARETSTICKY = 2459, -SCI_SETWRAPVISUALFLAGS = 2460, -
    -  SCI_GETWRAPVISUALFLAGS = 2461, -SCI_SETWRAPVISUALFLAGSLOCATION = 2462, -SCI_GETWRAPVISUALFLAGSLOCATION = 2463, -
    -  SCI_SETWRAPSTARTINDENT = 2464, -SCI_GETWRAPSTARTINDENT = 2465, -SCI_MARKERADDSET = 2466, -
    -  SCI_SETPASTECONVERTENDINGS = 2467, -SCI_GETPASTECONVERTENDINGS = 2468, -SCI_SELECTIONDUPLICATE = 2469, -
    -  SCI_SETCARETLINEBACKALPHA = 2470, -SCI_GETCARETLINEBACKALPHA = 2471, -SCI_SETWRAPINDENTMODE = 2472, -
    -  SCI_GETWRAPINDENTMODE = 2473, -SCI_MARKERSETALPHA = 2476, -SCI_GETSELALPHA = 2477, -
    -  SCI_SETSELALPHA = 2478, -SCI_GETSELEOLFILLED = 2479, -SCI_SETSELEOLFILLED = 2480, -
    -  SCI_STYLEGETFORE = 2481, -SCI_STYLEGETBACK = 2482, -SCI_STYLEGETBOLD = 2483, -
    -  SCI_STYLEGETITALIC = 2484, -SCI_STYLEGETSIZE = 2485, -SCI_STYLEGETFONT = 2486, -
    -  SCI_STYLEGETEOLFILLED = 2487, -SCI_STYLEGETUNDERLINE = 2488, -SCI_STYLEGETCASE = 2489, -
    -  SCI_STYLEGETCHARACTERSET = 2490, -SCI_STYLEGETVISIBLE = 2491, -SCI_STYLEGETCHANGEABLE = 2492, -
    -  SCI_STYLEGETHOTSPOT = 2493, -SCI_GETHOTSPOTACTIVEFORE = 2494, -SCI_GETHOTSPOTACTIVEBACK = 2495, -
    -  SCI_GETHOTSPOTACTIVEUNDERLINE = 2496, -SCI_GETHOTSPOTSINGLELINE = 2497, -SCI_BRACEHIGHLIGHTINDICATOR = 2498, -
    -  SCI_BRACEBADLIGHTINDICATOR = 2499, -SCI_SETINDICATORCURRENT = 2500, -SCI_GETINDICATORCURRENT = 2501, -
    -  SCI_SETINDICATORVALUE = 2502, -SCI_GETINDICATORVALUE = 2503, -SCI_INDICATORFILLRANGE = 2504, -
    -  SCI_INDICATORCLEARRANGE = 2505, -SCI_INDICATORALLONFOR = 2506, -SCI_INDICATORVALUEAT = 2507, -
    -  SCI_INDICATORSTART = 2508, -SCI_INDICATOREND = 2509, -SCI_INDICSETUNDER = 2510, -
    -  SCI_INDICGETUNDER = 2511, -SCI_SETCARETSTYLE = 2512, -SCI_GETCARETSTYLE = 2513, -
    -  SCI_SETPOSITIONCACHE = 2514, -SCI_GETPOSITIONCACHE = 2515, -SCI_SETSCROLLWIDTHTRACKING = 2516, -
    -  SCI_GETSCROLLWIDTHTRACKING = 2517, -SCI_DELWORDRIGHTEND = 2518, -SCI_COPYALLOWLINE = 2519, -
    -  SCI_GETCHARACTERPOINTER = 2520, -SCI_SETKEYSUNICODE = 2521, -SCI_GETKEYSUNICODE = 2522, -
    -  SCI_INDICSETALPHA = 2523, -SCI_INDICGETALPHA = 2524, -SCI_SETEXTRAASCENT = 2525, -
    -  SCI_GETEXTRAASCENT = 2526, -SCI_SETEXTRADESCENT = 2527, -SCI_GETEXTRADESCENT = 2528, -
    -  SCI_MARKERSYMBOLDEFINED = 2529, -SCI_MARGINSETTEXT = 2530, -SCI_MARGINGETTEXT = 2531, -
    -  SCI_MARGINSETSTYLE = 2532, -SCI_MARGINGETSTYLE = 2533, -SCI_MARGINSETSTYLES = 2534, -
    -  SCI_MARGINGETSTYLES = 2535, -SCI_MARGINTEXTCLEARALL = 2536, -SCI_MARGINSETSTYLEOFFSET = 2537, -
    -  SCI_MARGINGETSTYLEOFFSET = 2538, -SCI_SETMARGINOPTIONS = 2539, -SCI_ANNOTATIONSETTEXT = 2540, -
    -  SCI_ANNOTATIONGETTEXT = 2541, -SCI_ANNOTATIONSETSTYLE = 2542, -SCI_ANNOTATIONGETSTYLE = 2543, -
    -  SCI_ANNOTATIONSETSTYLES = 2544, -SCI_ANNOTATIONGETSTYLES = 2545, -SCI_ANNOTATIONGETLINES = 2546, -
    -  SCI_ANNOTATIONCLEARALL = 2547, -SCI_ANNOTATIONSETVISIBLE = 2548, -SCI_ANNOTATIONGETVISIBLE = 2549, -
    -  SCI_ANNOTATIONSETSTYLEOFFSET = 2550, -SCI_ANNOTATIONGETSTYLEOFFSET = 2551, -SCI_RELEASEALLEXTENDEDSTYLES = 2552, -
    -  SCI_ALLOCATEEXTENDEDSTYLES = 2553, -SCI_SETEMPTYSELECTION = 2556, -SCI_GETMARGINOPTIONS = 2557, -
    -  SCI_INDICSETOUTLINEALPHA = 2558, -SCI_INDICGETOUTLINEALPHA = 2559, -SCI_ADDUNDOACTION = 2560, -
    -  SCI_CHARPOSITIONFROMPOINT = 2561, -SCI_CHARPOSITIONFROMPOINTCLOSE = 2562, -SCI_SETMULTIPLESELECTION = 2563, -
    -  SCI_GETMULTIPLESELECTION = 2564, -SCI_SETADDITIONALSELECTIONTYPING = 2565, -SCI_GETADDITIONALSELECTIONTYPING = 2566, -
    -  SCI_SETADDITIONALCARETSBLINK = 2567, -SCI_GETADDITIONALCARETSBLINK = 2568, -SCI_SCROLLRANGE = 2569, -
    -  SCI_SETADDITIONALCARETSVISIBLE = 2608, -SCI_GETADDITIONALCARETSVISIBLE = 2609, -SCI_AUTOCGETCURRENTTEXT = 2610, -
    -  SCI_GETSELECTIONS = 2570, -SCI_CLEARSELECTIONS = 2571, -SCI_SETSELECTION = 2572, -
    -  SCI_ADDSELECTION = 2573, -SCI_SETMAINSELECTION = 2574, -SCI_GETMAINSELECTION = 2575, -
    -  SCI_SETSELECTIONNCARET = 2576, -SCI_GETSELECTIONNCARET = 2577, -SCI_SETSELECTIONNANCHOR = 2578, -
    -  SCI_GETSELECTIONNANCHOR = 2579, -SCI_SETSELECTIONNCARETVIRTUALSPACE = 2580, -SCI_GETSELECTIONNCARETVIRTUALSPACE = 2581, -
    -  SCI_SETSELECTIONNANCHORVIRTUALSPACE = 2582, -SCI_GETSELECTIONNANCHORVIRTUALSPACE = 2583, -SCI_SETSELECTIONNSTART = 2584, -
    -  SCI_GETSELECTIONNSTART = 2585, -SCI_SETSELECTIONNEND = 2586, -SCI_GETSELECTIONNEND = 2587, -
    -  SCI_SETRECTANGULARSELECTIONCARET = 2588, -SCI_GETRECTANGULARSELECTIONCARET = 2589, -SCI_SETRECTANGULARSELECTIONANCHOR = 2590, -
    -  SCI_GETRECTANGULARSELECTIONANCHOR = 2591, -SCI_SETRECTANGULARSELECTIONCARETVIRTUALSPACE = 2592, -SCI_GETRECTANGULARSELECTIONCARETVIRTUALSPACE = 2593, -
    -  SCI_SETRECTANGULARSELECTIONANCHORVIRTUALSPACE = 2594, -SCI_GETRECTANGULARSELECTIONANCHORVIRTUALSPACE = 2595, -SCI_SETVIRTUALSPACEOPTIONS = 2596, -
    -  SCI_GETVIRTUALSPACEOPTIONS = 2597, -SCI_SETRECTANGULARSELECTIONMODIFIER = 2598, -SCI_GETRECTANGULARSELECTIONMODIFIER = 2599, -
    -  SCI_SETADDITIONALSELFORE = 2600, -SCI_SETADDITIONALSELBACK = 2601, -SCI_SETADDITIONALSELALPHA = 2602, -
    -  SCI_GETADDITIONALSELALPHA = 2603, -SCI_SETADDITIONALCARETFORE = 2604, -SCI_GETADDITIONALCARETFORE = 2605, -
    -  SCI_ROTATESELECTION = 2606, -SCI_SWAPMAINANCHORCARET = 2607, -SCI_SETFONTQUALITY = 2611, -
    -  SCI_GETFONTQUALITY = 2612, -SCI_SETFIRSTVISIBLELINE = 2613, -SCI_SETMULTIPASTE = 2614, -
    -  SCI_GETMULTIPASTE = 2615, -SCI_GETTAG = 2616, -SCI_CHANGELEXERSTATE = 2617, -
    -  SCI_CONTRACTEDFOLDNEXT = 2618, -SCI_VERTICALCENTRECARET = 2619, -SCI_MOVESELECTEDLINESUP = 2620, -
    -  SCI_MOVESELECTEDLINESDOWN = 2621, -SCI_SETIDENTIFIER = 2622, -SCI_GETIDENTIFIER = 2623, -
    -  SCI_RGBAIMAGESETWIDTH = 2624, -SCI_RGBAIMAGESETHEIGHT = 2625, -SCI_MARKERDEFINERGBAIMAGE = 2626, -
    -  SCI_REGISTERRGBAIMAGE = 2627, -SCI_SCROLLTOSTART = 2628, -SCI_SCROLLTOEND = 2629, -
    -  SCI_SETTECHNOLOGY = 2630, -SCI_GETTECHNOLOGY = 2631, -SCI_CREATELOADER = 2632, -
    -  SCI_COUNTCHARACTERS = 2633, -SCI_AUTOCSETCASEINSENSITIVEBEHAVIOUR = 2634, -SCI_AUTOCGETCASEINSENSITIVEBEHAVIOUR = 2635, -
    -  SCI_FINDINDICATORSHOW = 2640, -SCI_FINDINDICATORFLASH = 2641, -SCI_FINDINDICATORHIDE = 2642, -
    -  SCI_GETRANGEPOINTER = 2643, -SCI_GETGAPPOSITION = 2644, -SCI_DELETERANGE = 2645, -
    -  SCI_GETWORDCHARS = 2646, -SCI_GETWHITESPACECHARS = 2647, -SCI_SETPUNCTUATIONCHARS = 2648, -
    -  SCI_GETPUNCTUATIONCHARS = 2649, -SCI_GETSELECTIONEMPTY = 2650, -SCI_RGBAIMAGESETSCALE = 2651, -
    -  SCI_VCHOMEDISPLAY = 2652, -SCI_GETCARETLINEVISIBLEALWAYS = 2654, -SCI_SETCARETLINEVISIBLEALWAYS = 2655, -
    -  SCI_VCHOMEDISPLAYEXTEND = 2653, -SCI_AUTOCSETORDER = 2660, -SCI_AUTOCGETORDER = 2661, -
    -  SCI_FOLDALL = 2662, -SCI_SETAUTOMATICFOLD = 2663, -SCI_GETAUTOMATICFOLD = 2664, -
    -  SCI_SETREPRESENTATION = 2665, -SCI_GETREPRESENTATION = 2666, -SCI_CLEARREPRESENTATION = 2667, -
    -  SCI_SETMOUSESELECTIONRECTANGULARSWITCH = 2668, -SCI_GETMOUSESELECTIONRECTANGULARSWITCH = 2669, -SCI_POSITIONRELATIVE = 2670, -
    -  SCI_STARTRECORD = 3001, -SCI_STOPRECORD = 3002, -SCI_SETLEXER = 4001, -
    -  SCI_GETLEXER = 4002, -SCI_COLOURISE = 4003, -SCI_SETPROPERTY = 4004, -
    -  SCI_SETKEYWORDS = 4005, -SCI_SETLEXERLANGUAGE = 4006, -SCI_LOADLEXERLIBRARY = 4007, -
    -  SCI_GETPROPERTY = 4008, -SCI_GETPROPERTYEXPANDED = 4009, -SCI_GETPROPERTYINT = 4010, -
    -  SCI_GETSTYLEBITSNEEDED = 4011, -SCI_GETLEXERLANGUAGE = 4012, -SCI_PRIVATELEXERCALL = 4013, -
    -  SCI_PROPERTYNAMES = 4014, -SCI_PROPERTYTYPE = 4015, -SCI_DESCRIBEPROPERTY = 4016, -
    -  SCI_DESCRIBEKEYWORDSETS = 4017 -
    - } -
  • enum { SC_ALPHA_TRANSPARENT = 0, -SC_ALPHA_OPAQUE = 255, -SC_ALPHA_NOALPHA = 256 - } -
  • enum { SC_CARETSTICKY_OFF = 0, -SC_CARETSTICKY_ON = 1, -SC_CARETSTICKY_WHITESPACE = 2 - } -
  • enum {
    -  SC_EFF_QUALITY_MASK = 0x0f, -SC_EFF_QUALITY_DEFAULT = 0, -SC_EFF_QUALITY_NON_ANTIALIASED = 1, -
    -  SC_EFF_QUALITY_ANTIALIASED = 2, -SC_EFF_QUALITY_LCD_OPTIMIZED = 3 -
    - } -
  • enum { SC_MARGINOPTION_NONE = 0x00, -SC_MARGINOPTION_SUBLINESELECT = 0x01 - } -
  • enum { SC_MULTIPASTE_ONCE = 0, -SC_MULTIPASTE_EACH = 1 - } -
  • enum { SC_TYPE_BOOLEAN = 0, -SC_TYPE_INTEGER = 1, -SC_TYPE_STRING = 2 - } -
  • enum {
    -  SC_UPDATE_CONTENT = 0x01, -SC_UPDATE_SELECTION = 0x02, -SC_UPDATE_V_SCROLL = 0x04, -
    -  SC_UPDATE_H_SCROLL = 0x08 -
    - } -
  • enum {
    -  SC_WRAPVISUALFLAG_NONE = 0x0000, -SC_WRAPVISUALFLAG_END = 0x0001, -SC_WRAPVISUALFLAG_START = 0x0002, -
    -  SC_WRAPVISUALFLAG_MARGIN = 0x0004 -
    - } -
  • enum { SC_WRAPVISUALFLAGLOC_DEFAULT = 0x0000, -SC_WRAPVISUALFLAGLOC_END_BY_TEXT = 0x0001, -SC_WRAPVISUALFLAGLOC_START_BY_TEXT = 0x0002 - } -
  • enum {
    -  SC_SEL_STREAM = 0, -SC_SEL_RECTANGLE = 1, -SC_SEL_LINES = 2, -
    -  SC_SEL_THIN = 3 -
    - } -
  • enum { SCVS_NONE = 0, -SCVS_RECTANGULARSELECTION = 1, -SCVS_USERACCESSIBLE = 2 - } -
  • enum { SCWS_INVISIBLE = 0, -SCWS_VISIBLEALWAYS = 1, -SCWS_VISIBLEAFTERINDENT = 2 - } -
  • enum { SC_EOL_CRLF = 0, -SC_EOL_CR = 1, -SC_EOL_LF = 2 - } -
  • enum { SC_CP_DBCS = 1, -SC_CP_UTF8 = 65001 - } -
  • enum {
    -  SC_MARK_CIRCLE = 0, -SC_MARK_ROUNDRECT = 1, -SC_MARK_ARROW = 2, -
    -  SC_MARK_SMALLRECT = 3, -SC_MARK_SHORTARROW = 4, -SC_MARK_EMPTY = 5, -
    -  SC_MARK_ARROWDOWN = 6, -SC_MARK_MINUS = 7, -SC_MARK_PLUS = 8, -
    -  SC_MARK_VLINE = 9, -SC_MARK_LCORNER = 10, -SC_MARK_TCORNER = 11, -
    -  SC_MARK_BOXPLUS = 12, -SC_MARK_BOXPLUSCONNECTED = 13, -SC_MARK_BOXMINUS = 14, -
    -  SC_MARK_BOXMINUSCONNECTED = 15, -SC_MARK_LCORNERCURVE = 16, -SC_MARK_TCORNERCURVE = 17, -
    -  SC_MARK_CIRCLEPLUS = 18, -SC_MARK_CIRCLEPLUSCONNECTED = 19, -SC_MARK_CIRCLEMINUS = 20, -
    -  SC_MARK_CIRCLEMINUSCONNECTED = 21, -SC_MARK_BACKGROUND = 22, -SC_MARK_DOTDOTDOT = 23, -
    -  SC_MARK_ARROWS = 24, -SC_MARK_PIXMAP = 25, -SC_MARK_FULLRECT = 26, -
    -  SC_MARK_LEFTRECT = 27, -SC_MARK_AVAILABLE = 28, -SC_MARK_UNDERLINE = 29, -
    -  SC_MARK_RGBAIMAGE = 30, -SC_MARK_CHARACTER = 10000 -
    - } -
  • enum {
    -  SC_MARKNUM_FOLDEREND = 25, -SC_MARKNUM_FOLDEROPENMID = 26, -SC_MARKNUM_FOLDERMIDTAIL = 27, -
    -  SC_MARKNUM_FOLDERTAIL = 28, -SC_MARKNUM_FOLDERSUB = 29, -SC_MARKNUM_FOLDER = 30, -
    -  SC_MARKNUM_FOLDEROPEN = 31, -SC_MASK_FOLDERS = 0xfe000000 -
    - } -
  • enum {
    -  SC_MARGIN_SYMBOL = 0, -SC_MARGIN_NUMBER = 1, -SC_MARGIN_BACK = 2, -
    -  SC_MARGIN_FORE = 3, -SC_MARGIN_TEXT = 4, -SC_MARGIN_RTEXT = 5 -
    - } -
  • enum {
    -  STYLE_DEFAULT = 32, -STYLE_LINENUMBER = 33, -STYLE_BRACELIGHT = 34, -
    -  STYLE_BRACEBAD = 35, -STYLE_CONTROLCHAR = 36, -STYLE_INDENTGUIDE = 37, -
    -  STYLE_CALLTIP = 38, -STYLE_LASTPREDEFINED = 39, -STYLE_MAX = 255 -
    - } -
  • enum {
    -  SC_CHARSET_ANSI = 0, -SC_CHARSET_DEFAULT = 1, -SC_CHARSET_BALTIC = 186, -
    -  SC_CHARSET_CHINESEBIG5 = 136, -SC_CHARSET_EASTEUROPE = 238, -SC_CHARSET_GB2312 = 134, -
    -  SC_CHARSET_GREEK = 161, -SC_CHARSET_HANGUL = 129, -SC_CHARSET_MAC = 77, -
    -  SC_CHARSET_OEM = 255, -SC_CHARSET_RUSSIAN = 204, -SC_CHARSET_SHIFTJIS = 128, -
    -  SC_CHARSET_SYMBOL = 2, -SC_CHARSET_TURKISH = 162, -SC_CHARSET_JOHAB = 130, -
    -  SC_CHARSET_HEBREW = 177, -SC_CHARSET_ARABIC = 178, -SC_CHARSET_VIETNAMESE = 163, -
    -  SC_CHARSET_THAI = 222, -SC_CHARSET_8859_15 = 1000 -
    - } -
  • enum { SC_CASE_MIXED = 0, -SC_CASE_UPPER = 1, -SC_CASE_LOWER = 2 - } -
  • enum {
    -  SC_IV_NONE = 0, -SC_IV_REAL = 1, -SC_IV_LOOKFORWARD = 2, -
    -  SC_IV_LOOKBOTH = 3 -
    - } -
  • enum {
    -  INDIC_PLAIN = 0, -INDIC_SQUIGGLE = 1, -INDIC_TT = 2, -
    -  INDIC_DIAGONAL = 3, -INDIC_STRIKE = 4, -INDIC_HIDDEN = 5, -
    -  INDIC_BOX = 6, -INDIC_ROUNDBOX = 7, -INDIC_STRAIGHTBOX = 8, -
    -  INDIC_DASH = 9, -INDIC_DOTS = 10, -INDIC_SQUIGGLELOW = 11, -
    -  INDIC_DOTBOX = 12, -INDIC_SQUIGGLEPIXMAP = 13, -INDIC_COMPOSITIONTHICK = 14, -
    -  INDIC_CONTAINER = 8, -INDIC_MAX = 31, -INDIC0_MASK = 0x20, -
    -  INDIC1_MASK = 0x40, -INDIC2_MASK = 0x80, -INDICS_MASK = 0xe0 -
    - } -
  • enum {
    -  SC_PRINT_NORMAL = 0, -SC_PRINT_INVERTLIGHT = 1, -SC_PRINT_BLACKONWHITE = 2, -
    -  SC_PRINT_COLOURONWHITE = 3, -SC_PRINT_COLOURONWHITEDEFAULTBG = 4 -
    - } -
  • enum {
    -  SCFIND_WHOLEWORD = 2, -SCFIND_MATCHCASE = 4, -SCFIND_WORDSTART = 0x00100000, -
    -  SCFIND_REGEXP = 0x00200000, -SCFIND_POSIX = 0x00400000 -
    - } -
  • enum {
    -  SC_FOLDLEVELBASE = 0x00400, -SC_FOLDLEVELWHITEFLAG = 0x01000, -SC_FOLDLEVELHEADERFLAG = 0x02000, -
    -  SC_FOLDLEVELNUMBERMASK = 0x00fff -
    - } -
  • enum {
    -  SC_FOLDFLAG_LINEBEFORE_EXPANDED = 0x0002, -SC_FOLDFLAG_LINEBEFORE_CONTRACTED = 0x0004, -SC_FOLDFLAG_LINEAFTER_EXPANDED = 0x0008, -
    -  SC_FOLDFLAG_LINEAFTER_CONTRACTED = 0x0010, -SC_FOLDFLAG_LEVELNUMBERS = 0x0040 -
    - } -
  • enum { SC_TIME_FOREVER = 10000000 - } -
  • enum { SC_WRAP_NONE = 0, -SC_WRAP_WORD = 1, -SC_WRAP_CHAR = 2 - } -
  • enum { SC_WRAPINDENT_FIXED = 0, -SC_WRAPINDENT_SAME = 1, -SC_WRAPINDENT_INDENT = 2 - } -
  • enum {
    -  SC_CACHE_NONE = 0, -SC_CACHE_CARET = 1, -SC_CACHE_PAGE = 2, -
    -  SC_CACHE_DOCUMENT = 3 -
    - } -
  • enum { ANNOTATION_HIDDEN = 0, -ANNOTATION_STANDARD = 1, -ANNOTATION_BOXED = 2 - } -
  • enum { EDGE_NONE = 0, -EDGE_LINE = 1, -EDGE_BACKGROUND = 2 - } -
  • enum {
    -  SC_CURSORNORMAL = -1, -SC_CURSORARROW = 2, -SC_CURSORWAIT = 4, -
    -  SC_CURSORREVERSEARROW = 7 -
    - } -
  • enum { UNDO_MAY_COALESCE = 1 - } -
  • enum { VISIBLE_SLOP = 0x01, -VISIBLE_STRICT = 0x04 - } -
  • enum {
    -  CARET_SLOP = 0x01, -CARET_STRICT = 0x04, -CARET_JUMPS = 0x10, -
    -  CARET_EVEN = 0x08 -
    - } -
  • enum { CARETSTYLE_INVISIBLE = 0, -CARETSTYLE_LINE = 1, -CARETSTYLE_BLOCK = 2 - } -
  • enum {
    -  SC_MOD_INSERTTEXT = 0x1, -SC_MOD_DELETETEXT = 0x2, -SC_MOD_CHANGESTYLE = 0x4, -
    -  SC_MOD_CHANGEFOLD = 0x8, -SC_PERFORMED_USER = 0x10, -SC_PERFORMED_UNDO = 0x20, -
    -  SC_PERFORMED_REDO = 0x40, -SC_MULTISTEPUNDOREDO = 0x80, -SC_LASTSTEPINUNDOREDO = 0x100, -
    -  SC_MOD_CHANGEMARKER = 0x200, -SC_MOD_BEFOREINSERT = 0x400, -SC_MOD_BEFOREDELETE = 0x800, -
    -  SC_MULTILINEUNDOREDO = 0x1000, -SC_STARTACTION = 0x2000, -SC_MOD_CHANGEINDICATOR = 0x4000, -
    -  SC_MOD_CHANGELINESTATE = 0x8000, -SC_MOD_CHANGEMARGIN = 0x10000, -SC_MOD_CHANGEANNOTATION = 0x20000, -
    -  SC_MOD_CONTAINER = 0x40000, -SC_MOD_LEXERSTATE = 0x80000, -SC_MODEVENTMASKALL = 0xfffff -
    - } -
  • enum {
    -  SCK_DOWN = 300, -SCK_UP = 301, -SCK_LEFT = 302, -
    -  SCK_RIGHT = 303, -SCK_HOME = 304, -SCK_END = 305, -
    -  SCK_PRIOR = 306, -SCK_NEXT = 307, -SCK_DELETE = 308, -
    -  SCK_INSERT = 309, -SCK_ESCAPE = 7, -SCK_BACK = 8, -
    -  SCK_TAB = 9, -SCK_RETURN = 13, -SCK_ADD = 310, -
    -  SCK_SUBTRACT = 311, -SCK_DIVIDE = 312, -SCK_WIN = 313, -
    -  SCK_RWIN = 314, -SCK_MENU = 315 -
    - } -
  • enum {
    -  SCMOD_NORM = 0, -SCMOD_SHIFT = 1, -SCMOD_CTRL = 2, -
    -  SCMOD_ALT = 4, -SCMOD_SUPER = 8, -SCMOD_META = 16 -
    - } -
  • enum {
    -  SCLEX_CONTAINER = 0, -SCLEX_NULL = 1, -SCLEX_PYTHON = 2, -
    -  SCLEX_CPP = 3, -SCLEX_HTML = 4, -SCLEX_XML = 5, -
    -  SCLEX_PERL = 6, -SCLEX_SQL = 7, -SCLEX_VB = 8, -
    -  SCLEX_PROPERTIES = 9, -SCLEX_ERRORLIST = 10, -SCLEX_MAKEFILE = 11, -
    -  SCLEX_BATCH = 12, -SCLEX_LATEX = 14, -SCLEX_LUA = 15, -
    -  SCLEX_DIFF = 16, -SCLEX_CONF = 17, -SCLEX_PASCAL = 18, -
    -  SCLEX_AVE = 19, -SCLEX_ADA = 20, -SCLEX_LISP = 21, -
    -  SCLEX_RUBY = 22, -SCLEX_EIFFEL = 23, -SCLEX_EIFFELKW = 24, -
    -  SCLEX_TCL = 25, -SCLEX_NNCRONTAB = 26, -SCLEX_BULLANT = 27, -
    -  SCLEX_VBSCRIPT = 28, -SCLEX_ASP = SCLEX_HTML, -SCLEX_PHP = SCLEX_HTML, -
    -  SCLEX_BAAN = 31, -SCLEX_MATLAB = 32, -SCLEX_SCRIPTOL = 33, -
    -  SCLEX_ASM = 34, -SCLEX_CPPNOCASE = 35, -SCLEX_FORTRAN = 36, -
    -  SCLEX_F77 = 37, -SCLEX_CSS = 38, -SCLEX_POV = 39, -
    -  SCLEX_LOUT = 40, -SCLEX_ESCRIPT = 41, -SCLEX_PS = 42, -
    -  SCLEX_NSIS = 43, -SCLEX_MMIXAL = 44, -SCLEX_CLW = 45, -
    -  SCLEX_CLWNOCASE = 46, -SCLEX_LOT = 47, -SCLEX_YAML = 48, -
    -  SCLEX_TEX = 49, -SCLEX_METAPOST = 50, -SCLEX_POWERBASIC = 51, -
    -  SCLEX_FORTH = 52, -SCLEX_ERLANG = 53, -SCLEX_OCTAVE = 54, -
    -  SCLEX_MSSQL = 55, -SCLEX_VERILOG = 56, -SCLEX_KIX = 57, -
    -  SCLEX_GUI4CLI = 58, -SCLEX_SPECMAN = 59, -SCLEX_AU3 = 60, -
    -  SCLEX_APDL = 61, -SCLEX_BASH = 62, -SCLEX_ASN1 = 63, -
    -  SCLEX_VHDL = 64, -SCLEX_CAML = 65, -SCLEX_BLITZBASIC = 66, -
    -  SCLEX_PUREBASIC = 67, -SCLEX_HASKELL = 68, -SCLEX_PHPSCRIPT = 69, -
    -  SCLEX_TADS3 = 70, -SCLEX_REBOL = 71, -SCLEX_SMALLTALK = 72, -
    -  SCLEX_FLAGSHIP = 73, -SCLEX_CSOUND = 74, -SCLEX_FREEBASIC = 75, -
    -  SCLEX_INNOSETUP = 76, -SCLEX_OPAL = 77, -SCLEX_SPICE = 78, -
    -  SCLEX_D = 79, -SCLEX_CMAKE = 80, -SCLEX_GAP = 81, -
    -  SCLEX_PLM = 82, -SCLEX_PROGRESS = 83, -SCLEX_ABAQUS = 84, -
    -  SCLEX_ASYMPTOTE = 85, -SCLEX_R = 86, -SCLEX_MAGIK = 87, -
    -  SCLEX_POWERSHELL = 88, -SCLEX_MYSQL = 89, -SCLEX_PO = 90, -
    -  SCLEX_TAL = 91, -SCLEX_COBOL = 92, -SCLEX_TACL = 93, -
    -  SCLEX_SORCUS = 94, -SCLEX_POWERPRO = 95, -SCLEX_NIMROD = 96, -
    -  SCLEX_SML = 97, -SCLEX_MARKDOWN = 98, -SCLEX_TXT2TAGS = 99, -
    -  SCLEX_A68K = 100, -SCLEX_MODULA = 101, -SCLEX_COFFEESCRIPT = 102, -
    -  SCLEX_TCMD = 103, -SCLEX_AVS = 104, -SCLEX_ECL = 105, -
    -  SCLEX_OSCRIPT = 106, -SCLEX_VISUALPROLOG = 107, -SCLEX_LITERATEHASKELL = 108, -
    -  SCLEX_STTXT = 109, -SCLEX_KVIRC = 110, -SCLEX_RUST = 111 -
    - } -
  • enum { SC_WEIGHT_NORMAL = 400, -SC_WEIGHT_SEMIBOLD = 600, -SC_WEIGHT_BOLD = 700 - } -
  • enum { SC_TECHNOLOGY_DEFAULT = 0, -SC_TECHNOLOGY_DIRECTWRITE = 1 - } -
  • enum { SC_CASEINSENSITIVEBEHAVIOUR_RESPECTCASE = 0, -SC_CASEINSENSITIVEBEHAVIOUR_IGNORECASE = 1 - } -
  • enum { SC_FONT_SIZE_MULTIPLIER = 100 - } -
  • enum { SC_FOLDACTION_CONTRACT = 0, -SC_FOLDACTION_EXPAND = 1, -SC_FOLDACTION_TOGGLE = 2 - } -
  • enum { SC_AUTOMATICFOLD_SHOW = 0x0001, -SC_AUTOMATICFOLD_CLICK = 0x0002, -SC_AUTOMATICFOLD_CHANGE = 0x0004 - } -
  • enum { SC_ORDER_PRESORTED = 0, -SC_ORDER_PERFORMSORT = 1, -SC_ORDER_CUSTOM = 2 - } -
-

-Signals

- -

-Public Member Functions

-
    -
  • -QsciScintillaBase (QWidget *parent=0) -
  • -virtual ~QsciScintillaBase () -
  • long SendScintilla (unsigned int msg, unsigned long wParam=0, long lParam=0) const -
  • long SendScintilla (unsigned int msg, unsigned long wParam, void *lParam) const -
  • long SendScintilla (unsigned int msg, unsigned long wParam, const char *lParam) const -
  • long SendScintilla (unsigned int msg, const char *lParam) const -
  • long SendScintilla (unsigned int msg, const char *wParam, const char *lParam) const -
  • long SendScintilla (unsigned int msg, long wParam) const -
  • long SendScintilla (unsigned int msg, int wParam) const -
  • long SendScintilla (unsigned int msg, long cpMin, long cpMax, char *lpstrText) const -
  • long SendScintilla (unsigned int msg, unsigned long wParam, const QColor &col) const -
  • long SendScintilla (unsigned int msg, const QColor &col) const -
  • long SendScintilla (unsigned int msg, unsigned long wParam, QPainter *hdc, const QRect &rc, long cpMin, long cpMax) const -
  • long SendScintilla (unsigned int msg, unsigned long wParam, const QPixmap &lParam) const -
  • long SendScintilla (unsigned int msg, unsigned long wParam, const QImage &lParam) const -
  • -void * SendScintillaPtrResult (unsigned int msg) const -
-

-Static Public Member Functions

- -

-Protected Types

-
    -
  • -typedef QByteArray ScintillaBytes -
-

-Protected Member Functions

- -

Detailed Description

+

Inherited by QsciScintilla.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Types

enum  {
+  SCI_START = 2000, +SCI_OPTIONAL_START = 3000, +SCI_LEXER_START = 4000, +
+  SCI_ADDTEXT = 2001, +SCI_ADDSTYLEDTEXT = 2002, +SCI_INSERTTEXT = 2003, +
+  SCI_CLEARALL = 2004, +SCI_CLEARDOCUMENTSTYLE = 2005, +SCI_GETLENGTH = 2006, +
+  SCI_GETCHARAT = 2007, +SCI_GETCURRENTPOS = 2008, +SCI_GETANCHOR = 2009, +
+  SCI_GETSTYLEAT = 2010, +SCI_REDO = 2011, +SCI_SETUNDOCOLLECTION = 2012, +
+  SCI_SELECTALL = 2013, +SCI_SETSAVEPOINT = 2014, +SCI_GETSTYLEDTEXT = 2015, +
+  SCI_CANREDO = 2016, +SCI_MARKERLINEFROMHANDLE = 2017, +SCI_MARKERDELETEHANDLE = 2018, +
+  SCI_GETUNDOCOLLECTION = 2019, +SCI_GETVIEWWS = 2020, +SCI_SETVIEWWS = 2021, +
+  SCI_POSITIONFROMPOINT = 2022, +SCI_POSITIONFROMPOINTCLOSE = 2023, +SCI_GOTOLINE = 2024, +
+  SCI_GOTOPOS = 2025, +SCI_SETANCHOR = 2026, +SCI_GETCURLINE = 2027, +
+  SCI_GETENDSTYLED = 2028, +SCI_CONVERTEOLS = 2029, +SCI_GETEOLMODE = 2030, +
+  SCI_SETEOLMODE = 2031, +SCI_STARTSTYLING = 2032, +SCI_SETSTYLING = 2033, +
+  SCI_GETBUFFEREDDRAW = 2034, +SCI_SETBUFFEREDDRAW = 2035, +SCI_SETTABWIDTH = 2036, +
+  SCI_GETTABWIDTH = 2121, +SCI_SETCODEPAGE = 2037, +SCI_MARKERDEFINE = 2040, +
+  SCI_MARKERSETFORE = 2041, +SCI_MARKERSETBACK = 2042, +SCI_MARKERADD = 2043, +
+  SCI_MARKERDELETE = 2044, +SCI_MARKERDELETEALL = 2045, +SCI_MARKERGET = 2046, +
+  SCI_MARKERNEXT = 2047, +SCI_MARKERPREVIOUS = 2048, +SCI_MARKERDEFINEPIXMAP = 2049, +
+  SCI_SETMARGINTYPEN = 2240, +SCI_GETMARGINTYPEN = 2241, +SCI_SETMARGINWIDTHN = 2242, +
+  SCI_GETMARGINWIDTHN = 2243, +SCI_SETMARGINMASKN = 2244, +SCI_GETMARGINMASKN = 2245, +
+  SCI_SETMARGINSENSITIVEN = 2246, +SCI_GETMARGINSENSITIVEN = 2247, +SCI_SETMARGINCURSORN = 2248, +
+  SCI_GETMARGINCURSORN = 2249, +SCI_STYLECLEARALL = 2050, +SCI_STYLESETFORE = 2051, +
+  SCI_STYLESETBACK = 2052, +SCI_STYLESETBOLD = 2053, +SCI_STYLESETITALIC = 2054, +
+  SCI_STYLESETSIZE = 2055, +SCI_STYLESETFONT = 2056, +SCI_STYLESETEOLFILLED = 2057, +
+  SCI_STYLERESETDEFAULT = 2058, +SCI_STYLESETUNDERLINE = 2059, +SCI_STYLESETCASE = 2060, +
+  SCI_STYLESETSIZEFRACTIONAL = 2061, +SCI_STYLEGETSIZEFRACTIONAL = 2062, +SCI_STYLESETWEIGHT = 2063, +
+  SCI_STYLEGETWEIGHT = 2064, +SCI_STYLESETCHARACTERSET = 2066, +SCI_SETSELFORE = 2067, +
+  SCI_SETSELBACK = 2068, +SCI_SETCARETFORE = 2069, +SCI_ASSIGNCMDKEY = 2070, +
+  SCI_CLEARCMDKEY = 2071, +SCI_CLEARALLCMDKEYS = 2072, +SCI_SETSTYLINGEX = 2073, +
+  SCI_STYLESETVISIBLE = 2074, +SCI_GETCARETPERIOD = 2075, +SCI_SETCARETPERIOD = 2076, +
+  SCI_SETWORDCHARS = 2077, +SCI_BEGINUNDOACTION = 2078, +SCI_ENDUNDOACTION = 2079, +
+  SCI_INDICSETSTYLE = 2080, +SCI_INDICGETSTYLE = 2081, +SCI_INDICSETFORE = 2082, +
+  SCI_INDICGETFORE = 2083, +SCI_SETWHITESPACEFORE = 2084, +SCI_SETWHITESPACEBACK = 2085, +
+  SCI_SETWHITESPACESIZE = 2086, +SCI_GETWHITESPACESIZE = 2087, +SCI_SETSTYLEBITS = 2090, +
+  SCI_GETSTYLEBITS = 2091, +SCI_SETLINESTATE = 2092, +SCI_GETLINESTATE = 2093, +
+  SCI_GETMAXLINESTATE = 2094, +SCI_GETCARETLINEVISIBLE = 2095, +SCI_SETCARETLINEVISIBLE = 2096, +
+  SCI_GETCARETLINEBACK = 2097, +SCI_SETCARETLINEBACK = 2098, +SCI_STYLESETCHANGEABLE = 2099, +
+  SCI_AUTOCSHOW = 2100, +SCI_AUTOCCANCEL = 2101, +SCI_AUTOCACTIVE = 2102, +
+  SCI_AUTOCPOSSTART = 2103, +SCI_AUTOCCOMPLETE = 2104, +SCI_AUTOCSTOPS = 2105, +
+  SCI_AUTOCSETSEPARATOR = 2106, +SCI_AUTOCGETSEPARATOR = 2107, +SCI_AUTOCSELECT = 2108, +
+  SCI_AUTOCSETCANCELATSTART = 2110, +SCI_AUTOCGETCANCELATSTART = 2111, +SCI_AUTOCSETFILLUPS = 2112, +
+  SCI_AUTOCSETCHOOSESINGLE = 2113, +SCI_AUTOCGETCHOOSESINGLE = 2114, +SCI_AUTOCSETIGNORECASE = 2115, +
+  SCI_AUTOCGETIGNORECASE = 2116, +SCI_USERLISTSHOW = 2117, +SCI_AUTOCSETAUTOHIDE = 2118, +
+  SCI_AUTOCGETAUTOHIDE = 2119, +SCI_AUTOCSETDROPRESTOFWORD = 2270, +SCI_AUTOCGETDROPRESTOFWORD = 2271, +
+  SCI_SETINDENT = 2122, +SCI_GETINDENT = 2123, +SCI_SETUSETABS = 2124, +
+  SCI_GETUSETABS = 2125, +SCI_SETLINEINDENTATION = 2126, +SCI_GETLINEINDENTATION = 2127, +
+  SCI_GETLINEINDENTPOSITION = 2128, +SCI_GETCOLUMN = 2129, +SCI_SETHSCROLLBAR = 2130, +
+  SCI_GETHSCROLLBAR = 2131, +SCI_SETINDENTATIONGUIDES = 2132, +SCI_GETINDENTATIONGUIDES = 2133, +
+  SCI_SETHIGHLIGHTGUIDE = 2134, +SCI_GETHIGHLIGHTGUIDE = 2135, +SCI_GETLINEENDPOSITION = 2136, +
+  SCI_GETCODEPAGE = 2137, +SCI_GETCARETFORE = 2138, +SCI_GETREADONLY = 2140, +
+  SCI_SETCURRENTPOS = 2141, +SCI_SETSELECTIONSTART = 2142, +SCI_GETSELECTIONSTART = 2143, +
+  SCI_SETSELECTIONEND = 2144, +SCI_GETSELECTIONEND = 2145, +SCI_SETPRINTMAGNIFICATION = 2146, +
+  SCI_GETPRINTMAGNIFICATION = 2147, +SCI_SETPRINTCOLOURMODE = 2148, +SCI_GETPRINTCOLOURMODE = 2149, +
+  SCI_FINDTEXT = 2150, +SCI_FORMATRANGE = 2151, +SCI_GETFIRSTVISIBLELINE = 2152, +
+  SCI_GETLINE = 2153, +SCI_GETLINECOUNT = 2154, +SCI_SETMARGINLEFT = 2155, +
+  SCI_GETMARGINLEFT = 2156, +SCI_SETMARGINRIGHT = 2157, +SCI_GETMARGINRIGHT = 2158, +
+  SCI_GETMODIFY = 2159, +SCI_SETSEL = 2160, +SCI_GETSELTEXT = 2161, +
+  SCI_GETTEXTRANGE = 2162, +SCI_HIDESELECTION = 2163, +SCI_POINTXFROMPOSITION = 2164, +
+  SCI_POINTYFROMPOSITION = 2165, +SCI_LINEFROMPOSITION = 2166, +SCI_POSITIONFROMLINE = 2167, +
+  SCI_LINESCROLL = 2168, +SCI_SCROLLCARET = 2169, +SCI_REPLACESEL = 2170, +
+  SCI_SETREADONLY = 2171, +SCI_NULL = 2172, +SCI_CANPASTE = 2173, +
+  SCI_CANUNDO = 2174, +SCI_EMPTYUNDOBUFFER = 2175, +SCI_UNDO = 2176, +
+  SCI_CUT = 2177, +SCI_COPY = 2178, +SCI_PASTE = 2179, +
+  SCI_CLEAR = 2180, +SCI_SETTEXT = 2181, +SCI_GETTEXT = 2182, +
+  SCI_GETTEXTLENGTH = 2183, +SCI_GETDIRECTFUNCTION = 2184, +SCI_GETDIRECTPOINTER = 2185, +
+  SCI_SETOVERTYPE = 2186, +SCI_GETOVERTYPE = 2187, +SCI_SETCARETWIDTH = 2188, +
+  SCI_GETCARETWIDTH = 2189, +SCI_SETTARGETSTART = 2190, +SCI_GETTARGETSTART = 2191, +
+  SCI_SETTARGETEND = 2192, +SCI_GETTARGETEND = 2193, +SCI_REPLACETARGET = 2194, +
+  SCI_REPLACETARGETRE = 2195, +SCI_SEARCHINTARGET = 2197, +SCI_SETSEARCHFLAGS = 2198, +
+  SCI_GETSEARCHFLAGS = 2199, +SCI_CALLTIPSHOW = 2200, +SCI_CALLTIPCANCEL = 2201, +
+  SCI_CALLTIPACTIVE = 2202, +SCI_CALLTIPPOSSTART = 2203, +SCI_CALLTIPSETHLT = 2204, +
+  SCI_CALLTIPSETBACK = 2205, +SCI_CALLTIPSETFORE = 2206, +SCI_CALLTIPSETFOREHLT = 2207, +
+  SCI_AUTOCSETMAXWIDTH = 2208, +SCI_AUTOCGETMAXWIDTH = 2209, +SCI_AUTOCSETMAXHEIGHT = 2210, +
+  SCI_AUTOCGETMAXHEIGHT = 2211, +SCI_CALLTIPUSESTYLE = 2212, +SCI_CALLTIPSETPOSITION = 2213, +
+  SCI_CALLTIPSETPOSSTART = 2214, +SCI_VISIBLEFROMDOCLINE = 2220, +SCI_DOCLINEFROMVISIBLE = 2221, +
+  SCI_SETFOLDLEVEL = 2222, +SCI_GETFOLDLEVEL = 2223, +SCI_GETLASTCHILD = 2224, +
+  SCI_GETFOLDPARENT = 2225, +SCI_SHOWLINES = 2226, +SCI_HIDELINES = 2227, +
+  SCI_GETLINEVISIBLE = 2228, +SCI_SETFOLDEXPANDED = 2229, +SCI_GETFOLDEXPANDED = 2230, +
+  SCI_TOGGLEFOLD = 2231, +SCI_ENSUREVISIBLE = 2232, +SCI_SETFOLDFLAGS = 2233, +
+  SCI_ENSUREVISIBLEENFORCEPOLICY = 2234, +SCI_WRAPCOUNT = 2235, +SCI_GETALLLINESVISIBLE = 2236, +
+  SCI_FOLDLINE = 2237, +SCI_FOLDCHILDREN = 2238, +SCI_EXPANDCHILDREN = 2239, +
+  SCI_SETTABINDENTS = 2260, +SCI_GETTABINDENTS = 2261, +SCI_SETBACKSPACEUNINDENTS = 2262, +
+  SCI_GETBACKSPACEUNINDENTS = 2263, +SCI_SETMOUSEDWELLTIME = 2264, +SCI_GETMOUSEDWELLTIME = 2265, +
+  SCI_WORDSTARTPOSITION = 2266, +SCI_WORDENDPOSITION = 2267, +SCI_SETWRAPMODE = 2268, +
+  SCI_GETWRAPMODE = 2269, +SCI_SETLAYOUTCACHE = 2272, +SCI_GETLAYOUTCACHE = 2273, +
+  SCI_SETSCROLLWIDTH = 2274, +SCI_GETSCROLLWIDTH = 2275, +SCI_TEXTWIDTH = 2276, +
+  SCI_SETENDATLASTLINE = 2277, +SCI_GETENDATLASTLINE = 2278, +SCI_TEXTHEIGHT = 2279, +
+  SCI_SETVSCROLLBAR = 2280, +SCI_GETVSCROLLBAR = 2281, +SCI_APPENDTEXT = 2282, +
+  SCI_GETTWOPHASEDRAW = 2283, +SCI_SETTWOPHASEDRAW = 2284, +SCI_AUTOCGETTYPESEPARATOR = 2285, +
+  SCI_AUTOCSETTYPESEPARATOR = 2286, +SCI_TARGETFROMSELECTION = 2287, +SCI_LINESJOIN = 2288, +
+  SCI_LINESSPLIT = 2289, +SCI_SETFOLDMARGINCOLOUR = 2290, +SCI_SETFOLDMARGINHICOLOUR = 2291, +
+  SCI_MARKERSETBACKSELECTED = 2292, +SCI_MARKERENABLEHIGHLIGHT = 2293, +SCI_LINEDOWN = 2300, +
+  SCI_LINEDOWNEXTEND = 2301, +SCI_LINEUP = 2302, +SCI_LINEUPEXTEND = 2303, +
+  SCI_CHARLEFT = 2304, +SCI_CHARLEFTEXTEND = 2305, +SCI_CHARRIGHT = 2306, +
+  SCI_CHARRIGHTEXTEND = 2307, +SCI_WORDLEFT = 2308, +SCI_WORDLEFTEXTEND = 2309, +
+  SCI_WORDRIGHT = 2310, +SCI_WORDRIGHTEXTEND = 2311, +SCI_HOME = 2312, +
+  SCI_HOMEEXTEND = 2313, +SCI_LINEEND = 2314, +SCI_LINEENDEXTEND = 2315, +
+  SCI_DOCUMENTSTART = 2316, +SCI_DOCUMENTSTARTEXTEND = 2317, +SCI_DOCUMENTEND = 2318, +
+  SCI_DOCUMENTENDEXTEND = 2319, +SCI_PAGEUP = 2320, +SCI_PAGEUPEXTEND = 2321, +
+  SCI_PAGEDOWN = 2322, +SCI_PAGEDOWNEXTEND = 2323, +SCI_EDITTOGGLEOVERTYPE = 2324, +
+  SCI_CANCEL = 2325, +SCI_DELETEBACK = 2326, +SCI_TAB = 2327, +
+  SCI_BACKTAB = 2328, +SCI_NEWLINE = 2329, +SCI_FORMFEED = 2330, +
+  SCI_VCHOME = 2331, +SCI_VCHOMEEXTEND = 2332, +SCI_ZOOMIN = 2333, +
+  SCI_ZOOMOUT = 2334, +SCI_DELWORDLEFT = 2335, +SCI_DELWORDRIGHT = 2336, +
+  SCI_LINECUT = 2337, +SCI_LINEDELETE = 2338, +SCI_LINETRANSPOSE = 2339, +
+  SCI_LOWERCASE = 2340, +SCI_UPPERCASE = 2341, +SCI_LINESCROLLDOWN = 2342, +
+  SCI_LINESCROLLUP = 2343, +SCI_DELETEBACKNOTLINE = 2344, +SCI_HOMEDISPLAY = 2345, +
+  SCI_HOMEDISPLAYEXTEND = 2346, +SCI_LINEENDDISPLAY = 2347, +SCI_LINEENDDISPLAYEXTEND = 2348, +
+  SCI_MOVECARETINSIDEVIEW = 2401, +SCI_LINELENGTH = 2350, +SCI_BRACEHIGHLIGHT = 2351, +
+  SCI_BRACEBADLIGHT = 2352, +SCI_BRACEMATCH = 2353, +SCI_GETVIEWEOL = 2355, +
+  SCI_SETVIEWEOL = 2356, +SCI_GETDOCPOINTER = 2357, +SCI_SETDOCPOINTER = 2358, +
+  SCI_SETMODEVENTMASK = 2359, +SCI_GETEDGECOLUMN = 2360, +SCI_SETEDGECOLUMN = 2361, +
+  SCI_GETEDGEMODE = 2362, +SCI_SETEDGEMODE = 2363, +SCI_GETEDGECOLOUR = 2364, +
+  SCI_SETEDGECOLOUR = 2365, +SCI_SEARCHANCHOR = 2366, +SCI_SEARCHNEXT = 2367, +
+  SCI_SEARCHPREV = 2368, +SCI_LINESONSCREEN = 2370, +SCI_USEPOPUP = 2371, +
+  SCI_SELECTIONISRECTANGLE = 2372, +SCI_SETZOOM = 2373, +SCI_GETZOOM = 2374, +
+  SCI_CREATEDOCUMENT = 2375, +SCI_ADDREFDOCUMENT = 2376, +SCI_RELEASEDOCUMENT = 2377, +
+  SCI_GETMODEVENTMASK = 2378, +SCI_SETFOCUS = 2380, +SCI_GETFOCUS = 2381, +
+  SCI_SETSTATUS = 2382, +SCI_GETSTATUS = 2383, +SCI_SETMOUSEDOWNCAPTURES = 2384, +
+  SCI_GETMOUSEDOWNCAPTURES = 2385, +SCI_SETCURSOR = 2386, +SCI_GETCURSOR = 2387, +
+  SCI_SETCONTROLCHARSYMBOL = 2388, +SCI_GETCONTROLCHARSYMBOL = 2389, +SCI_WORDPARTLEFT = 2390, +
+  SCI_WORDPARTLEFTEXTEND = 2391, +SCI_WORDPARTRIGHT = 2392, +SCI_WORDPARTRIGHTEXTEND = 2393, +
+  SCI_SETVISIBLEPOLICY = 2394, +SCI_DELLINELEFT = 2395, +SCI_DELLINERIGHT = 2396, +
+  SCI_SETXOFFSET = 2397, +SCI_GETXOFFSET = 2398, +SCI_CHOOSECARETX = 2399, +
+  SCI_GRABFOCUS = 2400, +SCI_SETXCARETPOLICY = 2402, +SCI_SETYCARETPOLICY = 2403, +
+  SCI_LINEDUPLICATE = 2404, +SCI_REGISTERIMAGE = 2405, +SCI_SETPRINTWRAPMODE = 2406, +
+  SCI_GETPRINTWRAPMODE = 2407, +SCI_CLEARREGISTEREDIMAGES = 2408, +SCI_STYLESETHOTSPOT = 2409, +
+  SCI_SETHOTSPOTACTIVEFORE = 2410, +SCI_SETHOTSPOTACTIVEBACK = 2411, +SCI_SETHOTSPOTACTIVEUNDERLINE = 2412, +
+  SCI_PARADOWN = 2413, +SCI_PARADOWNEXTEND = 2414, +SCI_PARAUP = 2415, +
+  SCI_PARAUPEXTEND = 2416, +SCI_POSITIONBEFORE = 2417, +SCI_POSITIONAFTER = 2418, +
+  SCI_COPYRANGE = 2419, +SCI_COPYTEXT = 2420, +SCI_SETHOTSPOTSINGLELINE = 2421, +
+  SCI_SETSELECTIONMODE = 2422, +SCI_GETSELECTIONMODE = 2423, +SCI_GETLINESELSTARTPOSITION = 2424, +
+  SCI_GETLINESELENDPOSITION = 2425, +SCI_LINEDOWNRECTEXTEND = 2426, +SCI_LINEUPRECTEXTEND = 2427, +
+  SCI_CHARLEFTRECTEXTEND = 2428, +SCI_CHARRIGHTRECTEXTEND = 2429, +SCI_HOMERECTEXTEND = 2430, +
+  SCI_VCHOMERECTEXTEND = 2431, +SCI_LINEENDRECTEXTEND = 2432, +SCI_PAGEUPRECTEXTEND = 2433, +
+  SCI_PAGEDOWNRECTEXTEND = 2434, +SCI_STUTTEREDPAGEUP = 2435, +SCI_STUTTEREDPAGEUPEXTEND = 2436, +
+  SCI_STUTTEREDPAGEDOWN = 2437, +SCI_STUTTEREDPAGEDOWNEXTEND = 2438, +SCI_WORDLEFTEND = 2439, +
+  SCI_WORDLEFTENDEXTEND = 2440, +SCI_WORDRIGHTEND = 2441, +SCI_WORDRIGHTENDEXTEND = 2442, +
+  SCI_SETWHITESPACECHARS = 2443, +SCI_SETCHARSDEFAULT = 2444, +SCI_AUTOCGETCURRENT = 2445, +
+  SCI_ALLOCATE = 2446, +SCI_HOMEWRAP = 2349, +SCI_HOMEWRAPEXTEND = 2450, +
+  SCI_LINEENDWRAP = 2451, +SCI_LINEENDWRAPEXTEND = 2452, +SCI_VCHOMEWRAP = 2453, +
+  SCI_VCHOMEWRAPEXTEND = 2454, +SCI_LINECOPY = 2455, +SCI_FINDCOLUMN = 2456, +
+  SCI_GETCARETSTICKY = 2457, +SCI_SETCARETSTICKY = 2458, +SCI_TOGGLECARETSTICKY = 2459, +
+  SCI_SETWRAPVISUALFLAGS = 2460, +SCI_GETWRAPVISUALFLAGS = 2461, +SCI_SETWRAPVISUALFLAGSLOCATION = 2462, +
+  SCI_GETWRAPVISUALFLAGSLOCATION = 2463, +SCI_SETWRAPSTARTINDENT = 2464, +SCI_GETWRAPSTARTINDENT = 2465, +
+  SCI_MARKERADDSET = 2466, +SCI_SETPASTECONVERTENDINGS = 2467, +SCI_GETPASTECONVERTENDINGS = 2468, +
+  SCI_SELECTIONDUPLICATE = 2469, +SCI_SETCARETLINEBACKALPHA = 2470, +SCI_GETCARETLINEBACKALPHA = 2471, +
+  SCI_SETWRAPINDENTMODE = 2472, +SCI_GETWRAPINDENTMODE = 2473, +SCI_MARKERSETALPHA = 2476, +
+  SCI_GETSELALPHA = 2477, +SCI_SETSELALPHA = 2478, +SCI_GETSELEOLFILLED = 2479, +
+  SCI_SETSELEOLFILLED = 2480, +SCI_STYLEGETFORE = 2481, +SCI_STYLEGETBACK = 2482, +
+  SCI_STYLEGETBOLD = 2483, +SCI_STYLEGETITALIC = 2484, +SCI_STYLEGETSIZE = 2485, +
+  SCI_STYLEGETFONT = 2486, +SCI_STYLEGETEOLFILLED = 2487, +SCI_STYLEGETUNDERLINE = 2488, +
+  SCI_STYLEGETCASE = 2489, +SCI_STYLEGETCHARACTERSET = 2490, +SCI_STYLEGETVISIBLE = 2491, +
+  SCI_STYLEGETCHANGEABLE = 2492, +SCI_STYLEGETHOTSPOT = 2493, +SCI_GETHOTSPOTACTIVEFORE = 2494, +
+  SCI_GETHOTSPOTACTIVEBACK = 2495, +SCI_GETHOTSPOTACTIVEUNDERLINE = 2496, +SCI_GETHOTSPOTSINGLELINE = 2497, +
+  SCI_BRACEHIGHLIGHTINDICATOR = 2498, +SCI_BRACEBADLIGHTINDICATOR = 2499, +SCI_SETINDICATORCURRENT = 2500, +
+  SCI_GETINDICATORCURRENT = 2501, +SCI_SETINDICATORVALUE = 2502, +SCI_GETINDICATORVALUE = 2503, +
+  SCI_INDICATORFILLRANGE = 2504, +SCI_INDICATORCLEARRANGE = 2505, +SCI_INDICATORALLONFOR = 2506, +
+  SCI_INDICATORVALUEAT = 2507, +SCI_INDICATORSTART = 2508, +SCI_INDICATOREND = 2509, +
+  SCI_INDICSETUNDER = 2510, +SCI_INDICGETUNDER = 2511, +SCI_SETCARETSTYLE = 2512, +
+  SCI_GETCARETSTYLE = 2513, +SCI_SETPOSITIONCACHE = 2514, +SCI_GETPOSITIONCACHE = 2515, +
+  SCI_SETSCROLLWIDTHTRACKING = 2516, +SCI_GETSCROLLWIDTHTRACKING = 2517, +SCI_DELWORDRIGHTEND = 2518, +
+  SCI_COPYALLOWLINE = 2519, +SCI_GETCHARACTERPOINTER = 2520, +SCI_SETKEYSUNICODE = 2521, +
+  SCI_GETKEYSUNICODE = 2522, +SCI_INDICSETALPHA = 2523, +SCI_INDICGETALPHA = 2524, +
+  SCI_SETEXTRAASCENT = 2525, +SCI_GETEXTRAASCENT = 2526, +SCI_SETEXTRADESCENT = 2527, +
+  SCI_GETEXTRADESCENT = 2528, +SCI_MARKERSYMBOLDEFINED = 2529, +SCI_MARGINSETTEXT = 2530, +
+  SCI_MARGINGETTEXT = 2531, +SCI_MARGINSETSTYLE = 2532, +SCI_MARGINGETSTYLE = 2533, +
+  SCI_MARGINSETSTYLES = 2534, +SCI_MARGINGETSTYLES = 2535, +SCI_MARGINTEXTCLEARALL = 2536, +
+  SCI_MARGINSETSTYLEOFFSET = 2537, +SCI_MARGINGETSTYLEOFFSET = 2538, +SCI_SETMARGINOPTIONS = 2539, +
+  SCI_ANNOTATIONSETTEXT = 2540, +SCI_ANNOTATIONGETTEXT = 2541, +SCI_ANNOTATIONSETSTYLE = 2542, +
+  SCI_ANNOTATIONGETSTYLE = 2543, +SCI_ANNOTATIONSETSTYLES = 2544, +SCI_ANNOTATIONGETSTYLES = 2545, +
+  SCI_ANNOTATIONGETLINES = 2546, +SCI_ANNOTATIONCLEARALL = 2547, +SCI_ANNOTATIONSETVISIBLE = 2548, +
+  SCI_ANNOTATIONGETVISIBLE = 2549, +SCI_ANNOTATIONSETSTYLEOFFSET = 2550, +SCI_ANNOTATIONGETSTYLEOFFSET = 2551, +
+  SCI_RELEASEALLEXTENDEDSTYLES = 2552, +SCI_ALLOCATEEXTENDEDSTYLES = 2553, +SCI_SETEMPTYSELECTION = 2556, +
+  SCI_GETMARGINOPTIONS = 2557, +SCI_INDICSETOUTLINEALPHA = 2558, +SCI_INDICGETOUTLINEALPHA = 2559, +
+  SCI_ADDUNDOACTION = 2560, +SCI_CHARPOSITIONFROMPOINT = 2561, +SCI_CHARPOSITIONFROMPOINTCLOSE = 2562, +
+  SCI_SETMULTIPLESELECTION = 2563, +SCI_GETMULTIPLESELECTION = 2564, +SCI_SETADDITIONALSELECTIONTYPING = 2565, +
+  SCI_GETADDITIONALSELECTIONTYPING = 2566, +SCI_SETADDITIONALCARETSBLINK = 2567, +SCI_GETADDITIONALCARETSBLINK = 2568, +
+  SCI_SCROLLRANGE = 2569, +SCI_GETSELECTIONS = 2570, +SCI_CLEARSELECTIONS = 2571, +
+  SCI_SETSELECTION = 2572, +SCI_ADDSELECTION = 2573, +SCI_SETMAINSELECTION = 2574, +
+  SCI_GETMAINSELECTION = 2575, +SCI_SETSELECTIONNCARET = 2576, +SCI_GETSELECTIONNCARET = 2577, +
+  SCI_SETSELECTIONNANCHOR = 2578, +SCI_GETSELECTIONNANCHOR = 2579, +SCI_SETSELECTIONNCARETVIRTUALSPACE = 2580, +
+  SCI_GETSELECTIONNCARETVIRTUALSPACE = 2581, +SCI_SETSELECTIONNANCHORVIRTUALSPACE = 2582, +SCI_GETSELECTIONNANCHORVIRTUALSPACE = 2583, +
+  SCI_SETSELECTIONNSTART = 2584, +SCI_GETSELECTIONNSTART = 2585, +SCI_SETSELECTIONNEND = 2586, +
+  SCI_GETSELECTIONNEND = 2587, +SCI_SETRECTANGULARSELECTIONCARET = 2588, +SCI_GETRECTANGULARSELECTIONCARET = 2589, +
+  SCI_SETRECTANGULARSELECTIONANCHOR = 2590, +SCI_GETRECTANGULARSELECTIONANCHOR = 2591, +SCI_SETRECTANGULARSELECTIONCARETVIRTUALSPACE = 2592, +
+  SCI_GETRECTANGULARSELECTIONCARETVIRTUALSPACE = 2593, +SCI_SETRECTANGULARSELECTIONANCHORVIRTUALSPACE = 2594, +SCI_GETRECTANGULARSELECTIONANCHORVIRTUALSPACE = 2595, +
+  SCI_SETVIRTUALSPACEOPTIONS = 2596, +SCI_GETVIRTUALSPACEOPTIONS = 2597, +SCI_SETRECTANGULARSELECTIONMODIFIER = 2598, +
+  SCI_GETRECTANGULARSELECTIONMODIFIER = 2599, +SCI_SETADDITIONALSELFORE = 2600, +SCI_SETADDITIONALSELBACK = 2601, +
+  SCI_SETADDITIONALSELALPHA = 2602, +SCI_GETADDITIONALSELALPHA = 2603, +SCI_SETADDITIONALCARETFORE = 2604, +
+  SCI_GETADDITIONALCARETFORE = 2605, +SCI_ROTATESELECTION = 2606, +SCI_SWAPMAINANCHORCARET = 2607, +
+  SCI_SETADDITIONALCARETSVISIBLE = 2608, +SCI_GETADDITIONALCARETSVISIBLE = 2609, +SCI_AUTOCGETCURRENTTEXT = 2610, +
+  SCI_SETFONTQUALITY = 2611, +SCI_GETFONTQUALITY = 2612, +SCI_SETFIRSTVISIBLELINE = 2613, +
+  SCI_SETMULTIPASTE = 2614, +SCI_GETMULTIPASTE = 2615, +SCI_GETTAG = 2616, +
+  SCI_CHANGELEXERSTATE = 2617, +SCI_CONTRACTEDFOLDNEXT = 2618, +SCI_VERTICALCENTRECARET = 2619, +
+  SCI_MOVESELECTEDLINESUP = 2620, +SCI_MOVESELECTEDLINESDOWN = 2621, +SCI_SETIDENTIFIER = 2622, +
+  SCI_GETIDENTIFIER = 2623, +SCI_RGBAIMAGESETWIDTH = 2624, +SCI_RGBAIMAGESETHEIGHT = 2625, +
+  SCI_MARKERDEFINERGBAIMAGE = 2626, +SCI_REGISTERRGBAIMAGE = 2627, +SCI_SCROLLTOSTART = 2628, +
+  SCI_SCROLLTOEND = 2629, +SCI_SETTECHNOLOGY = 2630, +SCI_GETTECHNOLOGY = 2631, +
+  SCI_CREATELOADER = 2632, +SCI_COUNTCHARACTERS = 2633, +SCI_AUTOCSETCASEINSENSITIVEBEHAVIOUR = 2634, +
+  SCI_AUTOCGETCASEINSENSITIVEBEHAVIOUR = 2635, +SCI_AUTOCSETMULTI = 2636, +SCI_AUTOCGETMULTI = 2637, +
+  SCI_FINDINDICATORSHOW = 2640, +SCI_FINDINDICATORFLASH = 2641, +SCI_FINDINDICATORHIDE = 2642, +
+  SCI_GETRANGEPOINTER = 2643, +SCI_GETGAPPOSITION = 2644, +SCI_DELETERANGE = 2645, +
+  SCI_GETWORDCHARS = 2646, +SCI_GETWHITESPACECHARS = 2647, +SCI_SETPUNCTUATIONCHARS = 2648, +
+  SCI_GETPUNCTUATIONCHARS = 2649, +SCI_GETSELECTIONEMPTY = 2650, +SCI_RGBAIMAGESETSCALE = 2651, +
+  SCI_VCHOMEDISPLAY = 2652, +SCI_VCHOMEDISPLAYEXTEND = 2653, +SCI_GETCARETLINEVISIBLEALWAYS = 2654, +
+  SCI_SETCARETLINEVISIBLEALWAYS = 2655, +SCI_SETLINEENDTYPESALLOWED = 2656, +SCI_GETLINEENDTYPESALLOWED = 2657, +
+  SCI_GETLINEENDTYPESACTIVE = 2658, +SCI_AUTOCSETORDER = 2660, +SCI_AUTOCGETORDER = 2661, +
+  SCI_FOLDALL = 2662, +SCI_SETAUTOMATICFOLD = 2663, +SCI_GETAUTOMATICFOLD = 2664, +
+  SCI_SETREPRESENTATION = 2665, +SCI_GETREPRESENTATION = 2666, +SCI_CLEARREPRESENTATION = 2667, +
+  SCI_SETMOUSESELECTIONRECTANGULARSWITCH = 2668, +SCI_GETMOUSESELECTIONRECTANGULARSWITCH = 2669, +SCI_POSITIONRELATIVE = 2670, +
+  SCI_DROPSELECTIONN = 2671, +SCI_CHANGEINSERTION = 2672, +SCI_GETPHASESDRAW = 2673, +
+  SCI_SETPHASESDRAW = 2674, +SCI_CLEARTABSTOPS = 2675, +SCI_ADDTABSTOP = 2676, +
+  SCI_GETNEXTTABSTOP = 2677, +SCI_GETIMEINTERACTION = 2678, +SCI_SETIMEINTERACTION = 2679, +
+  SCI_INDICSETHOVERSTYLE = 2680, +SCI_INDICGETHOVERSTYLE = 2681, +SCI_INDICSETHOVERFORE = 2682, +
+  SCI_INDICGETHOVERFORE = 2683, +SCI_INDICSETFLAGS = 2684, +SCI_INDICGETFLAGS = 2685, +
+  SCI_SETTARGETRANGE = 2686, +SCI_GETTARGETRANGE = 2687, +SCI_STARTRECORD = 3001, +
+  SCI_STOPRECORD = 3002, +SCI_SETLEXER = 4001, +SCI_GETLEXER = 4002, +
+  SCI_COLOURISE = 4003, +SCI_SETPROPERTY = 4004, +SCI_SETKEYWORDS = 4005, +
+  SCI_SETLEXERLANGUAGE = 4006, +SCI_LOADLEXERLIBRARY = 4007, +SCI_GETPROPERTY = 4008, +
+  SCI_GETPROPERTYEXPANDED = 4009, +SCI_GETPROPERTYINT = 4010, +SCI_GETSTYLEBITSNEEDED = 4011, +
+  SCI_GETLEXERLANGUAGE = 4012, +SCI_PRIVATELEXERCALL = 4013, +SCI_PROPERTYNAMES = 4014, +
+  SCI_PROPERTYTYPE = 4015, +SCI_DESCRIBEPROPERTY = 4016, +SCI_DESCRIBEKEYWORDSETS = 4017, +
+  SCI_GETLINEENDTYPESSUPPORTED = 4018, +SCI_ALLOCATESUBSTYLES = 4020, +SCI_GETSUBSTYLESSTART = 4021, +
+  SCI_GETSUBSTYLESLENGTH = 4022, +SCI_GETSTYLEFROMSUBSTYLE = 4027, +SCI_GETPRIMARYSTYLEFROMSTYLE = 4028, +
+  SCI_FREESUBSTYLES = 4023, +SCI_SETIDENTIFIERS = 4024, +SCI_DISTANCETOSECONDARYSTYLES = 4025, +
+  SCI_GETSUBSTYLEBASES = 4026 +
+ }
 
enum  { SC_ALPHA_TRANSPARENT = 0, +SC_ALPHA_OPAQUE = 255, +SC_ALPHA_NOALPHA = 256 + }
 
enum  { SC_CARETSTICKY_OFF = 0, +SC_CARETSTICKY_ON = 1, +SC_CARETSTICKY_WHITESPACE = 2 + }
 
enum  {
+  SC_EFF_QUALITY_MASK = 0x0f, +SC_EFF_QUALITY_DEFAULT = 0, +SC_EFF_QUALITY_NON_ANTIALIASED = 1, +
+  SC_EFF_QUALITY_ANTIALIASED = 2, +SC_EFF_QUALITY_LCD_OPTIMIZED = 3 +
+ }
 
enum  { SC_IME_WINDOWED = 0, +SC_IME_INLINE = 1 + }
 
enum  { SC_MARGINOPTION_NONE = 0x00, +SC_MARGINOPTION_SUBLINESELECT = 0x01 + }
 
enum  { SC_MULTIAUTOC_ONCE = 0, +SC_MULTIAUTOC_EACH = 1 + }
 
enum  { SC_MULTIPASTE_ONCE = 0, +SC_MULTIPASTE_EACH = 1 + }
 
enum  {
+  SC_SEL_STREAM = 0, +SC_SEL_RECTANGLE = 1, +SC_SEL_LINES = 2, +
+  SC_SEL_THIN = 3 +
+ }
 
enum  {
+  SC_STATUS_OK = 0, +SC_STATUS_FAILURE = 1, +SC_STATUS_BADALLOC = 2, +
+  SC_STATUS_WARN_START = 1000, +SC_STATUS_WARNREGEX = 1001 +
+ }
 
enum  { SC_TYPE_BOOLEAN = 0, +SC_TYPE_INTEGER = 1, +SC_TYPE_STRING = 2 + }
 
enum  {
+  SC_UPDATE_CONTENT = 0x01, +SC_UPDATE_SELECTION = 0x02, +SC_UPDATE_V_SCROLL = 0x04, +
+  SC_UPDATE_H_SCROLL = 0x08 +
+ }
 
enum  {
+  SC_WRAPVISUALFLAG_NONE = 0x0000, +SC_WRAPVISUALFLAG_END = 0x0001, +SC_WRAPVISUALFLAG_START = 0x0002, +
+  SC_WRAPVISUALFLAG_MARGIN = 0x0004 +
+ }
 
enum  { SC_WRAPVISUALFLAGLOC_DEFAULT = 0x0000, +SC_WRAPVISUALFLAGLOC_END_BY_TEXT = 0x0001, +SC_WRAPVISUALFLAGLOC_START_BY_TEXT = 0x0002 + }
 
enum  { SCVS_NONE = 0, +SCVS_RECTANGULARSELECTION = 1, +SCVS_USERACCESSIBLE = 2 + }
 
enum  { SCWS_INVISIBLE = 0, +SCWS_VISIBLEALWAYS = 1, +SCWS_VISIBLEAFTERINDENT = 2 + }
 
enum  { SC_EOL_CRLF = 0, +SC_EOL_CR = 1, +SC_EOL_LF = 2 + }
 
enum  { SC_CP_DBCS = 1, +SC_CP_UTF8 = 65001 + }
 
enum  {
+  SC_MARK_CIRCLE = 0, +SC_MARK_ROUNDRECT = 1, +SC_MARK_ARROW = 2, +
+  SC_MARK_SMALLRECT = 3, +SC_MARK_SHORTARROW = 4, +SC_MARK_EMPTY = 5, +
+  SC_MARK_ARROWDOWN = 6, +SC_MARK_MINUS = 7, +SC_MARK_PLUS = 8, +
+  SC_MARK_VLINE = 9, +SC_MARK_LCORNER = 10, +SC_MARK_TCORNER = 11, +
+  SC_MARK_BOXPLUS = 12, +SC_MARK_BOXPLUSCONNECTED = 13, +SC_MARK_BOXMINUS = 14, +
+  SC_MARK_BOXMINUSCONNECTED = 15, +SC_MARK_LCORNERCURVE = 16, +SC_MARK_TCORNERCURVE = 17, +
+  SC_MARK_CIRCLEPLUS = 18, +SC_MARK_CIRCLEPLUSCONNECTED = 19, +SC_MARK_CIRCLEMINUS = 20, +
+  SC_MARK_CIRCLEMINUSCONNECTED = 21, +SC_MARK_BACKGROUND = 22, +SC_MARK_DOTDOTDOT = 23, +
+  SC_MARK_ARROWS = 24, +SC_MARK_PIXMAP = 25, +SC_MARK_FULLRECT = 26, +
+  SC_MARK_LEFTRECT = 27, +SC_MARK_AVAILABLE = 28, +SC_MARK_UNDERLINE = 29, +
+  SC_MARK_RGBAIMAGE = 30, +SC_MARK_BOOKMARK = 31, +SC_MARK_CHARACTER = 10000 +
+ }
 
enum  {
+  SC_MARKNUM_FOLDEREND = 25, +SC_MARKNUM_FOLDEROPENMID = 26, +SC_MARKNUM_FOLDERMIDTAIL = 27, +
+  SC_MARKNUM_FOLDERTAIL = 28, +SC_MARKNUM_FOLDERSUB = 29, +SC_MARKNUM_FOLDER = 30, +
+  SC_MARKNUM_FOLDEROPEN = 31, +SC_MASK_FOLDERS = 0xfe000000 +
+ }
 
enum  {
+  SC_MARGIN_SYMBOL = 0, +SC_MARGIN_NUMBER = 1, +SC_MARGIN_BACK = 2, +
+  SC_MARGIN_FORE = 3, +SC_MARGIN_TEXT = 4, +SC_MARGIN_RTEXT = 5 +
+ }
 
enum  {
+  STYLE_DEFAULT = 32, +STYLE_LINENUMBER = 33, +STYLE_BRACELIGHT = 34, +
+  STYLE_BRACEBAD = 35, +STYLE_CONTROLCHAR = 36, +STYLE_INDENTGUIDE = 37, +
+  STYLE_CALLTIP = 38, +STYLE_LASTPREDEFINED = 39, +STYLE_MAX = 255 +
+ }
 
enum  {
+  SC_CHARSET_ANSI = 0, +SC_CHARSET_DEFAULT = 1, +SC_CHARSET_BALTIC = 186, +
+  SC_CHARSET_CHINESEBIG5 = 136, +SC_CHARSET_EASTEUROPE = 238, +SC_CHARSET_GB2312 = 134, +
+  SC_CHARSET_GREEK = 161, +SC_CHARSET_HANGUL = 129, +SC_CHARSET_MAC = 77, +
+  SC_CHARSET_OEM = 255, +SC_CHARSET_RUSSIAN = 204, +SC_CHARSET_SHIFTJIS = 128, +
+  SC_CHARSET_SYMBOL = 2, +SC_CHARSET_TURKISH = 162, +SC_CHARSET_JOHAB = 130, +
+  SC_CHARSET_HEBREW = 177, +SC_CHARSET_ARABIC = 178, +SC_CHARSET_VIETNAMESE = 163, +
+  SC_CHARSET_THAI = 222, +SC_CHARSET_8859_15 = 1000 +
+ }
 
enum  { SC_CASE_MIXED = 0, +SC_CASE_UPPER = 1, +SC_CASE_LOWER = 2 + }
 
enum  {
+  SC_IV_NONE = 0, +SC_IV_REAL = 1, +SC_IV_LOOKFORWARD = 2, +
+  SC_IV_LOOKBOTH = 3 +
+ }
 
enum  {
+  INDIC_PLAIN = 0, +INDIC_SQUIGGLE = 1, +INDIC_TT = 2, +
+  INDIC_DIAGONAL = 3, +INDIC_STRIKE = 4, +INDIC_HIDDEN = 5, +
+  INDIC_BOX = 6, +INDIC_ROUNDBOX = 7, +INDIC_STRAIGHTBOX = 8, +
+  INDIC_DASH = 9, +INDIC_DOTS = 10, +INDIC_SQUIGGLELOW = 11, +
+  INDIC_DOTBOX = 12, +INDIC_SQUIGGLEPIXMAP = 13, +INDIC_COMPOSITIONTHICK = 14, +
+  INDIC_COMPOSITIONTHIN = 15, +INDIC_FULLBOX = 16, +INDIC_TEXTFORE = 17, +
+  INDIC_IME = 32, +INDIC_IME_MAX = 35, +INDIC_CONTAINER = 8, +
+  INDIC_MAX = 35, +INDIC0_MASK = 0x20, +INDIC1_MASK = 0x40, +
+  INDIC2_MASK = 0x80, +INDICS_MASK = 0xe0, +SC_INDICVALUEBIT = 0x01000000, +
+  SC_INDICVALUEMASK = 0x00ffffff, +SC_INDICFLAG_VALUEBEFORE = 1 +
+ }
 
enum  {
+  SC_PRINT_NORMAL = 0, +SC_PRINT_INVERTLIGHT = 1, +SC_PRINT_BLACKONWHITE = 2, +
+  SC_PRINT_COLOURONWHITE = 3, +SC_PRINT_COLOURONWHITEDEFAULTBG = 4 +
+ }
 
enum  {
+  SCFIND_WHOLEWORD = 2, +SCFIND_MATCHCASE = 4, +SCFIND_WORDSTART = 0x00100000, +
+  SCFIND_REGEXP = 0x00200000, +SCFIND_POSIX = 0x00400000, +SCFIND_CXX11REGEX = 0x00800000 +
+ }
 
enum  {
+  SC_FOLDLEVELBASE = 0x00400, +SC_FOLDLEVELWHITEFLAG = 0x01000, +SC_FOLDLEVELHEADERFLAG = 0x02000, +
+  SC_FOLDLEVELNUMBERMASK = 0x00fff +
+ }
 
enum  {
+  SC_FOLDFLAG_LINEBEFORE_EXPANDED = 0x0002, +SC_FOLDFLAG_LINEBEFORE_CONTRACTED = 0x0004, +SC_FOLDFLAG_LINEAFTER_EXPANDED = 0x0008, +
+  SC_FOLDFLAG_LINEAFTER_CONTRACTED = 0x0010, +SC_FOLDFLAG_LEVELNUMBERS = 0x0040, +SC_FOLDFLAG_LINESTATE = 0x0080 +
+ }
 
enum  { SC_LINE_END_TYPE_DEFAULT = 0, +SC_LINE_END_TYPE_UNICODE = 1 + }
 
enum  { SC_TIME_FOREVER = 10000000 + }
 
enum  {
+  SC_WRAP_NONE = 0, +SC_WRAP_WORD = 1, +SC_WRAP_CHAR = 2, +
+  SC_WRAP_WHITESPACE = 3 +
+ }
 
enum  { SC_WRAPINDENT_FIXED = 0, +SC_WRAPINDENT_SAME = 1, +SC_WRAPINDENT_INDENT = 2 + }
 
enum  {
+  SC_CACHE_NONE = 0, +SC_CACHE_CARET = 1, +SC_CACHE_PAGE = 2, +
+  SC_CACHE_DOCUMENT = 3 +
+ }
 
enum  { SC_PHASES_ONE = 0, +SC_PHASES_TWO = 1, +SC_PHASES_MULTIPLE = 2 + }
 
enum  {
+  ANNOTATION_HIDDEN = 0, +ANNOTATION_STANDARD = 1, +ANNOTATION_BOXED = 2, +
+  ANNOTATION_INDENTED = 3 +
+ }
 
enum  { EDGE_NONE = 0, +EDGE_LINE = 1, +EDGE_BACKGROUND = 2 + }
 
enum  {
+  SC_CURSORNORMAL = -1, +SC_CURSORARROW = 2, +SC_CURSORWAIT = 4, +
+  SC_CURSORREVERSEARROW = 7 +
+ }
 
enum  { UNDO_MAY_COALESCE = 1 + }
 
enum  { VISIBLE_SLOP = 0x01, +VISIBLE_STRICT = 0x04 + }
 
enum  {
+  CARET_SLOP = 0x01, +CARET_STRICT = 0x04, +CARET_JUMPS = 0x10, +
+  CARET_EVEN = 0x08 +
+ }
 
enum  { CARETSTYLE_INVISIBLE = 0, +CARETSTYLE_LINE = 1, +CARETSTYLE_BLOCK = 2 + }
 
enum  {
+  SC_MOD_INSERTTEXT = 0x1, +SC_MOD_DELETETEXT = 0x2, +SC_MOD_CHANGESTYLE = 0x4, +
+  SC_MOD_CHANGEFOLD = 0x8, +SC_PERFORMED_USER = 0x10, +SC_PERFORMED_UNDO = 0x20, +
+  SC_PERFORMED_REDO = 0x40, +SC_MULTISTEPUNDOREDO = 0x80, +SC_LASTSTEPINUNDOREDO = 0x100, +
+  SC_MOD_CHANGEMARKER = 0x200, +SC_MOD_BEFOREINSERT = 0x400, +SC_MOD_BEFOREDELETE = 0x800, +
+  SC_MULTILINEUNDOREDO = 0x1000, +SC_STARTACTION = 0x2000, +SC_MOD_CHANGEINDICATOR = 0x4000, +
+  SC_MOD_CHANGELINESTATE = 0x8000, +SC_MOD_CHANGEMARGIN = 0x10000, +SC_MOD_CHANGEANNOTATION = 0x20000, +
+  SC_MOD_CONTAINER = 0x40000, +SC_MOD_LEXERSTATE = 0x80000, +SC_MOD_INSERTCHECK = 0x100000, +
+  SC_MOD_CHANGETABSTOPS = 0x200000, +SC_MODEVENTMASKALL = 0x3fffff +
+ }
 
enum  {
+  SCK_DOWN = 300, +SCK_UP = 301, +SCK_LEFT = 302, +
+  SCK_RIGHT = 303, +SCK_HOME = 304, +SCK_END = 305, +
+  SCK_PRIOR = 306, +SCK_NEXT = 307, +SCK_DELETE = 308, +
+  SCK_INSERT = 309, +SCK_ESCAPE = 7, +SCK_BACK = 8, +
+  SCK_TAB = 9, +SCK_RETURN = 13, +SCK_ADD = 310, +
+  SCK_SUBTRACT = 311, +SCK_DIVIDE = 312, +SCK_WIN = 313, +
+  SCK_RWIN = 314, +SCK_MENU = 315 +
+ }
 
enum  {
+  SCMOD_NORM = 0, +SCMOD_SHIFT = 1, +SCMOD_CTRL = 2, +
+  SCMOD_ALT = 4, +SCMOD_SUPER = 8, +SCMOD_META = 16 +
+ }
 
enum  {
+  SCLEX_CONTAINER = 0, +SCLEX_NULL = 1, +SCLEX_PYTHON = 2, +
+  SCLEX_CPP = 3, +SCLEX_HTML = 4, +SCLEX_XML = 5, +
+  SCLEX_PERL = 6, +SCLEX_SQL = 7, +SCLEX_VB = 8, +
+  SCLEX_PROPERTIES = 9, +SCLEX_ERRORLIST = 10, +SCLEX_MAKEFILE = 11, +
+  SCLEX_BATCH = 12, +SCLEX_LATEX = 14, +SCLEX_LUA = 15, +
+  SCLEX_DIFF = 16, +SCLEX_CONF = 17, +SCLEX_PASCAL = 18, +
+  SCLEX_AVE = 19, +SCLEX_ADA = 20, +SCLEX_LISP = 21, +
+  SCLEX_RUBY = 22, +SCLEX_EIFFEL = 23, +SCLEX_EIFFELKW = 24, +
+  SCLEX_TCL = 25, +SCLEX_NNCRONTAB = 26, +SCLEX_BULLANT = 27, +
+  SCLEX_VBSCRIPT = 28, +SCLEX_ASP = SCLEX_HTML, +SCLEX_PHP = SCLEX_HTML, +
+  SCLEX_BAAN = 31, +SCLEX_MATLAB = 32, +SCLEX_SCRIPTOL = 33, +
+  SCLEX_ASM = 34, +SCLEX_CPPNOCASE = 35, +SCLEX_FORTRAN = 36, +
+  SCLEX_F77 = 37, +SCLEX_CSS = 38, +SCLEX_POV = 39, +
+  SCLEX_LOUT = 40, +SCLEX_ESCRIPT = 41, +SCLEX_PS = 42, +
+  SCLEX_NSIS = 43, +SCLEX_MMIXAL = 44, +SCLEX_CLW = 45, +
+  SCLEX_CLWNOCASE = 46, +SCLEX_LOT = 47, +SCLEX_YAML = 48, +
+  SCLEX_TEX = 49, +SCLEX_METAPOST = 50, +SCLEX_POWERBASIC = 51, +
+  SCLEX_FORTH = 52, +SCLEX_ERLANG = 53, +SCLEX_OCTAVE = 54, +
+  SCLEX_MSSQL = 55, +SCLEX_VERILOG = 56, +SCLEX_KIX = 57, +
+  SCLEX_GUI4CLI = 58, +SCLEX_SPECMAN = 59, +SCLEX_AU3 = 60, +
+  SCLEX_APDL = 61, +SCLEX_BASH = 62, +SCLEX_ASN1 = 63, +
+  SCLEX_VHDL = 64, +SCLEX_CAML = 65, +SCLEX_BLITZBASIC = 66, +
+  SCLEX_PUREBASIC = 67, +SCLEX_HASKELL = 68, +SCLEX_PHPSCRIPT = 69, +
+  SCLEX_TADS3 = 70, +SCLEX_REBOL = 71, +SCLEX_SMALLTALK = 72, +
+  SCLEX_FLAGSHIP = 73, +SCLEX_CSOUND = 74, +SCLEX_FREEBASIC = 75, +
+  SCLEX_INNOSETUP = 76, +SCLEX_OPAL = 77, +SCLEX_SPICE = 78, +
+  SCLEX_D = 79, +SCLEX_CMAKE = 80, +SCLEX_GAP = 81, +
+  SCLEX_PLM = 82, +SCLEX_PROGRESS = 83, +SCLEX_ABAQUS = 84, +
+  SCLEX_ASYMPTOTE = 85, +SCLEX_R = 86, +SCLEX_MAGIK = 87, +
+  SCLEX_POWERSHELL = 88, +SCLEX_MYSQL = 89, +SCLEX_PO = 90, +
+  SCLEX_TAL = 91, +SCLEX_COBOL = 92, +SCLEX_TACL = 93, +
+  SCLEX_SORCUS = 94, +SCLEX_POWERPRO = 95, +SCLEX_NIMROD = 96, +
+  SCLEX_SML = 97, +SCLEX_MARKDOWN = 98, +SCLEX_TXT2TAGS = 99, +
+  SCLEX_A68K = 100, +SCLEX_MODULA = 101, +SCLEX_COFFEESCRIPT = 102, +
+  SCLEX_TCMD = 103, +SCLEX_AVS = 104, +SCLEX_ECL = 105, +
+  SCLEX_OSCRIPT = 106, +SCLEX_VISUALPROLOG = 107, +SCLEX_LITERATEHASKELL = 108, +
+  SCLEX_STTXT = 109, +SCLEX_KVIRC = 110, +SCLEX_RUST = 111, +
+  SCLEX_DMAP = 112, +SCLEX_AS = 113, +SCLEX_DMIS = 114, +
+  SCLEX_REGISTRY = 115, +SCLEX_BIBTEX = 116, +SCLEX_SREC = 117, +
+  SCLEX_IHEX = 118, +SCLEX_TEHEX = 119 +
+ }
 
enum  { SC_WEIGHT_NORMAL = 400, +SC_WEIGHT_SEMIBOLD = 600, +SC_WEIGHT_BOLD = 700 + }
 
enum  {
+  SC_TECHNOLOGY_DEFAULT = 0, +SC_TECHNOLOGY_DIRECTWRITE = 1, +SC_TECHNOLOGY_DIRECTWRITERETAIN = 2, +
+  SC_TECHNOLOGY_DIRECTWRITEDC = 3 +
+ }
 
enum  { SC_CASEINSENSITIVEBEHAVIOUR_RESPECTCASE = 0, +SC_CASEINSENSITIVEBEHAVIOUR_IGNORECASE = 1 + }
 
enum  { SC_FONT_SIZE_MULTIPLIER = 100 + }
 
enum  { SC_FOLDACTION_CONTRACT = 0, +SC_FOLDACTION_EXPAND = 1, +SC_FOLDACTION_TOGGLE = 2 + }
 
enum  { SC_AUTOMATICFOLD_SHOW = 0x0001, +SC_AUTOMATICFOLD_CLICK = 0x0002, +SC_AUTOMATICFOLD_CHANGE = 0x0004 + }
 
enum  { SC_ORDER_PRESORTED = 0, +SC_ORDER_PERFORMSORT = 1, +SC_ORDER_CUSTOM = 2 + }
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Signals

void QSCN_SELCHANGED (bool yes)
 
void SCN_AUTOCCANCELLED ()
 
void SCN_AUTOCCHARDELETED ()
 
void SCN_AUTOCSELECTION (const char *selection, int position)
 
+void SCEN_CHANGE ()
 
void SCN_CALLTIPCLICK (int direction)
 
void SCN_CHARADDED (int charadded)
 
void SCN_DOUBLECLICK (int position, int line, int modifiers)
 
+void SCN_DWELLEND (int, int, int)
 
+void SCN_DWELLSTART (int, int, int)
 
+void SCN_FOCUSIN ()
 
+void SCN_FOCUSOUT ()
 
void SCN_HOTSPOTCLICK (int position, int modifiers)
 
void SCN_HOTSPOTDOUBLECLICK (int position, int modifiers)
 
void SCN_HOTSPOTRELEASECLICK (int position, int modifiers)
 
void SCN_INDICATORCLICK (int position, int modifiers)
 
void SCN_INDICATORRELEASE (int position, int modifiers)
 
void SCN_MACRORECORD (unsigned int, unsigned long, void *)
 
void SCN_MARGINCLICK (int position, int modifiers, int margin)
 
+void SCN_MODIFIED (int, int, const char *, int, int, int, int, int, int, int)
 
void SCN_MODIFYATTEMPTRO ()
 
+void SCN_NEEDSHOWN (int, int)
 
void SCN_PAINTED ()
 
void SCN_SAVEPOINTLEFT ()
 
void SCN_SAVEPOINTREACHED ()
 
void SCN_STYLENEEDED (int position)
 
void SCN_UPDATEUI (int updated)
 
+void SCN_USERLISTSELECTION (const char *, int)
 
+void SCN_ZOOM ()
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

QsciScintillaBase (QWidget *parent=0)
 
+virtual ~QsciScintillaBase ()
 
long SendScintilla (unsigned int msg, unsigned long wParam=0, long lParam=0) const
 
long SendScintilla (unsigned int msg, unsigned long wParam, void *lParam) const
 
long SendScintilla (unsigned int msg, unsigned long wParam, const char *lParam) const
 
long SendScintilla (unsigned int msg, const char *lParam) const
 
long SendScintilla (unsigned int msg, const char *wParam, const char *lParam) const
 
long SendScintilla (unsigned int msg, long wParam) const
 
long SendScintilla (unsigned int msg, int wParam) const
 
long SendScintilla (unsigned int msg, long cpMin, long cpMax, char *lpstrText) const
 
long SendScintilla (unsigned int msg, unsigned long wParam, const QColor &col) const
 
long SendScintilla (unsigned int msg, const QColor &col) const
 
long SendScintilla (unsigned int msg, unsigned long wParam, QPainter *hdc, const QRect &rc, long cpMin, long cpMax) const
 
long SendScintilla (unsigned int msg, unsigned long wParam, const QPixmap &lParam) const
 
long SendScintilla (unsigned int msg, unsigned long wParam, const QImage &lParam) const
 
+void * SendScintillaPtrResult (unsigned int msg) const
 
+ + + + + +

+Static Public Member Functions

static QsciScintillaBasepool ()
 
+static int commandKey (int qt_key, int &modifiers)
 
+ + + +

+Protected Types

+typedef QByteArray ScintillaBytes
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Protected Member Functions

virtual bool canInsertFromMimeData (const QMimeData *source) const
 
virtual QByteArray fromMimeData (const QMimeData *source, bool &rectangular) const
 
virtual QMimeData * toMimeData (const QByteArray &text, bool rectangular) const
 
+virtual void contextMenuEvent (QContextMenuEvent *e)
 
+virtual void dragEnterEvent (QDragEnterEvent *e)
 
+virtual void dragLeaveEvent (QDragLeaveEvent *e)
 
+virtual void dragMoveEvent (QDragMoveEvent *e)
 
+virtual void dropEvent (QDropEvent *e)
 
+virtual void focusInEvent (QFocusEvent *e)
 
+virtual void focusOutEvent (QFocusEvent *e)
 
+virtual bool focusNextPrevChild (bool next)
 
+virtual void keyPressEvent (QKeyEvent *e)
 
+virtual void inputMethodEvent (QInputMethodEvent *event)
 
+virtual QVariant inputMethodQuery (Qt::InputMethodQuery query) const
 
+virtual void mouseDoubleClickEvent (QMouseEvent *e)
 
+virtual void mouseMoveEvent (QMouseEvent *e)
 
+virtual void mousePressEvent (QMouseEvent *e)
 
+virtual void mouseReleaseEvent (QMouseEvent *e)
 
+virtual void paintEvent (QPaintEvent *e)
 
+virtual void resizeEvent (QResizeEvent *e)
 
+virtual void scrollContentsBy (int dx, int dy)
 
+void setScrollBars ()
 
+ScintillaBytes textAsBytes (const QString &text) const
 
+QString bytesAsText (const char *bytes) const
 
+

Detailed Description

The QsciScintillaBase class implements the Scintilla editor widget and its low-level API.

Scintilla (http://www.scintilla.org) is a powerful C++ editor class that supports many features including syntax styling, error indicators, code completion and call tips. It is particularly useful as a programmer's editor.

QsciScintillaBase is a port to Qt of Scintilla. It implements the standard Scintilla API which consists of a number of messages each taking up to two arguments.

See QsciScintilla for the implementation of a higher level API that is more consistent with the rest of the Qt toolkit.

-

Member Enumeration Documentation

- +

Member Enumeration Documentation

+
@@ -1625,205 +1845,194 @@
anonymous enum
-
-
+

The low-level Scintilla API is implemented as a set of messages each of which takes up to two parameters (wParam and lParam) and optionally return a value. This enum defines all the possible messages.

-
Enumerator:
-
SCI_ADDTEXT  + + - - - + + - - - - - - - - - - - - - - - - - - - - - - - - - - - + - - - - - - - - - - - + - - - - - - - -
Enumerator
SCI_ADDTEXT 

This message appends some text to the end of the document. wParam is the length of the text. lParam is the text to be appended.

SCI_GETCURRENTPOS  -

This message returns the current position.

-
See also:
SCI_SETCURRENTPOS
-
SCI_GETANCHOR  -

This message returns the anchor.

-
See also:
SCI_SETANCHOR
-
SCI_SETSAVEPOINT  +
SCI_GETCURRENTPOS  +

This message returns the current position.

    \sa SCI_SETCURRENTPOS  
SCI_GETANCHOR  +

This message returns the anchor.

    \sa SCI_SETANCHOR  
SCI_SETSAVEPOINT 

This message marks the current state of the text as the the save point. This is usually done when the text is saved or loaded.

-
See also:
SCN_SAVEPOINTREACHED(), SCN_SAVEPOINTLEFT()
+
See also
SCN_SAVEPOINTREACHED(), SCN_SAVEPOINTLEFT()
SCI_MARKERLINEFROMHANDLE  +
SCI_MARKERLINEFROMHANDLE 

This message returns the line that contains a particular instance of a marker. wParam is the handle of the marker.

-
See also:
SCI_MARKERADD
+
See also
SCI_MARKERADD
SCI_MARKERDELETEHANDLE  +
SCI_MARKERDELETEHANDLE 

This message removes a particular instance of a marker. wParam is the handle of the marker.

-
See also:
SCI_MARKERADD
+
See also
SCI_MARKERADD
SCI_GOTOPOS  +
SCI_GOTOPOS 

This message clears the current selection and sets the current position. wParam is the new current position.

-
See also:
SCI_SETCURRENTPOS
+
See also
SCI_SETCURRENTPOS
SCI_SETANCHOR  +
SCI_SETANCHOR 

This message sets the anchor. wParam is the new anchor.

-
See also:
SCI_GETANCHOR
+
See also
SCI_GETANCHOR
SCI_GETENDSTYLED  +
SCI_GETENDSTYLED 

This message returns the character position of the start of the text that needs to be syntax styled.

-
See also:
SCN_STYLENEEDED()
+
See also
SCN_STYLENEEDED()
SCI_MARKERDEFINE  +
SCI_MARKERDEFINE 

This message sets the symbol used to draw one of 32 markers. Some markers have pre-defined uses, see the SC_MARKNUM_* values. wParam is the number of the marker. lParam is the marker symbol and is one of the SC_MARK_* values.

-
See also:
SCI_MARKERADD, SCI_MARKERDEFINEPIXMAP, SCI_MARKERDEFINERGBAIMAGE
+
See also
SCI_MARKERADD, SCI_MARKERDEFINEPIXMAP, SCI_MARKERDEFINERGBAIMAGE
SCI_MARKERSETFORE  +
SCI_MARKERSETFORE 

This message sets the foreground colour used to draw a marker. A colour is represented as a 24 bit value. The 8 least significant bits correspond to red, the middle 8 bits correspond to green, and the 8 most significant bits correspond to blue. The default value is 0x000000. wParam is the number of the marker. lParam is the colour.

-
See also:
SCI_MARKERSETBACK
+
See also
SCI_MARKERSETBACK
SCI_MARKERSETBACK  +
SCI_MARKERSETBACK 

This message sets the background colour used to draw a marker. A colour is represented as a 24 bit value. The 8 least significant bits correspond to red, the middle 8 bits correspond to green, and the 8 most significant bits correspond to blue. The default value is 0xffffff. wParam is the number of the marker. lParam is the colour.

-
See also:
SCI_MARKERSETFORE
+
See also
SCI_MARKERSETFORE
SCI_MARKERADD  +
SCI_MARKERADD 

This message adds a marker to a line. A handle for the marker is returned which can be used to track the marker's position. wParam is the line number. lParam is the number of the marker.

-
See also:
SCI_MARKERDELETE, SCI_MARKERDELETEALL, SCI_MARKERDELETEHANDLE
+
See also
SCI_MARKERDELETE, SCI_MARKERDELETEALL, SCI_MARKERDELETEHANDLE
SCI_MARKERDELETE  +
SCI_MARKERDELETE 

This message deletes a marker from a line. wParam is the line number. lParam is the number of the marker.

-
See also:
SCI_MARKERADD, SCI_MARKERDELETEALL
+
See also
SCI_MARKERADD, SCI_MARKERDELETEALL
SCI_MARKERDELETEALL  +
SCI_MARKERDELETEALL 

This message deletes all occurences of a marker. wParam is the number of the marker. If wParam is -1 then all markers are removed.

-
See also:
SCI_MARKERADD, SCI_MARKERDELETE
+
See also
SCI_MARKERADD, SCI_MARKERDELETE
SCI_MARKERGET  +
SCI_MARKERGET 

This message returns the 32 bit mask of markers at a line. wParam is the line number.

SCI_MARKERNEXT  +
SCI_MARKERNEXT 

This message looks for the next line to contain at least one marker contained in a 32 bit mask of markers and returns the line number. wParam is the line number to start the search from. lParam is the mask of markers to search for.

-
See also:
SCI_MARKERPREVIOUS
+
See also
SCI_MARKERPREVIOUS
SCI_MARKERPREVIOUS  +
SCI_MARKERPREVIOUS 

This message looks for the previous line to contain at least one marker contained in a 32 bit mask of markers and returns the line number. wParam is the line number to start the search from. lParam is the mask of markers to search for.

-
See also:
SCI_MARKERNEXT
+
See also
SCI_MARKERNEXT
SCI_MARKERDEFINEPIXMAP  +
SCI_MARKERDEFINEPIXMAP 

This message sets the symbol used to draw one of the 32 markers to a pixmap. Pixmaps use the SC_MARK_PIXMAP marker symbol. wParam is the number of the marker. lParam is a pointer to a QPixmap instance. Note that in other ports of Scintilla this is a pointer to either raw or textual XPM image data.

-
See also:
SCI_MARKERDEFINE, SCI_MARKERDEFINERGBAIMAGE
+
See also
SCI_MARKERDEFINE, SCI_MARKERDEFINERGBAIMAGE
SCI_SETMARGINTYPEN  +
SCI_SETMARGINTYPEN 

This message sets what can be displayed in a margin. wParam is the number of the margin. lParam is the logical or of the SC_MARGIN_* values.

-
See also:
SCI_GETMARGINTYPEN
+
See also
SCI_GETMARGINTYPEN
SCI_GETMARGINTYPEN  +
SCI_GETMARGINTYPEN 

This message returns what can be displayed in a margin. wParam is the number of the margin.

-
See also:
SCI_SETMARGINTYPEN
+
See also
SCI_SETMARGINTYPEN
SCI_SETMARGINWIDTHN  +
SCI_SETMARGINWIDTHN 

This message sets the width of a margin in pixels. wParam is the number of the margin. lParam is the new margin width.

-
See also:
SCI_GETMARGINWIDTHN
+
See also
SCI_GETMARGINWIDTHN
SCI_GETMARGINWIDTHN  +
SCI_GETMARGINWIDTHN 

This message returns the width of a margin in pixels. wParam is the number of the margin.

-
See also:
SCI_SETMARGINWIDTHN
+
See also
SCI_SETMARGINWIDTHN
SCI_SETMARGINMASKN  +
SCI_SETMARGINMASKN 

This message sets the mask of a margin. The mask is a 32 value with one bit for each possible marker. If a bit is set then the corresponding marker is displayed. By default, all markers are displayed. wParam is the number of the margin. lParam is the new margin mask.

-
See also:
SCI_GETMARGINMASKN, SCI_MARKERDEFINE
+
See also
SCI_GETMARGINMASKN, SCI_MARKERDEFINE
SCI_GETMARGINMASKN  +
SCI_GETMARGINMASKN 

This message returns the mask of a margin. wParam is the number of the margin.

-
See also:
SCI_SETMARGINMASKN
+
See also
SCI_SETMARGINMASKN
SCI_SETMARGINSENSITIVEN  +
SCI_SETMARGINSENSITIVEN 

This message sets the sensitivity of a margin to mouse clicks. wParam is the number of the margin. lParam is non-zero to make the margin sensitive to mouse clicks. When the mouse is clicked the SCN_MARGINCLICK() signal is emitted.

-
See also:
SCI_GETMARGINSENSITIVEN, SCN_MARGINCLICK()
+
See also
SCI_GETMARGINSENSITIVEN, SCN_MARGINCLICK()
SCI_GETMARGINSENSITIVEN  +
SCI_GETMARGINSENSITIVEN 

This message returns the sensitivity of a margin to mouse clicks. wParam is the number of the margin.

-
See also:
SCI_SETMARGINSENSITIVEN, SCN_MARGINCLICK()
+
See also
SCI_SETMARGINSENSITIVEN, SCN_MARGINCLICK()
SCI_SETMARGINCURSORN  +
SCI_SETMARGINCURSORN 

This message sets the cursor shape displayed over a margin. wParam is the number of the margin. lParam is the cursor shape, normally either SC_CURSORARROW or SC_CURSORREVERSEARROW. Note that, currently, QScintilla implements both of these as Qt::ArrowCursor.

-
See also:
SCI_GETMARGINCURSORN
+
See also
SCI_GETMARGINCURSORN
SCI_GETMARGINCURSORN  +
SCI_GETMARGINCURSORN 

This message returns the cursor shape displayed over a margin. wParam is the number of the margin.

-
See also:
SCI_SETMARGINCURSORN
+
See also
SCI_SETMARGINCURSORN
SCI_GETREADONLY  -

This message returns a non-zero value if the document is read-only.

-
See also:
SCI_SETREADONLY
-
SCI_SETCURRENTPOS  +
SCI_GETREADONLY  +

This message returns a non-zero value if the document is read-only.

    \sa SCI_SETREADONLY  
SCI_SETCURRENTPOS 

This message sets the current position. wParam is the new current position.

-
See also:
SCI_GETCURRENTPOS
+
See also
SCI_GETCURRENTPOS
SCI_GETMODIFY  +
SCI_GETMODIFY 

This message returns a non-zero value if the document has been modified.

SCI_SETREADONLY  +
SCI_SETREADONLY 

This message sets the read-only state of the document. wParam is the new read-only state of the document.

-
See also:
SCI_GETREADONLY
+
See also
SCI_GETREADONLY
SCI_EMPTYUNDOBUFFER  +
SCI_EMPTYUNDOBUFFER 

This message empties the undo buffer.

SCI_SETTEXT  +
SCI_SETTEXT 

This message sets the text of the document. wParam is unused. lParam is the new text of the document.

-
See also:
SCI_GETTEXT
+
See also
SCI_GETTEXT
SCI_GETTEXT  +
SCI_GETTEXT 

This message gets the text of the document. wParam is size of the buffer that the text is copied to. lParam is the address of the buffer that the text is copied to.

-
See also:
SCI_SETTEXT
+
See also
SCI_SETTEXT
SCI_GETTEXTLENGTH  +
SCI_GETTEXTLENGTH 

This message returns the length of the document.

SCI_AUTOCSETMAXHEIGHT  +
SCI_AUTOCSETMAXHEIGHT 

This message is not implemented.

SCI_TEXTWIDTH  +
SCI_TEXTWIDTH 

This message returns the width of some text when rendered in a particular style. wParam is the style number and is one of the STYLE_* values or one of the styles defined by a lexer. lParam is a pointer to the text.

SCI_REGISTERIMAGE  +
SCI_REGISTERIMAGE 

This message takes a copy of an image and registers it so that it can be refered to by a unique integer identifier. wParam is the image's identifier. lParam is a pointer to a QPixmap instance. Note that in other ports of Scintilla this is a pointer to either raw or textual XPM image data.

-
See also:
SCI_CLEARREGISTEREDIMAGES, SCI_REGISTERRGBAIMAGE
-
SCI_CLEARREGISTEREDIMAGES  -

This message de-registers all currently registered images.

-
See also:
SCI_REGISTERIMAGE, SCI_REGISTERRGBAIMAGE
+
See also
SCI_CLEARREGISTEREDIMAGES, SCI_REGISTERRGBAIMAGE
SCI_COPYALLOWLINE  +
SCI_CLEARREGISTEREDIMAGES  +

This message de-registers all currently registered images.

    \sa SCI_REGISTERIMAGE, SCI_REGISTERRGBAIMAGE  
SCI_COPYALLOWLINE 

This message copies the selection. If the selection is empty then copy the line with the caret.

SCI_GETCHARACTERPOINTER  +
SCI_GETCHARACTERPOINTER 

This message returns a pointer to the document text. Any subsequent message will invalidate the pointer.

SCI_RGBAIMAGESETWIDTH  +
SCI_RGBAIMAGESETWIDTH 

This message sets the width of an RGBA image specified by a future call to SCI_MARKERDEFINERGBAIMAGE or SCI_REGISTERRGBAIMAGE.

-
See also:
SCI_RGBAIMAGESETHEIGHT, SCI_MARKERDEFINERGBAIMAGE, SCI_REGISTERRGBAIMAGE.
+
See also
SCI_RGBAIMAGESETHEIGHT, SCI_MARKERDEFINERGBAIMAGE, SCI_REGISTERRGBAIMAGE.
SCI_RGBAIMAGESETHEIGHT  +
SCI_RGBAIMAGESETHEIGHT 

This message sets the height of an RGBA image specified by a future call to SCI_MARKERDEFINERGBAIMAGE or SCI_REGISTERRGBAIMAGE.

-
See also:
SCI_RGBAIMAGESETWIDTH, SCI_MARKERDEFINERGBAIMAGE, SCI_REGISTERRGBAIMAGE.
+
See also
SCI_RGBAIMAGESETWIDTH, SCI_MARKERDEFINERGBAIMAGE, SCI_REGISTERRGBAIMAGE.
SCI_MARKERDEFINERGBAIMAGE  +
SCI_MARKERDEFINERGBAIMAGE 

This message sets the symbol used to draw one of the 32 markers to an RGBA image. RGBA images use the SC_MARK_RGBAIMAGE marker symbol. wParam is the number of the marker. lParam is a pointer to a QImage instance. Note that in other ports of Scintilla this is a pointer to raw RGBA image data.

-
See also:
SCI_MARKERDEFINE, SCI_MARKERDEFINEPIXMAP
+
See also
SCI_MARKERDEFINE, SCI_MARKERDEFINEPIXMAP
SCI_REGISTERRGBAIMAGE  +
SCI_REGISTERRGBAIMAGE 

This message takes a copy of an image and registers it so that it can be refered to by a unique integer identifier. wParam is the image's identifier. lParam is a pointer to a QImage instance. Note that in other ports of Scintilla this is a pointer to raw RGBA image data.

-
See also:
SCI_CLEARREGISTEREDIMAGES, SCI_REGISTERIMAGE
+
See also
SCI_CLEARREGISTEREDIMAGES, SCI_REGISTERIMAGE
SCI_SETLEXER  +
SCI_SETLEXER 

This message sets the number of the lexer to use for syntax styling. wParam is the number of the lexer and is one of the SCLEX_* values.

SCI_GETLEXER  +
SCI_GETLEXER 

This message returns the number of the lexer being used for syntax styling.

SCI_SETLEXERLANGUAGE  +
SCI_SETLEXERLANGUAGE 

This message sets the name of the lexer to use for syntax styling. wParam is unused. lParam is the name of the lexer.

- - - +
@@ -1831,14 +2040,13 @@
anonymous enum
-
-
+

This enum defines the different selection modes.

-
See also:
SCI_GETSELECTIONMODE, SCI_SETSELECTIONMODE
+
See also
SCI_GETSELECTIONMODE, SCI_SETSELECTIONMODE
- +
@@ -1846,114 +2054,114 @@
anonymous enum
-
-
+

This enum defines the different marker symbols.

-
See also:
SCI_MARKERDEFINE
-
Enumerator:
-
SC_MARK_CIRCLE  +
See also
SCI_MARKERDEFINE
+ + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - +
Enumerator
SC_MARK_CIRCLE 

A circle.

SC_MARK_ROUNDRECT  +
SC_MARK_ROUNDRECT 

A rectangle.

SC_MARK_ARROW  +
SC_MARK_ARROW 

A triangle pointing to the right.

SC_MARK_SMALLRECT  +
SC_MARK_SMALLRECT 

A smaller rectangle.

SC_MARK_SHORTARROW  +
SC_MARK_SHORTARROW 

An arrow pointing to the right.

SC_MARK_EMPTY  +
SC_MARK_EMPTY 

An invisible marker that allows code to track the movement of lines.

SC_MARK_ARROWDOWN  +
SC_MARK_ARROWDOWN 

A triangle pointing down.

SC_MARK_MINUS  +
SC_MARK_MINUS 

A drawn minus sign.

SC_MARK_PLUS  +
SC_MARK_PLUS 

A drawn plus sign.

SC_MARK_VLINE  +
SC_MARK_VLINE 

A vertical line drawn in the background colour.

SC_MARK_LCORNER  +
SC_MARK_LCORNER 

A bottom left corner drawn in the background colour.

SC_MARK_TCORNER  +
SC_MARK_TCORNER 

A vertical line with a centre right horizontal line drawn in the background colour.

SC_MARK_BOXPLUS  +
SC_MARK_BOXPLUS 

A drawn plus sign in a box.

SC_MARK_BOXPLUSCONNECTED  +
SC_MARK_BOXPLUSCONNECTED 

A drawn plus sign in a connected box.

SC_MARK_BOXMINUS  +
SC_MARK_BOXMINUS 

A drawn minus sign in a box.

SC_MARK_BOXMINUSCONNECTED  +
SC_MARK_BOXMINUSCONNECTED 

A drawn minus sign in a connected box.

SC_MARK_LCORNERCURVE  +
SC_MARK_LCORNERCURVE 

A rounded bottom left corner drawn in the background colour.

SC_MARK_TCORNERCURVE  +
SC_MARK_TCORNERCURVE 

A vertical line with a centre right curved line drawn in the background colour.

SC_MARK_CIRCLEPLUS  +
SC_MARK_CIRCLEPLUS 

A drawn plus sign in a circle.

SC_MARK_CIRCLEPLUSCONNECTED  +
SC_MARK_CIRCLEPLUSCONNECTED 

A drawn plus sign in a connected box.

SC_MARK_CIRCLEMINUS  +
SC_MARK_CIRCLEMINUS 

A drawn minus sign in a circle.

SC_MARK_CIRCLEMINUSCONNECTED  +
SC_MARK_CIRCLEMINUSCONNECTED 

A drawn minus sign in a connected circle.

SC_MARK_BACKGROUND  +
SC_MARK_BACKGROUND 

No symbol is drawn but the line is drawn with the same background color as the marker's.

SC_MARK_DOTDOTDOT  +
SC_MARK_DOTDOTDOT 

Three drawn dots.

SC_MARK_ARROWS  +
SC_MARK_ARROWS 

Three drawn arrows pointing right.

SC_MARK_PIXMAP  +
SC_MARK_PIXMAP 

An XPM format pixmap.

SC_MARK_FULLRECT  +
SC_MARK_FULLRECT 

A full rectangle (ie. the margin background) using the marker's background color.

SC_MARK_LEFTRECT  +
SC_MARK_LEFTRECT 

A left rectangle (ie. the left part of the margin background) using the marker's background color.

SC_MARK_AVAILABLE  +
SC_MARK_AVAILABLE 

The value is available for plugins to use.

SC_MARK_UNDERLINE  +
SC_MARK_UNDERLINE 

The line is underlined using the marker's background color.

SC_MARK_RGBAIMAGE  +
SC_MARK_RGBAIMAGE 

A RGBA format image.

SC_MARK_CHARACTER  +
SC_MARK_BOOKMARK  +

A bookmark.

+
SC_MARK_CHARACTER 

Characters can be used as symbols by adding this to the ASCII value of the character.

- - - +
@@ -1961,36 +2169,33 @@
anonymous enum
-
-
+

This enum defines what can be displayed in a margin.

-
See also:
SCI_GETMARGINTYPEN, SCI_SETMARGINTYPEN
-
Enumerator:
-
SC_MARGIN_SYMBOL  +
See also
SCI_GETMARGINTYPEN, SCI_SETMARGINTYPEN
+ + - - - - -
Enumerator
SC_MARGIN_SYMBOL 

The margin can display symbols. Note that all margins can display symbols.

SC_MARGIN_NUMBER  +
SC_MARGIN_NUMBER 

The margin will display line numbers.

SC_MARGIN_BACK  +
SC_MARGIN_BACK 

The margin's background color will be set to the default background color.

SC_MARGIN_FORE  +
SC_MARGIN_FORE 

The margin's background color will be set to the default foreground color.

SC_MARGIN_TEXT  +
SC_MARGIN_TEXT 

The margin will display text.

SC_MARGIN_RTEXT  +
SC_MARGIN_RTEXT 

The margin will display right justified text.

- - - +
@@ -1998,30 +2203,27 @@
anonymous enum
-
-
+

This enum defines the different indentation guide views.

-
See also:
SCI_GETINDENTATIONGUIDES, SCI_SETINDENTATIONGUIDES
-
Enumerator:
-
SC_IV_NONE  +
See also
SCI_GETINDENTATIONGUIDES, SCI_SETINDENTATIONGUIDES
+ + - - -
Enumerator
SC_IV_NONE 

No indentation guides are shown.

SC_IV_REAL  +
SC_IV_REAL 

Indentation guides are shown inside real indentation white space.

SC_IV_LOOKFORWARD  +
SC_IV_LOOKFORWARD 

Indentation guides are shown beyond the actual indentation up to the level of the next non-empty line. If the previous non-empty line was a fold header then indentation guides are shown for one more level of indent than that line. This setting is good for Python.

SC_IV_LOOKBOTH  +
SC_IV_LOOKBOTH 

Indentation guides are shown beyond the actual indentation up to the level of the next non-empty line or previous non-empty line whichever is the greater. This setting is good for most languages.

- - - +
@@ -2029,36 +2231,33 @@
anonymous enum
-
-
+

This enum defines the different modifier keys.

-
Enumerator:
-
SCMOD_NORM  + + - - - - -
Enumerator
SCMOD_NORM 

No modifier key.

SCMOD_SHIFT  +
SCMOD_SHIFT 

Shift key.

SCMOD_CTRL  +
SCMOD_CTRL 

Control key (the Command key on OS/X, the Ctrl key on other platforms).

SCMOD_ALT  +
SCMOD_ALT 

Alt key.

SCMOD_SUPER  +
SCMOD_SUPER 

This is the same as SCMOD_META on all platforms.

SCMOD_META  +
SCMOD_META 

Meta key (the Ctrl key on OS/X, the Windows key on other platforms).

- - - +
@@ -2066,369 +2265,397 @@
anonymous enum
-
-
+

This enum defines the different language lexers.

-
See also:
SCI_GETLEXER, SCI_SETLEXER
-
Enumerator:
-
SCLEX_CONTAINER  +
See also
SCI_GETLEXER, SCI_SETLEXER
+ + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + +
Enumerator
SCLEX_CONTAINER 

No lexer is selected and the SCN_STYLENEEDED signal is emitted so that the application can style the text as needed. This is the default.

SCLEX_NULL  +
SCLEX_NULL 

Select the null lexer that does no syntax styling.

SCLEX_PYTHON  +
SCLEX_PYTHON 

Select the Python lexer.

SCLEX_CPP  +
SCLEX_CPP 

Select the C++ lexer.

SCLEX_HTML  +
SCLEX_HTML 

Select the HTML lexer.

SCLEX_XML  +
SCLEX_XML 

Select the XML lexer.

SCLEX_PERL  +
SCLEX_PERL 

Select the Perl lexer.

SCLEX_SQL  +
SCLEX_SQL 

Select the SQL lexer.

SCLEX_VB  +
SCLEX_VB 

Select the Visual Basic lexer.

SCLEX_PROPERTIES  +
SCLEX_PROPERTIES 

Select the lexer for properties style files.

SCLEX_ERRORLIST  +
SCLEX_ERRORLIST 

Select the lexer for error list style files.

SCLEX_MAKEFILE  +
SCLEX_MAKEFILE 

Select the Makefile lexer.

SCLEX_BATCH  +
SCLEX_BATCH 

Select the Windows batch file lexer.

SCLEX_LATEX  +
SCLEX_LATEX 

Select the LaTex lexer.

SCLEX_LUA  +
SCLEX_LUA 

Select the Lua lexer.

SCLEX_DIFF  +
SCLEX_DIFF 

Select the lexer for diff output.

SCLEX_CONF  +
SCLEX_CONF 

Select the lexer for Apache configuration files.

SCLEX_PASCAL  +
SCLEX_PASCAL 

Select the Pascal lexer.

SCLEX_AVE  +
SCLEX_AVE 

Select the Avenue lexer.

SCLEX_ADA  +
SCLEX_ADA 

Select the Ada lexer.

SCLEX_LISP  +
SCLEX_LISP 

Select the Lisp lexer.

SCLEX_RUBY  +
SCLEX_RUBY 

Select the Ruby lexer.

SCLEX_EIFFEL  +
SCLEX_EIFFEL 

Select the Eiffel lexer.

SCLEX_EIFFELKW  +
SCLEX_EIFFELKW 

Select the Eiffel lexer folding at keywords.

SCLEX_TCL  +
SCLEX_TCL 

Select the Tcl lexer.

SCLEX_NNCRONTAB  +
SCLEX_NNCRONTAB 

Select the lexer for nnCron files.

SCLEX_BULLANT  +
SCLEX_BULLANT 

Select the Bullant lexer.

SCLEX_VBSCRIPT  +
SCLEX_VBSCRIPT 

Select the VBScript lexer.

SCLEX_ASP  +
SCLEX_ASP 

Select the ASP lexer.

SCLEX_PHP  +
SCLEX_PHP 

Select the PHP lexer.

SCLEX_BAAN  +
SCLEX_BAAN 

Select the Baan lexer.

SCLEX_MATLAB  +
SCLEX_MATLAB 

Select the Matlab lexer.

SCLEX_SCRIPTOL  +
SCLEX_SCRIPTOL 

Select the Scriptol lexer.

SCLEX_ASM  -

Select the assembler lexer.

+
SCLEX_ASM  +

Select the assembler lexer (';' comment character).

SCLEX_CPPNOCASE  +
SCLEX_CPPNOCASE 

Select the C++ lexer with case insensitive keywords.

SCLEX_FORTRAN  +
SCLEX_FORTRAN 

Select the FORTRAN lexer.

SCLEX_F77  +
SCLEX_F77 

Select the FORTRAN77 lexer.

SCLEX_CSS  +
SCLEX_CSS 

Select the CSS lexer.

SCLEX_POV  +
SCLEX_POV 

Select the POV lexer.

SCLEX_LOUT  +
SCLEX_LOUT 

Select the Basser Lout typesetting language lexer.

SCLEX_ESCRIPT  +
SCLEX_ESCRIPT 

Select the EScript lexer.

SCLEX_PS  +
SCLEX_PS 

Select the PostScript lexer.

SCLEX_NSIS  +
SCLEX_NSIS 

Select the NSIS lexer.

SCLEX_MMIXAL  +
SCLEX_MMIXAL 

Select the MMIX assembly language lexer.

SCLEX_CLW  +
SCLEX_CLW 

Select the Clarion lexer.

SCLEX_CLWNOCASE  +
SCLEX_CLWNOCASE 

Select the Clarion lexer with case insensitive keywords.

SCLEX_LOT  +
SCLEX_LOT 

Select the MPT text log file lexer.

SCLEX_YAML  +
SCLEX_YAML 

Select the YAML lexer.

SCLEX_TEX  +
SCLEX_TEX 

Select the TeX lexer.

SCLEX_METAPOST  +
SCLEX_METAPOST 

Select the Metapost lexer.

SCLEX_POWERBASIC  +
SCLEX_POWERBASIC 

Select the PowerBASIC lexer.

SCLEX_FORTH  +
SCLEX_FORTH 

Select the Forth lexer.

SCLEX_ERLANG  +
SCLEX_ERLANG 

Select the Erlang lexer.

SCLEX_OCTAVE  +
SCLEX_OCTAVE 

Select the Octave lexer.

SCLEX_MSSQL  +
SCLEX_MSSQL 

Select the MS SQL lexer.

SCLEX_VERILOG  +
SCLEX_VERILOG 

Select the Verilog lexer.

SCLEX_KIX  +
SCLEX_KIX 

Select the KIX-Scripts lexer.

SCLEX_GUI4CLI  +
SCLEX_GUI4CLI 

Select the Gui4Cli lexer.

SCLEX_SPECMAN  +
SCLEX_SPECMAN 

Select the Specman E lexer.

SCLEX_AU3  +
SCLEX_AU3 

Select the AutoIt3 lexer.

SCLEX_APDL  +
SCLEX_APDL 

Select the APDL lexer.

SCLEX_BASH  +
SCLEX_BASH 

Select the Bash lexer.

SCLEX_ASN1  +
SCLEX_ASN1 

Select the ASN.1 lexer.

SCLEX_VHDL  +
SCLEX_VHDL 

Select the VHDL lexer.

SCLEX_CAML  +
SCLEX_CAML 

Select the Caml lexer.

SCLEX_BLITZBASIC  +
SCLEX_BLITZBASIC 

Select the BlitzBasic lexer.

SCLEX_PUREBASIC  +
SCLEX_PUREBASIC 

Select the PureBasic lexer.

SCLEX_HASKELL  +
SCLEX_HASKELL 

Select the Haskell lexer.

SCLEX_PHPSCRIPT  +
SCLEX_PHPSCRIPT 

Select the PHPScript lexer.

SCLEX_TADS3  +
SCLEX_TADS3 

Select the TADS3 lexer.

SCLEX_REBOL  +
SCLEX_REBOL 

Select the REBOL lexer.

SCLEX_SMALLTALK  +
SCLEX_SMALLTALK 

Select the Smalltalk lexer.

SCLEX_FLAGSHIP  +
SCLEX_FLAGSHIP 

Select the FlagShip lexer.

SCLEX_CSOUND  +
SCLEX_CSOUND 

Select the Csound lexer.

SCLEX_FREEBASIC  +
SCLEX_FREEBASIC 

Select the FreeBasic lexer.

SCLEX_INNOSETUP  +
SCLEX_INNOSETUP 

Select the InnoSetup lexer.

SCLEX_OPAL  +
SCLEX_OPAL 

Select the Opal lexer.

SCLEX_SPICE  +
SCLEX_SPICE 

Select the Spice lexer.

SCLEX_D  +
SCLEX_D 

Select the D lexer.

SCLEX_CMAKE  +
SCLEX_CMAKE 

Select the CMake lexer.

SCLEX_GAP  +
SCLEX_GAP 

Select the GAP lexer.

SCLEX_PLM  +
SCLEX_PLM 

Select the PLM lexer.

SCLEX_PROGRESS  +
SCLEX_PROGRESS 

Select the Progress lexer.

SCLEX_ABAQUS  +
SCLEX_ABAQUS 

Select the Abaqus lexer.

SCLEX_ASYMPTOTE  +
SCLEX_ASYMPTOTE 

Select the Asymptote lexer.

SCLEX_R  +
SCLEX_R 

Select the R lexer.

SCLEX_MAGIK  +
SCLEX_MAGIK 

Select the MagikSF lexer.

SCLEX_POWERSHELL  +
SCLEX_POWERSHELL 

Select the PowerShell lexer.

SCLEX_MYSQL  +
SCLEX_MYSQL 

Select the MySQL lexer.

SCLEX_PO  +
SCLEX_PO 

Select the gettext .po file lexer.

SCLEX_TAL  +
SCLEX_TAL 

Select the TAL lexer.

SCLEX_COBOL  +
SCLEX_COBOL 

Select the COBOL lexer.

SCLEX_TACL  +
SCLEX_TACL 

Select the TACL lexer.

SCLEX_SORCUS  +
SCLEX_SORCUS 

Select the Sorcus lexer.

SCLEX_POWERPRO  +
SCLEX_POWERPRO 

Select the PowerPro lexer.

SCLEX_NIMROD  +
SCLEX_NIMROD 

Select the Nimrod lexer.

SCLEX_SML  +
SCLEX_SML 

Select the SML lexer.

SCLEX_MARKDOWN  +
SCLEX_MARKDOWN 

Select the Markdown lexer.

SCLEX_TXT2TAGS  +
SCLEX_TXT2TAGS 

Select the txt2tags lexer.

SCLEX_A68K  +
SCLEX_A68K 

Select the 68000 assembler lexer.

SCLEX_MODULA  +
SCLEX_MODULA 

Select the Modula 3 lexer.

SCLEX_COFFEESCRIPT  +
SCLEX_COFFEESCRIPT 

Select the CoffeeScript lexer.

SCLEX_TCMD  +
SCLEX_TCMD 

Select the Take Command lexer.

SCLEX_AVS  +
SCLEX_AVS 

Select the AviSynth lexer.

SCLEX_ECL  +
SCLEX_ECL 

Select the ECL lexer.

SCLEX_OSCRIPT  +
SCLEX_OSCRIPT 

Select the OScript lexer.

SCLEX_VISUALPROLOG  +
SCLEX_VISUALPROLOG 

Select the Visual Prolog lexer.

SCLEX_LITERATEHASKELL  +
SCLEX_LITERATEHASKELL 

Select the Literal Haskell lexer.

SCLEX_STTXT  +
SCLEX_STTXT 

Select the Structured Text lexer.

SCLEX_KVIRC  +
SCLEX_KVIRC 

Select the KVIrc lexer.

SCLEX_RUST  +
SCLEX_RUST 

Select the Rust lexer.

SCLEX_DMAP  +

Select the MSC Nastran DMAP lexer.

+
SCLEX_AS  +

Select the assembler lexer ('#' comment character).

+
SCLEX_DMIS  +

Select the DMIS lexer.

+
SCLEX_REGISTRY  +

Select the lexer for Windows registry files.

+
SCLEX_BIBTEX  +

Select the BibTex lexer.

+
SCLEX_SREC  +

Select the Motorola S-Record hex lexer.

+
SCLEX_IHEX  +

Select the Intel hex lexer.

+
SCLEX_TEHEX  +

Select the Tektronix extended hex lexer.

+
- - -

Member Function Documentation

- +

Member Function Documentation

+
+ + + + + +
- +
static QsciScintillaBase* QsciScintillaBase::pool ( ) [static]
- -
+
+static
+

Returns a pointer to a QsciScintillaBase instance, or 0 if there isn't one. This can be used by the higher level API to send messages that aren't associated with a particular instance.

- +
@@ -2456,13 +2683,12 @@
const
-
-
+

Send the Scintilla message msg with the optional parameters wParam and lParam.

- +
@@ -2490,13 +2716,12 @@
const
-
-
+

This is an overloaded member function, provided for convenience. It differs from the above function only in what argument(s) it accepts.

- +
@@ -2524,13 +2749,12 @@
const
-
-
+

This is an overloaded member function, provided for convenience. It differs from the above function only in what argument(s) it accepts.

- +
@@ -2552,13 +2776,12 @@
const
-
-
+

This is an overloaded member function, provided for convenience. It differs from the above function only in what argument(s) it accepts.

- +
@@ -2586,13 +2809,12 @@
const
-
-
+

This is an overloaded member function, provided for convenience. It differs from the above function only in what argument(s) it accepts.

- +
@@ -2614,13 +2836,12 @@
const
-
-
+

This is an overloaded member function, provided for convenience. It differs from the above function only in what argument(s) it accepts.

- +
@@ -2642,13 +2863,12 @@
const
-
-
+

This is an overloaded member function, provided for convenience. It differs from the above function only in what argument(s) it accepts.

- +
@@ -2682,13 +2902,12 @@
const
-
-
+

This is an overloaded member function, provided for convenience. It differs from the above function only in what argument(s) it accepts.

- +
@@ -2716,13 +2935,12 @@
const
-
-
+

This is an overloaded member function, provided for convenience. It differs from the above function only in what argument(s) it accepts.

- +
@@ -2744,13 +2962,12 @@
const
-
-
+

This is an overloaded member function, provided for convenience. It differs from the above function only in what argument(s) it accepts.

- +
@@ -2796,13 +3013,12 @@
const
-
-
+

This is an overloaded member function, provided for convenience. It differs from the above function only in what argument(s) it accepts.

- +
@@ -2830,13 +3046,12 @@
const
-
-
+

This is an overloaded member function, provided for convenience. It differs from the above function only in what argument(s) it accepts.

- +
@@ -2864,68 +3079,91 @@
const
-
-
+

This is an overloaded member function, provided for convenience. It differs from the above function only in what argument(s) it accepts.

- +
+ + + + + +
- +
void QsciScintillaBase::QSCN_SELCHANGED ( bool  yes) [signal]
- -
+
+signal
+

This signal is emitted when text is selected or de-selected. yes is true if text has been selected and false if text has been deselected.

- +
+ + + + + +
- +
void QsciScintillaBase::SCN_AUTOCCANCELLED ( ) [signal]
- -
+
+signal
+

This signal is emitted when the user cancels an auto-completion list.

-
See also:
SCN_AUTOCSELECTION()
+
See also
SCN_AUTOCSELECTION()
- +
+ + + + + +
- +
void QsciScintillaBase::SCN_AUTOCCHARDELETED ( ) [signal]
- -
+
+signal
+

This signal is emitted when the user deletes a character when an auto-completion list is active.

- +
+ + + + + +
@@ -2942,55 +3180,76 @@ - +
void QsciScintillaBase::SCN_AUTOCSELECTION
) [signal]
- -
+
+signal
+

This signal is emitted when the user selects an item in an auto-completion list. It is emitted before the selection is inserted. The insertion can be cancelled by sending an SCI_AUTOCANCEL message from a connected slot. selection is the text of the selection. position is the start position of the word being completed.

-
See also:
SCN_AUTOCCANCELLED()
+
See also
SCN_AUTOCCANCELLED()
- +
+ + + + + +
- +
void QsciScintillaBase::SCN_CALLTIPCLICK ( int  direction) [signal]
- -
+
+signal
+

This signal ir emitted when the user clicks on a calltip. direction is 1 if the user clicked on the up arrow, 2 if the user clicked on the down arrow, and 0 if the user clicked elsewhere.

- +
+ + + + + +
- +
void QsciScintillaBase::SCN_CHARADDED ( int  charadded) [signal]
- -
+
+signal
+

This signal is emitted whenever the user enters an ordinary character into the text. charadded is the character. It can be used to decide to display a call tip or an auto-completion list.

- +
+ + + + + +
@@ -3013,18 +3272,25 @@ - +
void QsciScintillaBase::SCN_DOUBLECLICK
) [signal]
- -
+
+signal
+

This signal is emitted when the user double clicks. position is the position in the text where the click occured. line is the number of the line in the text where the click occured. modifiers is the logical or of the modifier keys that were pressed when the user double clicked.

- +
+ + + + + +
@@ -3041,18 +3307,25 @@ - +
void QsciScintillaBase::SCN_HOTSPOTCLICK
) [signal]
- -
+
+signal
+

This signal is emitted when the user clicks on text in a style with the hotspot attribute set. position is the position in the text where the click occured. modifiers is the logical or of the modifier keys that were pressed when the user clicked.

- +
+ + + + + +
@@ -3069,18 +3342,25 @@ - +
void QsciScintillaBase::SCN_HOTSPOTDOUBLECLICK
) [signal]
- -
+
+signal
+

This signal is emitted when the user double clicks on text in a style with the hotspot attribute set. position is the position in the text where the double click occured. modifiers is the logical or of the modifier keys that were pressed when the user double clicked.

- +
+ + + + + +
@@ -3097,18 +3377,25 @@ - +
void QsciScintillaBase::SCN_HOTSPOTRELEASECLICK
) [signal]
- -
+
+signal
+

This signal is emitted when the user releases the mouse button on text in a style with the hotspot attribute set. position is the position in the text where the release occured. modifiers is the logical or of the modifier keys that were pressed when the user released the button.

- +
+ + + + + +
@@ -3125,18 +3412,25 @@ - +
void QsciScintillaBase::SCN_INDICATORCLICK
) [signal]
- -
+
+signal
+

This signal is emitted when the user clicks on text that has an indicator. position is the position in the text where the click occured. modifiers is the logical or of the modifier keys that were pressed when the user clicked.

- +
+ + + + + +
@@ -3153,18 +3447,25 @@ - +
void QsciScintillaBase::SCN_INDICATORRELEASE
) [signal]
- -
+
+signal
+

This signal is emitted when the user releases the mouse button on text that has an indicator. position is the position in the text where the release occured. modifiers is the logical or of the modifier keys that were pressed when the user released.

- +
+ + + + + +
@@ -3187,18 +3488,25 @@ - +
void QsciScintillaBase::SCN_MACRORECORD
) [signal]
- -
+
+signal
+

This signal is emitted when a recordable editor command has been executed.

- +
+ + + + + +
@@ -3221,145 +3529,201 @@ - +
void QsciScintillaBase::SCN_MARGINCLICK
) [signal]
- -
+
+signal
+

This signal is emitted when the user clicks on a sensitive margin. position is the position of the start of the line against which the user clicked. modifiers is the logical or of the modifier keys that were pressed when the user clicked. margin is the number of the margin the user clicked in: 0, 1 or 2.

-
See also:
SCI_GETMARGINSENSITIVEN, SCI_SETMARGINSENSITIVEN
+
See also
SCI_GETMARGINSENSITIVEN, SCI_SETMARGINSENSITIVEN
- +
+ + + + + +
- +
void QsciScintillaBase::SCN_MODIFYATTEMPTRO ( ) [signal]
- -
+
+signal
+

This signal is emitted when the user attempts to modify read-only text.

- +
+ + + + + +
- +
void QsciScintillaBase::SCN_PAINTED ( ) [signal]
- -
+
+signal
+

This signal is emitted when painting has been completed. It is useful to trigger some other change but to have the paint be done first to appear more reponsive to the user.

- +
+ + + + + +
- +
void QsciScintillaBase::SCN_SAVEPOINTLEFT ( ) [signal]
- -
+
+signal
+

This signal is emitted when the current state of the text no longer corresponds to the state of the text at the save point.

-
See also:
SCI_SETSAVEPOINT, SCN_SAVEPOINTREACHED()
+
See also
SCI_SETSAVEPOINT, SCN_SAVEPOINTREACHED()
- +
+ + + + + +
- +
void QsciScintillaBase::SCN_SAVEPOINTREACHED ( ) [signal]
- -
+
+signal
+

This signal is emitted when the current state of the text corresponds to the state of the text at the save point. This allows feedback to be given to the user as to whether the text has been modified since it was last saved.

-
See also:
SCI_SETSAVEPOINT, SCN_SAVEPOINTLEFT()
+
See also
SCI_SETSAVEPOINT, SCN_SAVEPOINTLEFT()
- +
+ + + + + +
- +
void QsciScintillaBase::SCN_STYLENEEDED ( int  position) [signal]
- -
+
+signal
+

This signal is emitted when a range of text needs to be syntax styled. The range is from the value returned by the SCI_GETENDSTYLED message and position. It is only emitted if the currently selected lexer is SCLEX_CONTAINER.

-
See also:
SCI_COLOURISE, SCI_GETENDSTYLED
+
See also
SCI_COLOURISE, SCI_GETENDSTYLED
- +
+ + + + + +
- +
void QsciScintillaBase::SCN_UPDATEUI ( int  updated) [signal]
- -
+
+signal
+

This signal is emitted when either the text or styling of the text has changed or the selection range or scroll position has changed. updated contains the set of SC_UPDATE_* flags describing the changes since the signal was last emitted.

- +
+ + + + + +
- +
virtual bool QsciScintillaBase::canInsertFromMimeData ( const QMimeData *  source) const [protected, virtual] const
- -
+
+protectedvirtual
+

Returns true if the contents of a MIME data object can be decoded and inserted into the document. It is called during drag and paste operations. source is the MIME data object.

-
See also:
fromMimeData(), toMimeData()
+
See also
fromMimeData(), toMimeData()
- +
+ + + + + +
@@ -3376,19 +3740,26 @@ - +
virtual QByteArray QsciScintillaBase::fromMimeData
) const [protected, virtual] const
- -
+
+protectedvirtual
+

Returns the text of a MIME data object. It is called when a drag and drop is completed and when text is pasted from the clipboard. source is the MIME data object. On return rectangular is set if the text corresponds to a rectangular selection.

-
See also:
canInsertFromMimeData(), toMimeData()
+
See also
canInsertFromMimeData(), toMimeData()
- +
+ + + + + +
@@ -3405,24 +3776,26 @@ - +
virtual QMimeData* QsciScintillaBase::toMimeData
) const [protected, virtual] const
- -
+
+protectedvirtual
+

Returns a new MIME data object containing some text and whether it corresponds to a rectangular selection. It is called when a drag and drop is started and when the selection is copied to the clipboard. Ownership of the object is passed to the caller. text is the text. rectangular is set if the text corresponds to a rectangular selection.

-
See also:
canInsertFromMimeData(), fromMimeData()
+
See also
canInsertFromMimeData(), fromMimeData()
- - - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciScintillaBase-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciScintillaBase-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciScintillaBase-members.html 2014-09-11 17:15:21.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciScintillaBase-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciScintillaBase Member List
-
+
-This is the complete list of members for QsciScintillaBase, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
ANNOTATION_BOXED enum value (defined in QsciScintillaBase)QsciScintillaBase
ANNOTATION_HIDDEN enum value (defined in QsciScintillaBase)QsciScintillaBase
ANNOTATION_STANDARD enum value (defined in QsciScintillaBase)QsciScintillaBase
bytesAsText(const char *bytes) const (defined in QsciScintillaBase)QsciScintillaBase [protected]
canInsertFromMimeData(const QMimeData *source) const QsciScintillaBase [protected, virtual]
CARET_EVEN enum value (defined in QsciScintillaBase)QsciScintillaBase
CARET_JUMPS enum value (defined in QsciScintillaBase)QsciScintillaBase
CARET_SLOP enum value (defined in QsciScintillaBase)QsciScintillaBase
CARET_STRICT enum value (defined in QsciScintillaBase)QsciScintillaBase
CARETSTYLE_BLOCK enum value (defined in QsciScintillaBase)QsciScintillaBase
CARETSTYLE_INVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
CARETSTYLE_LINE enum value (defined in QsciScintillaBase)QsciScintillaBase
commandKey(int qt_key, int &modifiers) (defined in QsciScintillaBase)QsciScintillaBase [static]
contextMenuEvent(QContextMenuEvent *e)QsciScintillaBase [protected, virtual]
dragEnterEvent(QDragEnterEvent *e)QsciScintillaBase [protected, virtual]
dragLeaveEvent(QDragLeaveEvent *e)QsciScintillaBase [protected, virtual]
dragMoveEvent(QDragMoveEvent *e)QsciScintillaBase [protected, virtual]
dropEvent(QDropEvent *e)QsciScintillaBase [protected, virtual]
EDGE_BACKGROUND enum value (defined in QsciScintillaBase)QsciScintillaBase
EDGE_LINE enum value (defined in QsciScintillaBase)QsciScintillaBase
EDGE_NONE enum value (defined in QsciScintillaBase)QsciScintillaBase
focusInEvent(QFocusEvent *e)QsciScintillaBase [protected, virtual]
focusNextPrevChild(bool next)QsciScintillaBase [protected, virtual]
focusOutEvent(QFocusEvent *e)QsciScintillaBase [protected, virtual]
fromMimeData(const QMimeData *source, bool &rectangular) const QsciScintillaBase [protected, virtual]
INDIC0_MASK enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC1_MASK enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC2_MASK enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_BOX enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_COMPOSITIONTHICK enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_CONTAINER enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_DASH enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_DIAGONAL enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_DOTBOX enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_DOTS enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_HIDDEN enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_MAX enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_PLAIN enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_ROUNDBOX enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_SQUIGGLE enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_SQUIGGLELOW enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_SQUIGGLEPIXMAP enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_STRAIGHTBOX enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_STRIKE enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_TT enum value (defined in QsciScintillaBase)QsciScintillaBase
INDICS_MASK enum value (defined in QsciScintillaBase)QsciScintillaBase
inputMethodEvent(QInputMethodEvent *event)QsciScintillaBase [protected, virtual]
inputMethodQuery(Qt::InputMethodQuery query) const (defined in QsciScintillaBase)QsciScintillaBase [protected, virtual]
keyPressEvent(QKeyEvent *e)QsciScintillaBase [protected, virtual]
mouseDoubleClickEvent(QMouseEvent *e)QsciScintillaBase [protected, virtual]
mouseMoveEvent(QMouseEvent *e)QsciScintillaBase [protected, virtual]
mousePressEvent(QMouseEvent *e)QsciScintillaBase [protected, virtual]
mouseReleaseEvent(QMouseEvent *e)QsciScintillaBase [protected, virtual]
paintEvent(QPaintEvent *e)QsciScintillaBase [protected, virtual]
pool()QsciScintillaBase [static]
QsciScintillaBase(QWidget *parent=0)QsciScintillaBase [explicit]
QSCN_SELCHANGED(bool yes)QsciScintillaBase [signal]
resizeEvent(QResizeEvent *e)QsciScintillaBase [protected, virtual]
SC_ALPHA_NOALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_ALPHA_OPAQUE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_ALPHA_TRANSPARENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_AUTOMATICFOLD_CHANGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_AUTOMATICFOLD_CLICK enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_AUTOMATICFOLD_SHOW enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CACHE_CARET enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CACHE_DOCUMENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CACHE_NONE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CACHE_PAGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CARETSTICKY_OFF enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CARETSTICKY_ON enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CARETSTICKY_WHITESPACE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CASE_LOWER enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CASE_MIXED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CASE_UPPER enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CASEINSENSITIVEBEHAVIOUR_IGNORECASE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CASEINSENSITIVEBEHAVIOUR_RESPECTCASE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_8859_15 enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_ANSI enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_ARABIC enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_BALTIC enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_CHINESEBIG5 enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_DEFAULT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_EASTEUROPE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_GB2312 enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_GREEK enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_HANGUL enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_HEBREW enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_JOHAB enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_MAC enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_OEM enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_RUSSIAN enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_SHIFTJIS enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_SYMBOL enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_THAI enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_TURKISH enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_VIETNAMESE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CP_DBCS enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CP_UTF8 enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CURSORARROW enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CURSORNORMAL enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CURSORREVERSEARROW enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CURSORWAIT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_EFF_QUALITY_ANTIALIASED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_EFF_QUALITY_DEFAULT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_EFF_QUALITY_LCD_OPTIMIZED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_EFF_QUALITY_MASK enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_EFF_QUALITY_NON_ANTIALIASED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_EOL_CR enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_EOL_CRLF enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_EOL_LF enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDACTION_CONTRACT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDACTION_EXPAND enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDACTION_TOGGLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDFLAG_LEVELNUMBERS enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDFLAG_LINEAFTER_CONTRACTED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDFLAG_LINEAFTER_EXPANDED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDFLAG_LINEBEFORE_CONTRACTED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDFLAG_LINEBEFORE_EXPANDED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDLEVELBASE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDLEVELHEADERFLAG enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDLEVELNUMBERMASK enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDLEVELWHITEFLAG enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FONT_SIZE_MULTIPLIER enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_IV_LOOKBOTH enum valueQsciScintillaBase
SC_IV_LOOKFORWARD enum valueQsciScintillaBase
SC_IV_NONE enum valueQsciScintillaBase
SC_IV_REAL enum valueQsciScintillaBase
SC_LASTSTEPINUNDOREDO enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MARGIN_BACK enum valueQsciScintillaBase
SC_MARGIN_FORE enum valueQsciScintillaBase
SC_MARGIN_NUMBER enum valueQsciScintillaBase
SC_MARGIN_RTEXT enum valueQsciScintillaBase
SC_MARGIN_SYMBOL enum valueQsciScintillaBase
SC_MARGIN_TEXT enum valueQsciScintillaBase
SC_MARGINOPTION_NONE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MARGINOPTION_SUBLINESELECT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MARK_ARROW enum valueQsciScintillaBase
SC_MARK_ARROWDOWN enum valueQsciScintillaBase
SC_MARK_ARROWS enum valueQsciScintillaBase
SC_MARK_AVAILABLE enum valueQsciScintillaBase
SC_MARK_BACKGROUND enum valueQsciScintillaBase
SC_MARK_BOXMINUS enum valueQsciScintillaBase
SC_MARK_BOXMINUSCONNECTED enum valueQsciScintillaBase
SC_MARK_BOXPLUS enum valueQsciScintillaBase
SC_MARK_BOXPLUSCONNECTED enum valueQsciScintillaBase
SC_MARK_CHARACTER enum valueQsciScintillaBase
SC_MARK_CIRCLE enum valueQsciScintillaBase
SC_MARK_CIRCLEMINUS enum valueQsciScintillaBase
SC_MARK_CIRCLEMINUSCONNECTED enum valueQsciScintillaBase
SC_MARK_CIRCLEPLUS enum valueQsciScintillaBase
SC_MARK_CIRCLEPLUSCONNECTED enum valueQsciScintillaBase
SC_MARK_DOTDOTDOT enum valueQsciScintillaBase
SC_MARK_EMPTY enum valueQsciScintillaBase
SC_MARK_FULLRECT enum valueQsciScintillaBase
SC_MARK_LCORNER enum valueQsciScintillaBase
SC_MARK_LCORNERCURVE enum valueQsciScintillaBase
SC_MARK_LEFTRECT enum valueQsciScintillaBase
SC_MARK_MINUS enum valueQsciScintillaBase
SC_MARK_PIXMAP enum valueQsciScintillaBase
SC_MARK_PLUS enum valueQsciScintillaBase
SC_MARK_RGBAIMAGE enum valueQsciScintillaBase
SC_MARK_ROUNDRECT enum valueQsciScintillaBase
SC_MARK_SHORTARROW enum valueQsciScintillaBase
SC_MARK_SMALLRECT enum valueQsciScintillaBase
SC_MARK_TCORNER enum valueQsciScintillaBase
SC_MARK_TCORNERCURVE enum valueQsciScintillaBase
SC_MARK_UNDERLINE enum valueQsciScintillaBase
SC_MARK_VLINE enum valueQsciScintillaBase
SC_MARKNUM_FOLDER enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MARKNUM_FOLDEREND enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MARKNUM_FOLDERMIDTAIL enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MARKNUM_FOLDEROPEN enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MARKNUM_FOLDEROPENMID enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MARKNUM_FOLDERSUB enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MARKNUM_FOLDERTAIL enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MASK_FOLDERS enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_BEFOREDELETE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_BEFOREINSERT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_CHANGEANNOTATION enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_CHANGEFOLD enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_CHANGEINDICATOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_CHANGELINESTATE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_CHANGEMARGIN enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_CHANGEMARKER enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_CHANGESTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_CONTAINER enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_DELETETEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_INSERTTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_LEXERSTATE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MODEVENTMASKALL enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MULTILINEUNDOREDO enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MULTIPASTE_EACH enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MULTIPASTE_ONCE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MULTISTEPUNDOREDO enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_ORDER_CUSTOM enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_ORDER_PERFORMSORT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_ORDER_PRESORTED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_PERFORMED_REDO enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_PERFORMED_UNDO enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_PERFORMED_USER enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_PRINT_BLACKONWHITE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_PRINT_COLOURONWHITE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_PRINT_COLOURONWHITEDEFAULTBG enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_PRINT_INVERTLIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_PRINT_NORMAL enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_SEL_LINES enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_SEL_RECTANGLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_SEL_STREAM enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_SEL_THIN enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_STARTACTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_TECHNOLOGY_DEFAULT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_TECHNOLOGY_DIRECTWRITE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_TIME_FOREVER enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_TYPE_BOOLEAN enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_TYPE_INTEGER enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_TYPE_STRING enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_UPDATE_CONTENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_UPDATE_H_SCROLL enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_UPDATE_SELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_UPDATE_V_SCROLL enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WEIGHT_BOLD enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WEIGHT_NORMAL enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WEIGHT_SEMIBOLD enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAP_CHAR enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAP_NONE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAP_WORD enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAPINDENT_FIXED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAPINDENT_INDENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAPINDENT_SAME enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAPVISUALFLAG_END enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAPVISUALFLAG_MARGIN enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAPVISUALFLAG_NONE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAPVISUALFLAG_START enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAPVISUALFLAGLOC_DEFAULT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAPVISUALFLAGLOC_END_BY_TEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAPVISUALFLAGLOC_START_BY_TEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCEN_CHANGE()QsciScintillaBase [signal]
SCFIND_MATCHCASE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCFIND_POSIX enum value (defined in QsciScintillaBase)QsciScintillaBase
SCFIND_REGEXP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCFIND_WHOLEWORD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCFIND_WORDSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ADDREFDOCUMENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ADDSELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ADDSTYLEDTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ADDTEXT enum valueQsciScintillaBase
SCI_ADDUNDOACTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ALLOCATE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ALLOCATEEXTENDEDSTYLES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONCLEARALL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONGETLINES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONGETSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONGETSTYLEOFFSET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONGETSTYLES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONGETTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONGETVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONSETSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONSETSTYLEOFFSET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONSETSTYLES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONSETTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONSETVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_APPENDTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ASSIGNCMDKEY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCACTIVE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCCANCEL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCCOMPLETE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETAUTOHIDE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETCANCELATSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETCASEINSENSITIVEBEHAVIOUR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETCHOOSESINGLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETCURRENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETCURRENTTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETDROPRESTOFWORD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETIGNORECASE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETMAXHEIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETMAXWIDTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETORDER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETSEPARATOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETTYPESEPARATOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCPOSSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSELECT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETAUTOHIDE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETCANCELATSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETCASEINSENSITIVEBEHAVIOUR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETCHOOSESINGLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETDROPRESTOFWORD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETFILLUPS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETIGNORECASE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETMAXHEIGHT enum valueQsciScintillaBase
SCI_AUTOCSETMAXWIDTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETORDER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETSEPARATOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETTYPESEPARATOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSHOW enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSTOPS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_BACKTAB enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_BEGINUNDOACTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_BRACEBADLIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_BRACEBADLIGHTINDICATOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_BRACEHIGHLIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_BRACEHIGHLIGHTINDICATOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_BRACEMATCH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPACTIVE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPCANCEL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPPOSSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPSETBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPSETFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPSETFOREHLT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPSETHLT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPSETPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPSHOW enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPUSESTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CANCEL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CANPASTE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CANREDO enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CANUNDO enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHANGELEXERSTATE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHARLEFT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHARLEFTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHARLEFTRECTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHARPOSITIONFROMPOINT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHARPOSITIONFROMPOINTCLOSE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHARRIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHARRIGHTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHARRIGHTRECTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHOOSECARETX enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CLEAR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CLEARALL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CLEARALLCMDKEYS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CLEARCMDKEY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CLEARDOCUMENTSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CLEARREGISTEREDIMAGES enum valueQsciScintillaBase
SCI_CLEARREPRESENTATION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CLEARSELECTIONS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_COLOURISE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CONTRACTEDFOLDNEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CONVERTEOLS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_COPY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_COPYALLOWLINE enum valueQsciScintillaBase
SCI_COPYRANGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_COPYTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_COUNTCHARACTERS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CREATEDOCUMENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CREATELOADER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CUT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DELETEBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DELETEBACKNOTLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DELETERANGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DELLINELEFT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DELLINERIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DELWORDLEFT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DELWORDRIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DELWORDRIGHTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DESCRIBEKEYWORDSETS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DESCRIBEPROPERTY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DOCLINEFROMVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DOCUMENTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DOCUMENTENDEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DOCUMENTSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DOCUMENTSTARTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_EDITTOGGLEOVERTYPE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_EMPTYUNDOBUFFER enum valueQsciScintillaBase
SCI_ENDUNDOACTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ENSUREVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ENSUREVISIBLEENFORCEPOLICY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_EXPANDCHILDREN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FINDCOLUMN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FINDINDICATORFLASH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FINDINDICATORHIDE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FINDINDICATORSHOW enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FINDTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FOLDALL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FOLDCHILDREN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FOLDLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FORMATRANGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FORMFEED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETADDITIONALCARETFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETADDITIONALCARETSBLINK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETADDITIONALCARETSVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETADDITIONALSELALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETADDITIONALSELECTIONTYPING enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETALLLINESVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETANCHOR enum valueQsciScintillaBase
SCI_GETAUTOMATICFOLD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETBACKSPACEUNINDENTS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETBUFFEREDDRAW enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCARETFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCARETLINEBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCARETLINEBACKALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCARETLINEVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCARETLINEVISIBLEALWAYS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCARETPERIOD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCARETSTICKY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCARETSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCARETWIDTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCHARACTERPOINTER enum valueQsciScintillaBase
SCI_GETCHARAT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCODEPAGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCOLUMN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCONTROLCHARSYMBOL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCURLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCURRENTPOS enum valueQsciScintillaBase
SCI_GETCURSOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETDIRECTFUNCTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETDIRECTPOINTER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETDOCPOINTER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETEDGECOLOUR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETEDGECOLUMN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETEDGEMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETENDATLASTLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETENDSTYLED enum valueQsciScintillaBase
SCI_GETEOLMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETEXTRAASCENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETEXTRADESCENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETFIRSTVISIBLELINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETFOCUS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETFOLDEXPANDED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETFOLDLEVEL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETFOLDPARENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETFONTQUALITY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETGAPPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETHIGHLIGHTGUIDE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETHOTSPOTACTIVEBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETHOTSPOTACTIVEFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETHOTSPOTACTIVEUNDERLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETHOTSPOTSINGLELINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETHSCROLLBAR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETIDENTIFIER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETINDENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETINDENTATIONGUIDES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETINDICATORCURRENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETINDICATORVALUE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETKEYSUNICODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLASTCHILD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLAYOUTCACHE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLENGTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLEXER enum valueQsciScintillaBase
SCI_GETLEXERLANGUAGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINECOUNT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINEENDPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINEINDENTATION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINEINDENTPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINESELENDPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINESELSTARTPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINESTATE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINEVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMAINSELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMARGINCURSORN enum valueQsciScintillaBase
SCI_GETMARGINLEFT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMARGINMASKN enum valueQsciScintillaBase
SCI_GETMARGINOPTIONS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMARGINRIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMARGINSENSITIVEN enum valueQsciScintillaBase
SCI_GETMARGINTYPEN enum valueQsciScintillaBase
SCI_GETMARGINWIDTHN enum valueQsciScintillaBase
SCI_GETMAXLINESTATE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMODEVENTMASK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMODIFY enum valueQsciScintillaBase
SCI_GETMOUSEDOWNCAPTURES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMOUSEDWELLTIME enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMOUSESELECTIONRECTANGULARSWITCH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMULTIPASTE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMULTIPLESELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETOVERTYPE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETPASTECONVERTENDINGS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETPOSITIONCACHE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETPRINTCOLOURMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETPRINTMAGNIFICATION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETPRINTWRAPMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETPROPERTY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETPROPERTYEXPANDED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETPROPERTYINT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETPUNCTUATIONCHARS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETRANGEPOINTER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETREADONLY enum valueQsciScintillaBase
SCI_GETRECTANGULARSELECTIONANCHOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETRECTANGULARSELECTIONANCHORVIRTUALSPACE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETRECTANGULARSELECTIONCARET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETRECTANGULARSELECTIONCARETVIRTUALSPACE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETRECTANGULARSELECTIONMODIFIER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETREPRESENTATION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSCROLLWIDTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSCROLLWIDTHTRACKING enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSEARCHFLAGS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONEMPTY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONNANCHOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONNANCHORVIRTUALSPACE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONNCARET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONNCARETVIRTUALSPACE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONNEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONNSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELEOLFILLED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSTATUS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSTYLEAT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSTYLEBITS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSTYLEBITSNEEDED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSTYLEDTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETTABINDENTS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETTABWIDTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETTAG enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETTARGETEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETTARGETSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETTECHNOLOGY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETTEXT enum valueQsciScintillaBase
SCI_GETTEXTLENGTH enum valueQsciScintillaBase
SCI_GETTEXTRANGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETTWOPHASEDRAW enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETUNDOCOLLECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETUSETABS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETVIEWEOL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETVIEWWS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETVIRTUALSPACEOPTIONS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETVSCROLLBAR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETWHITESPACECHARS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETWHITESPACESIZE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETWORDCHARS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETWRAPINDENTMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETWRAPMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETWRAPSTARTINDENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETWRAPVISUALFLAGS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETWRAPVISUALFLAGSLOCATION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETXOFFSET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETZOOM enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GOTOLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GOTOPOS enum valueQsciScintillaBase
SCI_GRABFOCUS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_HIDELINES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_HIDESELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_HOME enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_HOMEDISPLAY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_HOMEDISPLAYEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_HOMEEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_HOMERECTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_HOMEWRAP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_HOMEWRAPEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICATORALLONFOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICATORCLEARRANGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICATOREND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICATORFILLRANGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICATORSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICATORVALUEAT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICGETALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICGETFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICGETOUTLINEALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICGETSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICGETUNDER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICSETALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICSETFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICSETOUTLINEALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICSETSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICSETUNDER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INSERTTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LEXER_START enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINECOPY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINECUT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEDELETE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEDOWN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEDOWNEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEDOWNRECTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEDUPLICATE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEENDDISPLAY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEENDDISPLAYEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEENDEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEENDRECTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEENDWRAP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEENDWRAPEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEFROMPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINELENGTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINESCROLL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINESCROLLDOWN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINESCROLLUP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINESJOIN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINESONSCREEN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINESSPLIT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINETRANSPOSE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEUP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEUPEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEUPRECTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LOADLEXERLIBRARY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LOWERCASE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARGINGETSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARGINGETSTYLEOFFSET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARGINGETSTYLES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARGINGETTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARGINSETSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARGINSETSTYLEOFFSET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARGINSETSTYLES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARGINSETTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARGINTEXTCLEARALL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARKERADD enum valueQsciScintillaBase
SCI_MARKERADDSET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARKERDEFINE enum valueQsciScintillaBase
SCI_MARKERDEFINEPIXMAP enum valueQsciScintillaBase
SCI_MARKERDEFINERGBAIMAGE enum valueQsciScintillaBase
SCI_MARKERDELETE enum valueQsciScintillaBase
SCI_MARKERDELETEALL enum valueQsciScintillaBase
SCI_MARKERDELETEHANDLE enum valueQsciScintillaBase
SCI_MARKERENABLEHIGHLIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARKERGET enum valueQsciScintillaBase
SCI_MARKERLINEFROMHANDLE enum valueQsciScintillaBase
SCI_MARKERNEXT enum valueQsciScintillaBase
SCI_MARKERPREVIOUS enum valueQsciScintillaBase
SCI_MARKERSETALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARKERSETBACK enum valueQsciScintillaBase
SCI_MARKERSETBACKSELECTED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARKERSETFORE enum valueQsciScintillaBase
SCI_MARKERSYMBOLDEFINED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MOVECARETINSIDEVIEW enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MOVESELECTEDLINESDOWN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MOVESELECTEDLINESUP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_NEWLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_NULL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_OPTIONAL_START enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PAGEDOWN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PAGEDOWNEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PAGEDOWNRECTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PAGEUP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PAGEUPEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PAGEUPRECTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PARADOWN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PARADOWNEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PARAUP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PARAUPEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PASTE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_POINTXFROMPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_POINTYFROMPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_POSITIONAFTER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_POSITIONBEFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_POSITIONFROMLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_POSITIONFROMPOINT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_POSITIONFROMPOINTCLOSE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_POSITIONRELATIVE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PRIVATELEXERCALL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PROPERTYNAMES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PROPERTYTYPE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_REDO enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_REGISTERIMAGE enum valueQsciScintillaBase
SCI_REGISTERRGBAIMAGE enum valueQsciScintillaBase
SCI_RELEASEALLEXTENDEDSTYLES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_RELEASEDOCUMENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_REPLACESEL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_REPLACETARGET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_REPLACETARGETRE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_RGBAIMAGESETHEIGHT enum valueQsciScintillaBase
SCI_RGBAIMAGESETSCALE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_RGBAIMAGESETWIDTH enum valueQsciScintillaBase
SCI_ROTATESELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SCROLLCARET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SCROLLRANGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SCROLLTOEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SCROLLTOSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SEARCHANCHOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SEARCHINTARGET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SEARCHNEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SEARCHPREV enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SELECTALL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SELECTIONDUPLICATE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SELECTIONISRECTANGLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETADDITIONALCARETFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETADDITIONALCARETSBLINK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETADDITIONALCARETSVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETADDITIONALSELALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETADDITIONALSELBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETADDITIONALSELECTIONTYPING enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETADDITIONALSELFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETANCHOR enum valueQsciScintillaBase
SCI_SETAUTOMATICFOLD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETBACKSPACEUNINDENTS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETBUFFEREDDRAW enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCARETFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCARETLINEBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCARETLINEBACKALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCARETLINEVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCARETLINEVISIBLEALWAYS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCARETPERIOD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCARETSTICKY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCARETSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCARETWIDTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCHARSDEFAULT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCODEPAGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCONTROLCHARSYMBOL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCURRENTPOS enum valueQsciScintillaBase
SCI_SETCURSOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETDOCPOINTER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETEDGECOLOUR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETEDGECOLUMN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETEDGEMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETEMPTYSELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETENDATLASTLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETEOLMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETEXTRAASCENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETEXTRADESCENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETFIRSTVISIBLELINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETFOCUS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETFOLDEXPANDED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETFOLDFLAGS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETFOLDLEVEL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETFOLDMARGINCOLOUR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETFOLDMARGINHICOLOUR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETFONTQUALITY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETHIGHLIGHTGUIDE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETHOTSPOTACTIVEBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETHOTSPOTACTIVEFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETHOTSPOTACTIVEUNDERLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETHOTSPOTSINGLELINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETHSCROLLBAR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETIDENTIFIER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETINDENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETINDENTATIONGUIDES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETINDICATORCURRENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETINDICATORVALUE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETKEYSUNICODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETKEYWORDS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETLAYOUTCACHE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETLEXER enum valueQsciScintillaBase
SCI_SETLEXERLANGUAGE enum valueQsciScintillaBase
SCI_SETLINEINDENTATION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETLINESTATE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETMAINSELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETMARGINCURSORN enum valueQsciScintillaBase
SCI_SETMARGINLEFT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETMARGINMASKN enum valueQsciScintillaBase
SCI_SETMARGINOPTIONS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETMARGINRIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETMARGINSENSITIVEN enum valueQsciScintillaBase
SCI_SETMARGINTYPEN enum valueQsciScintillaBase
SCI_SETMARGINWIDTHN enum valueQsciScintillaBase
SCI_SETMODEVENTMASK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETMOUSEDOWNCAPTURES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETMOUSEDWELLTIME enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETMOUSESELECTIONRECTANGULARSWITCH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETMULTIPASTE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETMULTIPLESELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETOVERTYPE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETPASTECONVERTENDINGS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETPOSITIONCACHE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETPRINTCOLOURMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETPRINTMAGNIFICATION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETPRINTWRAPMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETPROPERTY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETPUNCTUATIONCHARS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETREADONLY enum valueQsciScintillaBase
SCI_SETRECTANGULARSELECTIONANCHOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETRECTANGULARSELECTIONANCHORVIRTUALSPACE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETRECTANGULARSELECTIONCARET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETRECTANGULARSELECTIONCARETVIRTUALSPACE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETRECTANGULARSELECTIONMODIFIER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETREPRESENTATION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSAVEPOINT enum valueQsciScintillaBase
SCI_SETSCROLLWIDTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSCROLLWIDTHTRACKING enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSEARCHFLAGS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSEL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELECTIONEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELECTIONMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELECTIONNANCHOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELECTIONNANCHORVIRTUALSPACE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELECTIONNCARET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELECTIONNCARETVIRTUALSPACE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELECTIONNEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELECTIONNSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELECTIONSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELEOLFILLED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSTATUS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSTYLEBITS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSTYLING enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSTYLINGEX enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETTABINDENTS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETTABWIDTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETTARGETEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETTARGETSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETTECHNOLOGY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETTEXT enum valueQsciScintillaBase
SCI_SETTWOPHASEDRAW enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETUNDOCOLLECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETUSETABS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETVIEWEOL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETVIEWWS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETVIRTUALSPACEOPTIONS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETVISIBLEPOLICY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETVSCROLLBAR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETWHITESPACEBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETWHITESPACECHARS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETWHITESPACEFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETWHITESPACESIZE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETWORDCHARS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETWRAPINDENTMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETWRAPMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETWRAPSTARTINDENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETWRAPVISUALFLAGS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETWRAPVISUALFLAGSLOCATION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETXCARETPOLICY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETXOFFSET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETYCARETPOLICY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETZOOM enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SHOWLINES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_START enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STARTRECORD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STARTSTYLING enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STOPRECORD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STUTTEREDPAGEDOWN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STUTTEREDPAGEDOWNEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STUTTEREDPAGEUP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STUTTEREDPAGEUPEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLECLEARALL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETBOLD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETCASE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETCHANGEABLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETCHARACTERSET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETEOLFILLED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETFONT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETHOTSPOT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETITALIC enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETSIZE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETSIZEFRACTIONAL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETUNDERLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETWEIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLERESETDEFAULT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETBOLD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETCASE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETCHANGEABLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETCHARACTERSET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETEOLFILLED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETFONT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETHOTSPOT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETITALIC enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETSIZE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETSIZEFRACTIONAL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETUNDERLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETWEIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SWAPMAINANCHORCARET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_TAB enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_TARGETFROMSELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_TEXTHEIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_TEXTWIDTH enum valueQsciScintillaBase
SCI_TOGGLECARETSTICKY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_TOGGLEFOLD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_UNDO enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_UPPERCASE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_USEPOPUP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_USERLISTSHOW enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_VCHOME enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_VCHOMEDISPLAY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_VCHOMEDISPLAYEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_VCHOMEEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_VCHOMERECTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_VCHOMEWRAP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_VCHOMEWRAPEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_VERTICALCENTRECARET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_VISIBLEFROMDOCLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDENDPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDLEFT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDLEFTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDLEFTENDEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDLEFTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDPARTLEFT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDPARTLEFTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDPARTRIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDPARTRIGHTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDRIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDRIGHTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDRIGHTENDEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDRIGHTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDSTARTPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WRAPCOUNT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ZOOMIN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ZOOMOUT enum value (defined in QsciScintillaBase)QsciScintillaBase
ScintillaBytes typedef (defined in QsciScintillaBase)QsciScintillaBase [protected]
SCK_ADD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_BACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_DELETE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_DIVIDE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_DOWN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_END enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_ESCAPE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_HOME enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_INSERT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_LEFT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_MENU enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_NEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_PRIOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_RETURN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_RIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_RWIN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_SUBTRACT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_TAB enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_UP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_WIN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCLEX_A68K enum valueQsciScintillaBase
SCLEX_ABAQUS enum valueQsciScintillaBase
SCLEX_ADA enum valueQsciScintillaBase
SCLEX_APDL enum valueQsciScintillaBase
SCLEX_ASM enum valueQsciScintillaBase
SCLEX_ASN1 enum valueQsciScintillaBase
SCLEX_ASP enum valueQsciScintillaBase
SCLEX_ASYMPTOTE enum valueQsciScintillaBase
SCLEX_AU3 enum valueQsciScintillaBase
SCLEX_AVE enum valueQsciScintillaBase
SCLEX_AVS enum valueQsciScintillaBase
SCLEX_BAAN enum valueQsciScintillaBase
SCLEX_BASH enum valueQsciScintillaBase
SCLEX_BATCH enum valueQsciScintillaBase
SCLEX_BLITZBASIC enum valueQsciScintillaBase
SCLEX_BULLANT enum valueQsciScintillaBase
SCLEX_CAML enum valueQsciScintillaBase
SCLEX_CLW enum valueQsciScintillaBase
SCLEX_CLWNOCASE enum valueQsciScintillaBase
SCLEX_CMAKE enum valueQsciScintillaBase
SCLEX_COBOL enum valueQsciScintillaBase
SCLEX_COFFEESCRIPT enum valueQsciScintillaBase
SCLEX_CONF enum valueQsciScintillaBase
SCLEX_CONTAINER enum valueQsciScintillaBase
SCLEX_CPP enum valueQsciScintillaBase
SCLEX_CPPNOCASE enum valueQsciScintillaBase
SCLEX_CSOUND enum valueQsciScintillaBase
SCLEX_CSS enum valueQsciScintillaBase
SCLEX_D enum valueQsciScintillaBase
SCLEX_DIFF enum valueQsciScintillaBase
SCLEX_ECL enum valueQsciScintillaBase
SCLEX_EIFFEL enum valueQsciScintillaBase
SCLEX_EIFFELKW enum valueQsciScintillaBase
SCLEX_ERLANG enum valueQsciScintillaBase
SCLEX_ERRORLIST enum valueQsciScintillaBase
SCLEX_ESCRIPT enum valueQsciScintillaBase
SCLEX_F77 enum valueQsciScintillaBase
SCLEX_FLAGSHIP enum valueQsciScintillaBase
SCLEX_FORTH enum valueQsciScintillaBase
SCLEX_FORTRAN enum valueQsciScintillaBase
SCLEX_FREEBASIC enum valueQsciScintillaBase
SCLEX_GAP enum valueQsciScintillaBase
SCLEX_GUI4CLI enum valueQsciScintillaBase
SCLEX_HASKELL enum valueQsciScintillaBase
SCLEX_HTML enum valueQsciScintillaBase
SCLEX_INNOSETUP enum valueQsciScintillaBase
SCLEX_KIX enum valueQsciScintillaBase
SCLEX_KVIRC enum valueQsciScintillaBase
SCLEX_LATEX enum valueQsciScintillaBase
SCLEX_LISP enum valueQsciScintillaBase
SCLEX_LITERATEHASKELL enum valueQsciScintillaBase
SCLEX_LOT enum valueQsciScintillaBase
SCLEX_LOUT enum valueQsciScintillaBase
SCLEX_LUA enum valueQsciScintillaBase
SCLEX_MAGIK enum valueQsciScintillaBase
SCLEX_MAKEFILE enum valueQsciScintillaBase
SCLEX_MARKDOWN enum valueQsciScintillaBase
SCLEX_MATLAB enum valueQsciScintillaBase
SCLEX_METAPOST enum valueQsciScintillaBase
SCLEX_MMIXAL enum valueQsciScintillaBase
SCLEX_MODULA enum valueQsciScintillaBase
SCLEX_MSSQL enum valueQsciScintillaBase
SCLEX_MYSQL enum valueQsciScintillaBase
SCLEX_NIMROD enum valueQsciScintillaBase
SCLEX_NNCRONTAB enum valueQsciScintillaBase
SCLEX_NSIS enum valueQsciScintillaBase
SCLEX_NULL enum valueQsciScintillaBase
SCLEX_OCTAVE enum valueQsciScintillaBase
SCLEX_OPAL enum valueQsciScintillaBase
SCLEX_OSCRIPT enum valueQsciScintillaBase
SCLEX_PASCAL enum valueQsciScintillaBase
SCLEX_PERL enum valueQsciScintillaBase
SCLEX_PHP enum valueQsciScintillaBase
SCLEX_PHPSCRIPT enum valueQsciScintillaBase
SCLEX_PLM enum valueQsciScintillaBase
SCLEX_PO enum valueQsciScintillaBase
SCLEX_POV enum valueQsciScintillaBase
SCLEX_POWERBASIC enum valueQsciScintillaBase
SCLEX_POWERPRO enum valueQsciScintillaBase
SCLEX_POWERSHELL enum valueQsciScintillaBase
SCLEX_PROGRESS enum valueQsciScintillaBase
SCLEX_PROPERTIES enum valueQsciScintillaBase
SCLEX_PS enum valueQsciScintillaBase
SCLEX_PUREBASIC enum valueQsciScintillaBase
SCLEX_PYTHON enum valueQsciScintillaBase
SCLEX_R enum valueQsciScintillaBase
SCLEX_REBOL enum valueQsciScintillaBase
SCLEX_RUBY enum valueQsciScintillaBase
SCLEX_RUST enum valueQsciScintillaBase
SCLEX_SCRIPTOL enum valueQsciScintillaBase
SCLEX_SMALLTALK enum valueQsciScintillaBase
SCLEX_SML enum valueQsciScintillaBase
SCLEX_SORCUS enum valueQsciScintillaBase
SCLEX_SPECMAN enum valueQsciScintillaBase
SCLEX_SPICE enum valueQsciScintillaBase
SCLEX_SQL enum valueQsciScintillaBase
SCLEX_STTXT enum valueQsciScintillaBase
SCLEX_TACL enum valueQsciScintillaBase
SCLEX_TADS3 enum valueQsciScintillaBase
SCLEX_TAL enum valueQsciScintillaBase
SCLEX_TCL enum valueQsciScintillaBase
SCLEX_TCMD enum valueQsciScintillaBase
SCLEX_TEX enum valueQsciScintillaBase
SCLEX_TXT2TAGS enum valueQsciScintillaBase
SCLEX_VB enum valueQsciScintillaBase
SCLEX_VBSCRIPT enum valueQsciScintillaBase
SCLEX_VERILOG enum valueQsciScintillaBase
SCLEX_VHDL enum valueQsciScintillaBase
SCLEX_VISUALPROLOG enum valueQsciScintillaBase
SCLEX_XML enum valueQsciScintillaBase
SCLEX_YAML enum valueQsciScintillaBase
SCMOD_ALT enum valueQsciScintillaBase
SCMOD_CTRL enum valueQsciScintillaBase
SCMOD_META enum valueQsciScintillaBase
SCMOD_NORM enum valueQsciScintillaBase
SCMOD_SHIFT enum valueQsciScintillaBase
SCMOD_SUPER enum valueQsciScintillaBase
SCN_AUTOCCANCELLED()QsciScintillaBase [signal]
SCN_AUTOCCHARDELETED()QsciScintillaBase [signal]
SCN_AUTOCSELECTION(const char *selection, int position)QsciScintillaBase [signal]
SCN_CALLTIPCLICK(int direction)QsciScintillaBase [signal]
SCN_CHARADDED(int charadded)QsciScintillaBase [signal]
SCN_DOUBLECLICK(int position, int line, int modifiers)QsciScintillaBase [signal]
SCN_DWELLEND(int, int, int) (defined in QsciScintillaBase)QsciScintillaBase [signal]
SCN_DWELLSTART(int, int, int) (defined in QsciScintillaBase)QsciScintillaBase [signal]
SCN_FOCUSIN()QsciScintillaBase [signal]
SCN_FOCUSOUT()QsciScintillaBase [signal]
SCN_HOTSPOTCLICK(int position, int modifiers)QsciScintillaBase [signal]
SCN_HOTSPOTDOUBLECLICK(int position, int modifiers)QsciScintillaBase [signal]
SCN_HOTSPOTRELEASECLICK(int position, int modifiers)QsciScintillaBase [signal]
SCN_INDICATORCLICK(int position, int modifiers)QsciScintillaBase [signal]
SCN_INDICATORRELEASE(int position, int modifiers)QsciScintillaBase [signal]
SCN_MACRORECORD(unsigned int, unsigned long, void *)QsciScintillaBase [signal]
SCN_MARGINCLICK(int position, int modifiers, int margin)QsciScintillaBase [signal]
SCN_MODIFIED(int, int, const char *, int, int, int, int, int, int, int) (defined in QsciScintillaBase)QsciScintillaBase [signal]
SCN_MODIFYATTEMPTRO()QsciScintillaBase [signal]
SCN_NEEDSHOWN(int, int) (defined in QsciScintillaBase)QsciScintillaBase [signal]
SCN_PAINTED()QsciScintillaBase [signal]
SCN_SAVEPOINTLEFT()QsciScintillaBase [signal]
SCN_SAVEPOINTREACHED()QsciScintillaBase [signal]
SCN_STYLENEEDED(int position)QsciScintillaBase [signal]
SCN_UPDATEUI(int updated)QsciScintillaBase [signal]
SCN_USERLISTSELECTION(const char *, int) (defined in QsciScintillaBase)QsciScintillaBase [signal]
SCN_ZOOM() (defined in QsciScintillaBase)QsciScintillaBase [signal]
scrollContentsBy(int dx, int dy) (defined in QsciScintillaBase)QsciScintillaBase [protected, virtual]
SCVS_NONE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCVS_RECTANGULARSELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCVS_USERACCESSIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCWS_INVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCWS_VISIBLEAFTERINDENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCWS_VISIBLEALWAYS enum value (defined in QsciScintillaBase)QsciScintillaBase
SendScintilla(unsigned int msg, unsigned long wParam=0, long lParam=0) const QsciScintillaBase
SendScintilla(unsigned int msg, unsigned long wParam, void *lParam) const QsciScintillaBase
SendScintilla(unsigned int msg, unsigned long wParam, const char *lParam) const QsciScintillaBase
SendScintilla(unsigned int msg, const char *lParam) const QsciScintillaBase
SendScintilla(unsigned int msg, const char *wParam, const char *lParam) const QsciScintillaBase
SendScintilla(unsigned int msg, long wParam) const QsciScintillaBase
SendScintilla(unsigned int msg, int wParam) const QsciScintillaBase
SendScintilla(unsigned int msg, long cpMin, long cpMax, char *lpstrText) const QsciScintillaBase
SendScintilla(unsigned int msg, unsigned long wParam, const QColor &col) const QsciScintillaBase
SendScintilla(unsigned int msg, const QColor &col) const QsciScintillaBase
SendScintilla(unsigned int msg, unsigned long wParam, QPainter *hdc, const QRect &rc, long cpMin, long cpMax) const QsciScintillaBase
SendScintilla(unsigned int msg, unsigned long wParam, const QPixmap &lParam) const QsciScintillaBase
SendScintilla(unsigned int msg, unsigned long wParam, const QImage &lParam) const QsciScintillaBase
SendScintillaPtrResult(unsigned int msg) const QsciScintillaBase
setScrollBars() (defined in QsciScintillaBase)QsciScintillaBase [protected]
STYLE_BRACEBAD enum value (defined in QsciScintillaBase)QsciScintillaBase
STYLE_BRACELIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
STYLE_CALLTIP enum value (defined in QsciScintillaBase)QsciScintillaBase
STYLE_CONTROLCHAR enum value (defined in QsciScintillaBase)QsciScintillaBase
STYLE_DEFAULT enum value (defined in QsciScintillaBase)QsciScintillaBase
STYLE_INDENTGUIDE enum value (defined in QsciScintillaBase)QsciScintillaBase
STYLE_LASTPREDEFINED enum value (defined in QsciScintillaBase)QsciScintillaBase
STYLE_LINENUMBER enum value (defined in QsciScintillaBase)QsciScintillaBase
STYLE_MAX enum value (defined in QsciScintillaBase)QsciScintillaBase
textAsBytes(const QString &text) const (defined in QsciScintillaBase)QsciScintillaBase [protected]
toMimeData(const QByteArray &text, bool rectangular) const QsciScintillaBase [protected, virtual]
UNDO_MAY_COALESCE enum value (defined in QsciScintillaBase)QsciScintillaBase
VISIBLE_SLOP enum value (defined in QsciScintillaBase)QsciScintillaBase
VISIBLE_STRICT enum value (defined in QsciScintillaBase)QsciScintillaBase
~QsciScintillaBase()QsciScintillaBase [virtual]
- +

This is the complete list of members for QsciScintillaBase, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ANNOTATION_BOXED enum value (defined in QsciScintillaBase)QsciScintillaBase
ANNOTATION_HIDDEN enum value (defined in QsciScintillaBase)QsciScintillaBase
ANNOTATION_INDENTED enum value (defined in QsciScintillaBase)QsciScintillaBase
ANNOTATION_STANDARD enum value (defined in QsciScintillaBase)QsciScintillaBase
bytesAsText(const char *bytes) const (defined in QsciScintillaBase)QsciScintillaBaseprotected
canInsertFromMimeData(const QMimeData *source) const QsciScintillaBaseprotectedvirtual
CARET_EVEN enum value (defined in QsciScintillaBase)QsciScintillaBase
CARET_JUMPS enum value (defined in QsciScintillaBase)QsciScintillaBase
CARET_SLOP enum value (defined in QsciScintillaBase)QsciScintillaBase
CARET_STRICT enum value (defined in QsciScintillaBase)QsciScintillaBase
CARETSTYLE_BLOCK enum value (defined in QsciScintillaBase)QsciScintillaBase
CARETSTYLE_INVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
CARETSTYLE_LINE enum value (defined in QsciScintillaBase)QsciScintillaBase
commandKey(int qt_key, int &modifiers) (defined in QsciScintillaBase)QsciScintillaBasestatic
contextMenuEvent(QContextMenuEvent *e)QsciScintillaBaseprotectedvirtual
dragEnterEvent(QDragEnterEvent *e)QsciScintillaBaseprotectedvirtual
dragLeaveEvent(QDragLeaveEvent *e)QsciScintillaBaseprotectedvirtual
dragMoveEvent(QDragMoveEvent *e)QsciScintillaBaseprotectedvirtual
dropEvent(QDropEvent *e)QsciScintillaBaseprotectedvirtual
EDGE_BACKGROUND enum value (defined in QsciScintillaBase)QsciScintillaBase
EDGE_LINE enum value (defined in QsciScintillaBase)QsciScintillaBase
EDGE_NONE enum value (defined in QsciScintillaBase)QsciScintillaBase
focusInEvent(QFocusEvent *e)QsciScintillaBaseprotectedvirtual
focusNextPrevChild(bool next)QsciScintillaBaseprotectedvirtual
focusOutEvent(QFocusEvent *e)QsciScintillaBaseprotectedvirtual
fromMimeData(const QMimeData *source, bool &rectangular) const QsciScintillaBaseprotectedvirtual
INDIC0_MASK enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC1_MASK enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC2_MASK enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_BOX enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_COMPOSITIONTHICK enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_COMPOSITIONTHIN enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_CONTAINER enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_DASH enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_DIAGONAL enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_DOTBOX enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_DOTS enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_FULLBOX enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_HIDDEN enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_IME enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_IME_MAX enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_MAX enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_PLAIN enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_ROUNDBOX enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_SQUIGGLE enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_SQUIGGLELOW enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_SQUIGGLEPIXMAP enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_STRAIGHTBOX enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_STRIKE enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_TEXTFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_TT enum value (defined in QsciScintillaBase)QsciScintillaBase
INDICS_MASK enum value (defined in QsciScintillaBase)QsciScintillaBase
inputMethodEvent(QInputMethodEvent *event)QsciScintillaBaseprotectedvirtual
inputMethodQuery(Qt::InputMethodQuery query) const (defined in QsciScintillaBase)QsciScintillaBaseprotectedvirtual
keyPressEvent(QKeyEvent *e)QsciScintillaBaseprotectedvirtual
mouseDoubleClickEvent(QMouseEvent *e)QsciScintillaBaseprotectedvirtual
mouseMoveEvent(QMouseEvent *e)QsciScintillaBaseprotectedvirtual
mousePressEvent(QMouseEvent *e)QsciScintillaBaseprotectedvirtual
mouseReleaseEvent(QMouseEvent *e)QsciScintillaBaseprotectedvirtual
paintEvent(QPaintEvent *e)QsciScintillaBaseprotectedvirtual
pool()QsciScintillaBasestatic
QsciScintillaBase(QWidget *parent=0)QsciScintillaBaseexplicit
QSCN_SELCHANGED(bool yes)QsciScintillaBasesignal
resizeEvent(QResizeEvent *e)QsciScintillaBaseprotectedvirtual
SC_ALPHA_NOALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_ALPHA_OPAQUE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_ALPHA_TRANSPARENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_AUTOMATICFOLD_CHANGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_AUTOMATICFOLD_CLICK enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_AUTOMATICFOLD_SHOW enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CACHE_CARET enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CACHE_DOCUMENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CACHE_NONE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CACHE_PAGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CARETSTICKY_OFF enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CARETSTICKY_ON enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CARETSTICKY_WHITESPACE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CASE_LOWER enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CASE_MIXED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CASE_UPPER enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CASEINSENSITIVEBEHAVIOUR_IGNORECASE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CASEINSENSITIVEBEHAVIOUR_RESPECTCASE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_8859_15 enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_ANSI enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_ARABIC enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_BALTIC enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_CHINESEBIG5 enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_DEFAULT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_EASTEUROPE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_GB2312 enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_GREEK enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_HANGUL enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_HEBREW enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_JOHAB enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_MAC enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_OEM enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_RUSSIAN enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_SHIFTJIS enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_SYMBOL enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_THAI enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_TURKISH enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_VIETNAMESE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CP_DBCS enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CP_UTF8 enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CURSORARROW enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CURSORNORMAL enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CURSORREVERSEARROW enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CURSORWAIT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_EFF_QUALITY_ANTIALIASED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_EFF_QUALITY_DEFAULT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_EFF_QUALITY_LCD_OPTIMIZED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_EFF_QUALITY_MASK enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_EFF_QUALITY_NON_ANTIALIASED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_EOL_CR enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_EOL_CRLF enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_EOL_LF enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDACTION_CONTRACT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDACTION_EXPAND enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDACTION_TOGGLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDFLAG_LEVELNUMBERS enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDFLAG_LINEAFTER_CONTRACTED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDFLAG_LINEAFTER_EXPANDED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDFLAG_LINEBEFORE_CONTRACTED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDFLAG_LINEBEFORE_EXPANDED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDFLAG_LINESTATE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDLEVELBASE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDLEVELHEADERFLAG enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDLEVELNUMBERMASK enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDLEVELWHITEFLAG enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FONT_SIZE_MULTIPLIER enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_IME_INLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_IME_WINDOWED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_INDICFLAG_VALUEBEFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_INDICVALUEBIT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_INDICVALUEMASK enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_IV_LOOKBOTH enum valueQsciScintillaBase
SC_IV_LOOKFORWARD enum valueQsciScintillaBase
SC_IV_NONE enum valueQsciScintillaBase
SC_IV_REAL enum valueQsciScintillaBase
SC_LASTSTEPINUNDOREDO enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_LINE_END_TYPE_DEFAULT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_LINE_END_TYPE_UNICODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MARGIN_BACK enum valueQsciScintillaBase
SC_MARGIN_FORE enum valueQsciScintillaBase
SC_MARGIN_NUMBER enum valueQsciScintillaBase
SC_MARGIN_RTEXT enum valueQsciScintillaBase
SC_MARGIN_SYMBOL enum valueQsciScintillaBase
SC_MARGIN_TEXT enum valueQsciScintillaBase
SC_MARGINOPTION_NONE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MARGINOPTION_SUBLINESELECT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MARK_ARROW enum valueQsciScintillaBase
SC_MARK_ARROWDOWN enum valueQsciScintillaBase
SC_MARK_ARROWS enum valueQsciScintillaBase
SC_MARK_AVAILABLE enum valueQsciScintillaBase
SC_MARK_BACKGROUND enum valueQsciScintillaBase
SC_MARK_BOOKMARK enum valueQsciScintillaBase
SC_MARK_BOXMINUS enum valueQsciScintillaBase
SC_MARK_BOXMINUSCONNECTED enum valueQsciScintillaBase
SC_MARK_BOXPLUS enum valueQsciScintillaBase
SC_MARK_BOXPLUSCONNECTED enum valueQsciScintillaBase
SC_MARK_CHARACTER enum valueQsciScintillaBase
SC_MARK_CIRCLE enum valueQsciScintillaBase
SC_MARK_CIRCLEMINUS enum valueQsciScintillaBase
SC_MARK_CIRCLEMINUSCONNECTED enum valueQsciScintillaBase
SC_MARK_CIRCLEPLUS enum valueQsciScintillaBase
SC_MARK_CIRCLEPLUSCONNECTED enum valueQsciScintillaBase
SC_MARK_DOTDOTDOT enum valueQsciScintillaBase
SC_MARK_EMPTY enum valueQsciScintillaBase
SC_MARK_FULLRECT enum valueQsciScintillaBase
SC_MARK_LCORNER enum valueQsciScintillaBase
SC_MARK_LCORNERCURVE enum valueQsciScintillaBase
SC_MARK_LEFTRECT enum valueQsciScintillaBase
SC_MARK_MINUS enum valueQsciScintillaBase
SC_MARK_PIXMAP enum valueQsciScintillaBase
SC_MARK_PLUS enum valueQsciScintillaBase
SC_MARK_RGBAIMAGE enum valueQsciScintillaBase
SC_MARK_ROUNDRECT enum valueQsciScintillaBase
SC_MARK_SHORTARROW enum valueQsciScintillaBase
SC_MARK_SMALLRECT enum valueQsciScintillaBase
SC_MARK_TCORNER enum valueQsciScintillaBase
SC_MARK_TCORNERCURVE enum valueQsciScintillaBase
SC_MARK_UNDERLINE enum valueQsciScintillaBase
SC_MARK_VLINE enum valueQsciScintillaBase
SC_MARKNUM_FOLDER enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MARKNUM_FOLDEREND enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MARKNUM_FOLDERMIDTAIL enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MARKNUM_FOLDEROPEN enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MARKNUM_FOLDEROPENMID enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MARKNUM_FOLDERSUB enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MARKNUM_FOLDERTAIL enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MASK_FOLDERS enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_BEFOREDELETE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_BEFOREINSERT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_CHANGEANNOTATION enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_CHANGEFOLD enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_CHANGEINDICATOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_CHANGELINESTATE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_CHANGEMARGIN enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_CHANGEMARKER enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_CHANGESTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_CHANGETABSTOPS enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_CONTAINER enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_DELETETEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_INSERTCHECK enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_INSERTTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_LEXERSTATE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MODEVENTMASKALL enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MULTIAUTOC_EACH enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MULTIAUTOC_ONCE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MULTILINEUNDOREDO enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MULTIPASTE_EACH enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MULTIPASTE_ONCE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MULTISTEPUNDOREDO enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_ORDER_CUSTOM enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_ORDER_PERFORMSORT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_ORDER_PRESORTED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_PERFORMED_REDO enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_PERFORMED_UNDO enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_PERFORMED_USER enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_PHASES_MULTIPLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_PHASES_ONE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_PHASES_TWO enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_PRINT_BLACKONWHITE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_PRINT_COLOURONWHITE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_PRINT_COLOURONWHITEDEFAULTBG enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_PRINT_INVERTLIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_PRINT_NORMAL enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_SEL_LINES enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_SEL_RECTANGLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_SEL_STREAM enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_SEL_THIN enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_STARTACTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_STATUS_BADALLOC enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_STATUS_FAILURE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_STATUS_OK enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_STATUS_WARN_START enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_STATUS_WARNREGEX enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_TECHNOLOGY_DEFAULT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_TECHNOLOGY_DIRECTWRITE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_TECHNOLOGY_DIRECTWRITEDC enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_TECHNOLOGY_DIRECTWRITERETAIN enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_TIME_FOREVER enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_TYPE_BOOLEAN enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_TYPE_INTEGER enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_TYPE_STRING enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_UPDATE_CONTENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_UPDATE_H_SCROLL enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_UPDATE_SELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_UPDATE_V_SCROLL enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WEIGHT_BOLD enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WEIGHT_NORMAL enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WEIGHT_SEMIBOLD enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAP_CHAR enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAP_NONE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAP_WHITESPACE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAP_WORD enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAPINDENT_FIXED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAPINDENT_INDENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAPINDENT_SAME enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAPVISUALFLAG_END enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAPVISUALFLAG_MARGIN enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAPVISUALFLAG_NONE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAPVISUALFLAG_START enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAPVISUALFLAGLOC_DEFAULT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAPVISUALFLAGLOC_END_BY_TEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAPVISUALFLAGLOC_START_BY_TEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCEN_CHANGE()QsciScintillaBasesignal
SCFIND_CXX11REGEX enum value (defined in QsciScintillaBase)QsciScintillaBase
SCFIND_MATCHCASE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCFIND_POSIX enum value (defined in QsciScintillaBase)QsciScintillaBase
SCFIND_REGEXP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCFIND_WHOLEWORD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCFIND_WORDSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ADDREFDOCUMENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ADDSELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ADDSTYLEDTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ADDTABSTOP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ADDTEXT enum valueQsciScintillaBase
SCI_ADDUNDOACTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ALLOCATE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ALLOCATEEXTENDEDSTYLES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ALLOCATESUBSTYLES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONCLEARALL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONGETLINES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONGETSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONGETSTYLEOFFSET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONGETSTYLES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONGETTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONGETVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONSETSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONSETSTYLEOFFSET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONSETSTYLES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONSETTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONSETVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_APPENDTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ASSIGNCMDKEY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCACTIVE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCCANCEL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCCOMPLETE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETAUTOHIDE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETCANCELATSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETCASEINSENSITIVEBEHAVIOUR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETCHOOSESINGLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETCURRENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETCURRENTTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETDROPRESTOFWORD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETIGNORECASE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETMAXHEIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETMAXWIDTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETMULTI enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETORDER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETSEPARATOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETTYPESEPARATOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCPOSSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSELECT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETAUTOHIDE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETCANCELATSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETCASEINSENSITIVEBEHAVIOUR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETCHOOSESINGLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETDROPRESTOFWORD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETFILLUPS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETIGNORECASE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETMAXHEIGHT enum valueQsciScintillaBase
SCI_AUTOCSETMAXWIDTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETMULTI enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETORDER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETSEPARATOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETTYPESEPARATOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSHOW enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSTOPS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_BACKTAB enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_BEGINUNDOACTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_BRACEBADLIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_BRACEBADLIGHTINDICATOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_BRACEHIGHLIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_BRACEHIGHLIGHTINDICATOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_BRACEMATCH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPACTIVE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPCANCEL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPPOSSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPSETBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPSETFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPSETFOREHLT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPSETHLT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPSETPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPSETPOSSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPSHOW enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPUSESTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CANCEL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CANPASTE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CANREDO enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CANUNDO enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHANGEINSERTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHANGELEXERSTATE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHARLEFT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHARLEFTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHARLEFTRECTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHARPOSITIONFROMPOINT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHARPOSITIONFROMPOINTCLOSE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHARRIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHARRIGHTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHARRIGHTRECTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHOOSECARETX enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CLEAR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CLEARALL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CLEARALLCMDKEYS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CLEARCMDKEY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CLEARDOCUMENTSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CLEARREGISTEREDIMAGES enum valueQsciScintillaBase
SCI_CLEARREPRESENTATION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CLEARSELECTIONS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CLEARTABSTOPS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_COLOURISE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CONTRACTEDFOLDNEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CONVERTEOLS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_COPY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_COPYALLOWLINE enum valueQsciScintillaBase
SCI_COPYRANGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_COPYTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_COUNTCHARACTERS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CREATEDOCUMENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CREATELOADER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CUT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DELETEBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DELETEBACKNOTLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DELETERANGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DELLINELEFT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DELLINERIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DELWORDLEFT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DELWORDRIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DELWORDRIGHTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DESCRIBEKEYWORDSETS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DESCRIBEPROPERTY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DISTANCETOSECONDARYSTYLES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DOCLINEFROMVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DOCUMENTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DOCUMENTENDEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DOCUMENTSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DOCUMENTSTARTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DROPSELECTIONN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_EDITTOGGLEOVERTYPE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_EMPTYUNDOBUFFER enum valueQsciScintillaBase
SCI_ENDUNDOACTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ENSUREVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ENSUREVISIBLEENFORCEPOLICY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_EXPANDCHILDREN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FINDCOLUMN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FINDINDICATORFLASH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FINDINDICATORHIDE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FINDINDICATORSHOW enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FINDTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FOLDALL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FOLDCHILDREN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FOLDLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FORMATRANGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FORMFEED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FREESUBSTYLES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETADDITIONALCARETFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETADDITIONALCARETSBLINK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETADDITIONALCARETSVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETADDITIONALSELALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETADDITIONALSELECTIONTYPING enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETALLLINESVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETANCHOR enum valueQsciScintillaBase
SCI_GETAUTOMATICFOLD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETBACKSPACEUNINDENTS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETBUFFEREDDRAW enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCARETFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCARETLINEBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCARETLINEBACKALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCARETLINEVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCARETLINEVISIBLEALWAYS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCARETPERIOD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCARETSTICKY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCARETSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCARETWIDTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCHARACTERPOINTER enum valueQsciScintillaBase
SCI_GETCHARAT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCODEPAGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCOLUMN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCONTROLCHARSYMBOL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCURLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCURRENTPOS enum valueQsciScintillaBase
SCI_GETCURSOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETDIRECTFUNCTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETDIRECTPOINTER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETDOCPOINTER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETEDGECOLOUR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETEDGECOLUMN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETEDGEMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETENDATLASTLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETENDSTYLED enum valueQsciScintillaBase
SCI_GETEOLMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETEXTRAASCENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETEXTRADESCENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETFIRSTVISIBLELINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETFOCUS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETFOLDEXPANDED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETFOLDLEVEL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETFOLDPARENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETFONTQUALITY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETGAPPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETHIGHLIGHTGUIDE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETHOTSPOTACTIVEBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETHOTSPOTACTIVEFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETHOTSPOTACTIVEUNDERLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETHOTSPOTSINGLELINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETHSCROLLBAR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETIDENTIFIER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETIMEINTERACTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETINDENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETINDENTATIONGUIDES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETINDICATORCURRENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETINDICATORVALUE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETKEYSUNICODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLASTCHILD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLAYOUTCACHE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLENGTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLEXER enum valueQsciScintillaBase
SCI_GETLEXERLANGUAGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINECOUNT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINEENDPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINEENDTYPESACTIVE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINEENDTYPESALLOWED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINEENDTYPESSUPPORTED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINEINDENTATION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINEINDENTPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINESELENDPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINESELSTARTPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINESTATE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINEVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMAINSELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMARGINCURSORN enum valueQsciScintillaBase
SCI_GETMARGINLEFT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMARGINMASKN enum valueQsciScintillaBase
SCI_GETMARGINOPTIONS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMARGINRIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMARGINSENSITIVEN enum valueQsciScintillaBase
SCI_GETMARGINTYPEN enum valueQsciScintillaBase
SCI_GETMARGINWIDTHN enum valueQsciScintillaBase
SCI_GETMAXLINESTATE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMODEVENTMASK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMODIFY enum valueQsciScintillaBase
SCI_GETMOUSEDOWNCAPTURES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMOUSEDWELLTIME enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMOUSESELECTIONRECTANGULARSWITCH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMULTIPASTE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMULTIPLESELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETNEXTTABSTOP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETOVERTYPE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETPASTECONVERTENDINGS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETPHASESDRAW enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETPOSITIONCACHE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETPRIMARYSTYLEFROMSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETPRINTCOLOURMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETPRINTMAGNIFICATION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETPRINTWRAPMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETPROPERTY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETPROPERTYEXPANDED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETPROPERTYINT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETPUNCTUATIONCHARS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETRANGEPOINTER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETREADONLY enum valueQsciScintillaBase
SCI_GETRECTANGULARSELECTIONANCHOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETRECTANGULARSELECTIONANCHORVIRTUALSPACE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETRECTANGULARSELECTIONCARET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETRECTANGULARSELECTIONCARETVIRTUALSPACE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETRECTANGULARSELECTIONMODIFIER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETREPRESENTATION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSCROLLWIDTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSCROLLWIDTHTRACKING enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSEARCHFLAGS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONEMPTY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONNANCHOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONNANCHORVIRTUALSPACE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONNCARET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONNCARETVIRTUALSPACE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONNEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONNSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELEOLFILLED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSTATUS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSTYLEAT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSTYLEBITS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSTYLEBITSNEEDED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSTYLEDTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSTYLEFROMSUBSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSUBSTYLEBASES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSUBSTYLESLENGTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSUBSTYLESSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETTABINDENTS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETTABWIDTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETTAG enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETTARGETEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETTARGETRANGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETTARGETSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETTECHNOLOGY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETTEXT enum valueQsciScintillaBase
SCI_GETTEXTLENGTH enum valueQsciScintillaBase
SCI_GETTEXTRANGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETTWOPHASEDRAW enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETUNDOCOLLECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETUSETABS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETVIEWEOL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETVIEWWS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETVIRTUALSPACEOPTIONS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETVSCROLLBAR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETWHITESPACECHARS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETWHITESPACESIZE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETWORDCHARS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETWRAPINDENTMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETWRAPMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETWRAPSTARTINDENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETWRAPVISUALFLAGS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETWRAPVISUALFLAGSLOCATION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETXOFFSET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETZOOM enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GOTOLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GOTOPOS enum valueQsciScintillaBase
SCI_GRABFOCUS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_HIDELINES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_HIDESELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_HOME enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_HOMEDISPLAY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_HOMEDISPLAYEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_HOMEEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_HOMERECTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_HOMEWRAP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_HOMEWRAPEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICATORALLONFOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICATORCLEARRANGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICATOREND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICATORFILLRANGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICATORSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICATORVALUEAT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICGETALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICGETFLAGS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICGETFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICGETHOVERFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICGETHOVERSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICGETOUTLINEALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICGETSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICGETUNDER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICSETALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICSETFLAGS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICSETFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICSETHOVERFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICSETHOVERSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICSETOUTLINEALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICSETSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICSETUNDER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INSERTTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LEXER_START enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINECOPY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINECUT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEDELETE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEDOWN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEDOWNEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEDOWNRECTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEDUPLICATE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEENDDISPLAY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEENDDISPLAYEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEENDEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEENDRECTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEENDWRAP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEENDWRAPEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEFROMPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINELENGTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINESCROLL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINESCROLLDOWN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINESCROLLUP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINESJOIN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINESONSCREEN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINESSPLIT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINETRANSPOSE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEUP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEUPEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEUPRECTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LOADLEXERLIBRARY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LOWERCASE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARGINGETSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARGINGETSTYLEOFFSET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARGINGETSTYLES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARGINGETTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARGINSETSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARGINSETSTYLEOFFSET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARGINSETSTYLES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARGINSETTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARGINTEXTCLEARALL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARKERADD enum valueQsciScintillaBase
SCI_MARKERADDSET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARKERDEFINE enum valueQsciScintillaBase
SCI_MARKERDEFINEPIXMAP enum valueQsciScintillaBase
SCI_MARKERDEFINERGBAIMAGE enum valueQsciScintillaBase
SCI_MARKERDELETE enum valueQsciScintillaBase
SCI_MARKERDELETEALL enum valueQsciScintillaBase
SCI_MARKERDELETEHANDLE enum valueQsciScintillaBase
SCI_MARKERENABLEHIGHLIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARKERGET enum valueQsciScintillaBase
SCI_MARKERLINEFROMHANDLE enum valueQsciScintillaBase
SCI_MARKERNEXT enum valueQsciScintillaBase
SCI_MARKERPREVIOUS enum valueQsciScintillaBase
SCI_MARKERSETALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARKERSETBACK enum valueQsciScintillaBase
SCI_MARKERSETBACKSELECTED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARKERSETFORE enum valueQsciScintillaBase
SCI_MARKERSYMBOLDEFINED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MOVECARETINSIDEVIEW enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MOVESELECTEDLINESDOWN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MOVESELECTEDLINESUP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_NEWLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_NULL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_OPTIONAL_START enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PAGEDOWN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PAGEDOWNEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PAGEDOWNRECTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PAGEUP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PAGEUPEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PAGEUPRECTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PARADOWN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PARADOWNEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PARAUP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PARAUPEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PASTE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_POINTXFROMPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_POINTYFROMPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_POSITIONAFTER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_POSITIONBEFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_POSITIONFROMLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_POSITIONFROMPOINT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_POSITIONFROMPOINTCLOSE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_POSITIONRELATIVE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PRIVATELEXERCALL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PROPERTYNAMES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PROPERTYTYPE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_REDO enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_REGISTERIMAGE enum valueQsciScintillaBase
SCI_REGISTERRGBAIMAGE enum valueQsciScintillaBase
SCI_RELEASEALLEXTENDEDSTYLES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_RELEASEDOCUMENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_REPLACESEL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_REPLACETARGET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_REPLACETARGETRE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_RGBAIMAGESETHEIGHT enum valueQsciScintillaBase
SCI_RGBAIMAGESETSCALE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_RGBAIMAGESETWIDTH enum valueQsciScintillaBase
SCI_ROTATESELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SCROLLCARET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SCROLLRANGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SCROLLTOEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SCROLLTOSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SEARCHANCHOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SEARCHINTARGET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SEARCHNEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SEARCHPREV enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SELECTALL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SELECTIONDUPLICATE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SELECTIONISRECTANGLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETADDITIONALCARETFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETADDITIONALCARETSBLINK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETADDITIONALCARETSVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETADDITIONALSELALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETADDITIONALSELBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETADDITIONALSELECTIONTYPING enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETADDITIONALSELFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETANCHOR enum valueQsciScintillaBase
SCI_SETAUTOMATICFOLD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETBACKSPACEUNINDENTS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETBUFFEREDDRAW enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCARETFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCARETLINEBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCARETLINEBACKALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCARETLINEVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCARETLINEVISIBLEALWAYS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCARETPERIOD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCARETSTICKY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCARETSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCARETWIDTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCHARSDEFAULT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCODEPAGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCONTROLCHARSYMBOL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCURRENTPOS enum valueQsciScintillaBase
SCI_SETCURSOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETDOCPOINTER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETEDGECOLOUR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETEDGECOLUMN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETEDGEMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETEMPTYSELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETENDATLASTLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETEOLMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETEXTRAASCENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETEXTRADESCENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETFIRSTVISIBLELINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETFOCUS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETFOLDEXPANDED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETFOLDFLAGS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETFOLDLEVEL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETFOLDMARGINCOLOUR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETFOLDMARGINHICOLOUR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETFONTQUALITY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETHIGHLIGHTGUIDE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETHOTSPOTACTIVEBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETHOTSPOTACTIVEFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETHOTSPOTACTIVEUNDERLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETHOTSPOTSINGLELINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETHSCROLLBAR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETIDENTIFIER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETIDENTIFIERS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETIMEINTERACTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETINDENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETINDENTATIONGUIDES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETINDICATORCURRENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETINDICATORVALUE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETKEYSUNICODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETKEYWORDS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETLAYOUTCACHE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETLEXER enum valueQsciScintillaBase
SCI_SETLEXERLANGUAGE enum valueQsciScintillaBase
SCI_SETLINEENDTYPESALLOWED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETLINEINDENTATION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETLINESTATE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETMAINSELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETMARGINCURSORN enum valueQsciScintillaBase
SCI_SETMARGINLEFT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETMARGINMASKN enum valueQsciScintillaBase
SCI_SETMARGINOPTIONS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETMARGINRIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETMARGINSENSITIVEN enum valueQsciScintillaBase
SCI_SETMARGINTYPEN enum valueQsciScintillaBase
SCI_SETMARGINWIDTHN enum valueQsciScintillaBase
SCI_SETMODEVENTMASK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETMOUSEDOWNCAPTURES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETMOUSEDWELLTIME enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETMOUSESELECTIONRECTANGULARSWITCH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETMULTIPASTE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETMULTIPLESELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETOVERTYPE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETPASTECONVERTENDINGS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETPHASESDRAW enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETPOSITIONCACHE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETPRINTCOLOURMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETPRINTMAGNIFICATION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETPRINTWRAPMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETPROPERTY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETPUNCTUATIONCHARS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETREADONLY enum valueQsciScintillaBase
SCI_SETRECTANGULARSELECTIONANCHOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETRECTANGULARSELECTIONANCHORVIRTUALSPACE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETRECTANGULARSELECTIONCARET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETRECTANGULARSELECTIONCARETVIRTUALSPACE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETRECTANGULARSELECTIONMODIFIER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETREPRESENTATION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSAVEPOINT enum valueQsciScintillaBase
SCI_SETSCROLLWIDTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSCROLLWIDTHTRACKING enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSEARCHFLAGS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSEL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELECTIONEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELECTIONMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELECTIONNANCHOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELECTIONNANCHORVIRTUALSPACE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELECTIONNCARET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELECTIONNCARETVIRTUALSPACE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELECTIONNEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELECTIONNSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELECTIONSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELEOLFILLED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSTATUS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSTYLEBITS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSTYLING enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSTYLINGEX enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETTABINDENTS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETTABWIDTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETTARGETEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETTARGETRANGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETTARGETSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETTECHNOLOGY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETTEXT enum valueQsciScintillaBase
SCI_SETTWOPHASEDRAW enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETUNDOCOLLECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETUSETABS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETVIEWEOL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETVIEWWS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETVIRTUALSPACEOPTIONS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETVISIBLEPOLICY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETVSCROLLBAR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETWHITESPACEBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETWHITESPACECHARS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETWHITESPACEFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETWHITESPACESIZE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETWORDCHARS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETWRAPINDENTMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETWRAPMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETWRAPSTARTINDENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETWRAPVISUALFLAGS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETWRAPVISUALFLAGSLOCATION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETXCARETPOLICY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETXOFFSET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETYCARETPOLICY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETZOOM enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SHOWLINES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_START enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STARTRECORD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STARTSTYLING enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STOPRECORD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STUTTEREDPAGEDOWN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STUTTEREDPAGEDOWNEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STUTTEREDPAGEUP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STUTTEREDPAGEUPEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLECLEARALL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETBOLD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETCASE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETCHANGEABLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETCHARACTERSET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETEOLFILLED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETFONT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETHOTSPOT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETITALIC enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETSIZE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETSIZEFRACTIONAL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETUNDERLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETWEIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLERESETDEFAULT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETBOLD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETCASE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETCHANGEABLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETCHARACTERSET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETEOLFILLED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETFONT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETHOTSPOT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETITALIC enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETSIZE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETSIZEFRACTIONAL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETUNDERLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETWEIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SWAPMAINANCHORCARET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_TAB enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_TARGETFROMSELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_TEXTHEIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_TEXTWIDTH enum valueQsciScintillaBase
SCI_TOGGLECARETSTICKY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_TOGGLEFOLD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_UNDO enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_UPPERCASE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_USEPOPUP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_USERLISTSHOW enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_VCHOME enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_VCHOMEDISPLAY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_VCHOMEDISPLAYEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_VCHOMEEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_VCHOMERECTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_VCHOMEWRAP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_VCHOMEWRAPEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_VERTICALCENTRECARET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_VISIBLEFROMDOCLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDENDPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDLEFT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDLEFTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDLEFTENDEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDLEFTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDPARTLEFT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDPARTLEFTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDPARTRIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDPARTRIGHTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDRIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDRIGHTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDRIGHTENDEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDRIGHTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDSTARTPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WRAPCOUNT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ZOOMIN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ZOOMOUT enum value (defined in QsciScintillaBase)QsciScintillaBase
ScintillaBytes typedef (defined in QsciScintillaBase)QsciScintillaBaseprotected
SCK_ADD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_BACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_DELETE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_DIVIDE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_DOWN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_END enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_ESCAPE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_HOME enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_INSERT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_LEFT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_MENU enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_NEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_PRIOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_RETURN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_RIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_RWIN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_SUBTRACT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_TAB enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_UP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_WIN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCLEX_A68K enum valueQsciScintillaBase
SCLEX_ABAQUS enum valueQsciScintillaBase
SCLEX_ADA enum valueQsciScintillaBase
SCLEX_APDL enum valueQsciScintillaBase
SCLEX_AS enum valueQsciScintillaBase
SCLEX_ASM enum valueQsciScintillaBase
SCLEX_ASN1 enum valueQsciScintillaBase
SCLEX_ASP enum valueQsciScintillaBase
SCLEX_ASYMPTOTE enum valueQsciScintillaBase
SCLEX_AU3 enum valueQsciScintillaBase
SCLEX_AVE enum valueQsciScintillaBase
SCLEX_AVS enum valueQsciScintillaBase
SCLEX_BAAN enum valueQsciScintillaBase
SCLEX_BASH enum valueQsciScintillaBase
SCLEX_BATCH enum valueQsciScintillaBase
SCLEX_BIBTEX enum valueQsciScintillaBase
SCLEX_BLITZBASIC enum valueQsciScintillaBase
SCLEX_BULLANT enum valueQsciScintillaBase
SCLEX_CAML enum valueQsciScintillaBase
SCLEX_CLW enum valueQsciScintillaBase
SCLEX_CLWNOCASE enum valueQsciScintillaBase
SCLEX_CMAKE enum valueQsciScintillaBase
SCLEX_COBOL enum valueQsciScintillaBase
SCLEX_COFFEESCRIPT enum valueQsciScintillaBase
SCLEX_CONF enum valueQsciScintillaBase
SCLEX_CONTAINER enum valueQsciScintillaBase
SCLEX_CPP enum valueQsciScintillaBase
SCLEX_CPPNOCASE enum valueQsciScintillaBase
SCLEX_CSOUND enum valueQsciScintillaBase
SCLEX_CSS enum valueQsciScintillaBase
SCLEX_D enum valueQsciScintillaBase
SCLEX_DIFF enum valueQsciScintillaBase
SCLEX_DMAP enum valueQsciScintillaBase
SCLEX_DMIS enum valueQsciScintillaBase
SCLEX_ECL enum valueQsciScintillaBase
SCLEX_EIFFEL enum valueQsciScintillaBase
SCLEX_EIFFELKW enum valueQsciScintillaBase
SCLEX_ERLANG enum valueQsciScintillaBase
SCLEX_ERRORLIST enum valueQsciScintillaBase
SCLEX_ESCRIPT enum valueQsciScintillaBase
SCLEX_F77 enum valueQsciScintillaBase
SCLEX_FLAGSHIP enum valueQsciScintillaBase
SCLEX_FORTH enum valueQsciScintillaBase
SCLEX_FORTRAN enum valueQsciScintillaBase
SCLEX_FREEBASIC enum valueQsciScintillaBase
SCLEX_GAP enum valueQsciScintillaBase
SCLEX_GUI4CLI enum valueQsciScintillaBase
SCLEX_HASKELL enum valueQsciScintillaBase
SCLEX_HTML enum valueQsciScintillaBase
SCLEX_IHEX enum valueQsciScintillaBase
SCLEX_INNOSETUP enum valueQsciScintillaBase
SCLEX_KIX enum valueQsciScintillaBase
SCLEX_KVIRC enum valueQsciScintillaBase
SCLEX_LATEX enum valueQsciScintillaBase
SCLEX_LISP enum valueQsciScintillaBase
SCLEX_LITERATEHASKELL enum valueQsciScintillaBase
SCLEX_LOT enum valueQsciScintillaBase
SCLEX_LOUT enum valueQsciScintillaBase
SCLEX_LUA enum valueQsciScintillaBase
SCLEX_MAGIK enum valueQsciScintillaBase
SCLEX_MAKEFILE enum valueQsciScintillaBase
SCLEX_MARKDOWN enum valueQsciScintillaBase
SCLEX_MATLAB enum valueQsciScintillaBase
SCLEX_METAPOST enum valueQsciScintillaBase
SCLEX_MMIXAL enum valueQsciScintillaBase
SCLEX_MODULA enum valueQsciScintillaBase
SCLEX_MSSQL enum valueQsciScintillaBase
SCLEX_MYSQL enum valueQsciScintillaBase
SCLEX_NIMROD enum valueQsciScintillaBase
SCLEX_NNCRONTAB enum valueQsciScintillaBase
SCLEX_NSIS enum valueQsciScintillaBase
SCLEX_NULL enum valueQsciScintillaBase
SCLEX_OCTAVE enum valueQsciScintillaBase
SCLEX_OPAL enum valueQsciScintillaBase
SCLEX_OSCRIPT enum valueQsciScintillaBase
SCLEX_PASCAL enum valueQsciScintillaBase
SCLEX_PERL enum valueQsciScintillaBase
SCLEX_PHP enum valueQsciScintillaBase
SCLEX_PHPSCRIPT enum valueQsciScintillaBase
SCLEX_PLM enum valueQsciScintillaBase
SCLEX_PO enum valueQsciScintillaBase
SCLEX_POV enum valueQsciScintillaBase
SCLEX_POWERBASIC enum valueQsciScintillaBase
SCLEX_POWERPRO enum valueQsciScintillaBase
SCLEX_POWERSHELL enum valueQsciScintillaBase
SCLEX_PROGRESS enum valueQsciScintillaBase
SCLEX_PROPERTIES enum valueQsciScintillaBase
SCLEX_PS enum valueQsciScintillaBase
SCLEX_PUREBASIC enum valueQsciScintillaBase
SCLEX_PYTHON enum valueQsciScintillaBase
SCLEX_R enum valueQsciScintillaBase
SCLEX_REBOL enum valueQsciScintillaBase
SCLEX_REGISTRY enum valueQsciScintillaBase
SCLEX_RUBY enum valueQsciScintillaBase
SCLEX_RUST enum valueQsciScintillaBase
SCLEX_SCRIPTOL enum valueQsciScintillaBase
SCLEX_SMALLTALK enum valueQsciScintillaBase
SCLEX_SML enum valueQsciScintillaBase
SCLEX_SORCUS enum valueQsciScintillaBase
SCLEX_SPECMAN enum valueQsciScintillaBase
SCLEX_SPICE enum valueQsciScintillaBase
SCLEX_SQL enum valueQsciScintillaBase
SCLEX_SREC enum valueQsciScintillaBase
SCLEX_STTXT enum valueQsciScintillaBase
SCLEX_TACL enum valueQsciScintillaBase
SCLEX_TADS3 enum valueQsciScintillaBase
SCLEX_TAL enum valueQsciScintillaBase
SCLEX_TCL enum valueQsciScintillaBase
SCLEX_TCMD enum valueQsciScintillaBase
SCLEX_TEHEX enum valueQsciScintillaBase
SCLEX_TEX enum valueQsciScintillaBase
SCLEX_TXT2TAGS enum valueQsciScintillaBase
SCLEX_VB enum valueQsciScintillaBase
SCLEX_VBSCRIPT enum valueQsciScintillaBase
SCLEX_VERILOG enum valueQsciScintillaBase
SCLEX_VHDL enum valueQsciScintillaBase
SCLEX_VISUALPROLOG enum valueQsciScintillaBase
SCLEX_XML enum valueQsciScintillaBase
SCLEX_YAML enum valueQsciScintillaBase
SCMOD_ALT enum valueQsciScintillaBase
SCMOD_CTRL enum valueQsciScintillaBase
SCMOD_META enum valueQsciScintillaBase
SCMOD_NORM enum valueQsciScintillaBase
SCMOD_SHIFT enum valueQsciScintillaBase
SCMOD_SUPER enum valueQsciScintillaBase
SCN_AUTOCCANCELLED()QsciScintillaBasesignal
SCN_AUTOCCHARDELETED()QsciScintillaBasesignal
SCN_AUTOCSELECTION(const char *selection, int position)QsciScintillaBasesignal
SCN_CALLTIPCLICK(int direction)QsciScintillaBasesignal
SCN_CHARADDED(int charadded)QsciScintillaBasesignal
SCN_DOUBLECLICK(int position, int line, int modifiers)QsciScintillaBasesignal
SCN_DWELLEND(int, int, int) (defined in QsciScintillaBase)QsciScintillaBasesignal
SCN_DWELLSTART(int, int, int) (defined in QsciScintillaBase)QsciScintillaBasesignal
SCN_FOCUSIN()QsciScintillaBasesignal
SCN_FOCUSOUT()QsciScintillaBasesignal
SCN_HOTSPOTCLICK(int position, int modifiers)QsciScintillaBasesignal
SCN_HOTSPOTDOUBLECLICK(int position, int modifiers)QsciScintillaBasesignal
SCN_HOTSPOTRELEASECLICK(int position, int modifiers)QsciScintillaBasesignal
SCN_INDICATORCLICK(int position, int modifiers)QsciScintillaBasesignal
SCN_INDICATORRELEASE(int position, int modifiers)QsciScintillaBasesignal
SCN_MACRORECORD(unsigned int, unsigned long, void *)QsciScintillaBasesignal
SCN_MARGINCLICK(int position, int modifiers, int margin)QsciScintillaBasesignal
SCN_MODIFIED(int, int, const char *, int, int, int, int, int, int, int) (defined in QsciScintillaBase)QsciScintillaBasesignal
SCN_MODIFYATTEMPTRO()QsciScintillaBasesignal
SCN_NEEDSHOWN(int, int) (defined in QsciScintillaBase)QsciScintillaBasesignal
SCN_PAINTED()QsciScintillaBasesignal
SCN_SAVEPOINTLEFT()QsciScintillaBasesignal
SCN_SAVEPOINTREACHED()QsciScintillaBasesignal
SCN_STYLENEEDED(int position)QsciScintillaBasesignal
SCN_UPDATEUI(int updated)QsciScintillaBasesignal
SCN_USERLISTSELECTION(const char *, int) (defined in QsciScintillaBase)QsciScintillaBasesignal
SCN_ZOOM() (defined in QsciScintillaBase)QsciScintillaBasesignal
scrollContentsBy(int dx, int dy) (defined in QsciScintillaBase)QsciScintillaBaseprotectedvirtual
SCVS_NONE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCVS_RECTANGULARSELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCVS_USERACCESSIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCWS_INVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCWS_VISIBLEAFTERINDENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCWS_VISIBLEALWAYS enum value (defined in QsciScintillaBase)QsciScintillaBase
SendScintilla(unsigned int msg, unsigned long wParam=0, long lParam=0) const QsciScintillaBase
SendScintilla(unsigned int msg, unsigned long wParam, void *lParam) const QsciScintillaBase
SendScintilla(unsigned int msg, unsigned long wParam, const char *lParam) const QsciScintillaBase
SendScintilla(unsigned int msg, const char *lParam) const QsciScintillaBase
SendScintilla(unsigned int msg, const char *wParam, const char *lParam) const QsciScintillaBase
SendScintilla(unsigned int msg, long wParam) const QsciScintillaBase
SendScintilla(unsigned int msg, int wParam) const QsciScintillaBase
SendScintilla(unsigned int msg, long cpMin, long cpMax, char *lpstrText) const QsciScintillaBase
SendScintilla(unsigned int msg, unsigned long wParam, const QColor &col) const QsciScintillaBase
SendScintilla(unsigned int msg, const QColor &col) const QsciScintillaBase
SendScintilla(unsigned int msg, unsigned long wParam, QPainter *hdc, const QRect &rc, long cpMin, long cpMax) const QsciScintillaBase
SendScintilla(unsigned int msg, unsigned long wParam, const QPixmap &lParam) const QsciScintillaBase
SendScintilla(unsigned int msg, unsigned long wParam, const QImage &lParam) const QsciScintillaBase
SendScintillaPtrResult(unsigned int msg) const QsciScintillaBase
setScrollBars() (defined in QsciScintillaBase)QsciScintillaBaseprotected
STYLE_BRACEBAD enum value (defined in QsciScintillaBase)QsciScintillaBase
STYLE_BRACELIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
STYLE_CALLTIP enum value (defined in QsciScintillaBase)QsciScintillaBase
STYLE_CONTROLCHAR enum value (defined in QsciScintillaBase)QsciScintillaBase
STYLE_DEFAULT enum value (defined in QsciScintillaBase)QsciScintillaBase
STYLE_INDENTGUIDE enum value (defined in QsciScintillaBase)QsciScintillaBase
STYLE_LASTPREDEFINED enum value (defined in QsciScintillaBase)QsciScintillaBase
STYLE_LINENUMBER enum value (defined in QsciScintillaBase)QsciScintillaBase
STYLE_MAX enum value (defined in QsciScintillaBase)QsciScintillaBase
textAsBytes(const QString &text) const (defined in QsciScintillaBase)QsciScintillaBaseprotected
toMimeData(const QByteArray &text, bool rectangular) const QsciScintillaBaseprotectedvirtual
UNDO_MAY_COALESCE enum value (defined in QsciScintillaBase)QsciScintillaBase
VISIBLE_SLOP enum value (defined in QsciScintillaBase)QsciScintillaBase
VISIBLE_STRICT enum value (defined in QsciScintillaBase)QsciScintillaBase
~QsciScintillaBase()QsciScintillaBasevirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciScintilla.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciScintilla.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciScintilla.html 2014-09-11 17:15:21.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciScintilla.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciScintilla Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciScintilla Class Reference
- +
- +

#include <qsciscintilla.h>

Inherits QsciScintillaBase.

- -

List of all members.

-

-Classes

-
    -
  • struct FindState -
-

-Public Types

-

Member Enumeration Documentation

+
@@ -534,27 +2566,24 @@
anonymous enum
-
-
+

This enum defines the different auto-indentation styles.

-
Enumerator:
-
AiMaintain  + + - -
Enumerator
AiMaintain 

A line is automatically indented to match the previous line.

AiOpening  +
AiOpening 

If the language supported by the current lexer has a specific start of block character (e.g. { in C++), then a line that begins with that character is indented as well as the lines that make up the block. It may be logically ored with AiClosing.

AiClosing  +
AiClosing 

If the language supported by the current lexer has a specific end of block character (e.g. } in C++), then a line that begins with that character is indented as well as the lines that make up the block. It may be logically ored with AiOpening.

- - - +
@@ -562,27 +2591,27 @@
enum QsciScintilla::AnnotationDisplay
-
-
+

This enum defines the different annotation display styles.

-
Enumerator:
-
AnnotationHidden  + + - - +
Enumerator
AnnotationHidden 

Annotations are not displayed.

AnnotationStandard  +
AnnotationStandard 

Annotations are drawn left justified with no adornment.

AnnotationBoxed  +
AnnotationBoxed 

Annotations are surrounded by a box.

AnnotationIndented  +

Annotations are indented to match the text.

+
- - - +
@@ -590,26 +2619,23 @@
enum QsciScintilla::AutoCompletionUseSingle
-
-
+

This enum defines the behavior if an auto-completion list contains a single entry.

-
Enumerator:
-
AcusNever  + + - -
Enumerator
AcusNever 

The single entry is not used automatically and the auto-completion list is displayed.

AcusExplicit  +
AcusExplicit 

The single entry is used automatically when auto-completion is explicitly requested (using autoCompleteFromAPIs() or autoCompleteFromDocument()) but not when auto-completion is triggered as the user types.

AcusAlways  +
AcusAlways 

The single entry is used automatically and the auto-completion list is not displayed.

- - - +
@@ -617,30 +2643,27 @@
enum QsciScintilla::AutoCompletionSource
-
-
+

This enum defines the different sources for auto-completion lists.

-
Enumerator:
-
AcsNone  + + - - -
Enumerator
AcsNone 

No sources are used, ie. automatic auto-completion is disabled.

AcsAll  +
AcsAll 

The source is all available sources.

AcsDocument  +
AcsDocument 

The source is the current document.

AcsAPIs  +
AcsAPIs 

The source is any installed APIs.

- - - +
@@ -648,26 +2671,23 @@
enum QsciScintilla::BraceMatch
-
-
+

This enum defines the different brace matching modes. The character pairs {}, [] and () are treated as braces. The Python lexer will also match a : with the end of the corresponding indented block.

-
Enumerator:
-
NoBraceMatch  + + - -
Enumerator
NoBraceMatch 

Brace matching is disabled.

StrictBraceMatch  +
StrictBraceMatch 

Brace matching is enabled for a brace immediately before the current position.

SloppyBraceMatch  +
SloppyBraceMatch 

Brace matching is enabled for a brace immediately before or after the current position.

- - - +
@@ -675,24 +2695,21 @@
enum QsciScintilla::CallTipsPosition
-
-
+

This enum defines the different call tip positions.

-
Enumerator:
-
CallTipsBelowText  + + -
Enumerator
CallTipsBelowText 

Call tips are placed below the text.

CallTipsAboveText  +
CallTipsAboveText 

Call tips are placed above the text.

- - - +
@@ -700,30 +2717,27 @@
enum QsciScintilla::CallTipsStyle
-
-
+

This enum defines the different call tip styles.

-
Enumerator:
-
CallTipsNone  + + - - -
Enumerator
CallTipsNone 

Call tips are disabled.

CallTipsNoContext  +
CallTipsNoContext 

Call tips are displayed without a context. A context is any scope (e.g. a C++ namespace or a Python module) prior to the function name.

CallTipsNoAutoCompletionContext  +
CallTipsNoAutoCompletionContext 

Call tips are displayed with a context only if the user hasn't already implicitly identified the context using autocompletion. Note that this style may not always be able to align the call tip with the text being entered.

CallTipsContext  +
CallTipsContext 

Call tips are displayed with a context. Note that this style may not always be able to align the call tip with the text being entered.

- - - +
@@ -731,27 +2745,24 @@
enum QsciScintilla::EdgeMode
-
-
+

This enum defines the different edge modes for long lines.

-
Enumerator:
-
EdgeNone  + + - -
Enumerator
EdgeNone 

Long lines are not marked.

EdgeLine  +
EdgeLine 

A vertical line is drawn at the column set by setEdgeColumn(). This is recommended for monospace fonts.

EdgeBackground  +
EdgeBackground 

The background color of characters after the column limit is changed to the color set by setEdgeColor(). This is recommended for proportional fonts.

- - - +
@@ -759,27 +2770,24 @@
enum QsciScintilla::EolMode
-
-
+

This enum defines the different end-of-line modes.

-
Enumerator:
-
EolWindows  + + - -
Enumerator
EolWindows 

A carriage return/line feed as used on Windows systems.

EolUnix  +
EolUnix 

A line feed as used on Unix systems, including OS/X.

EolMac  +
EolMac 

A carriage return as used on Mac systems prior to OS/X.

- - - +
@@ -787,36 +2795,33 @@
enum QsciScintilla::FoldStyle
-
-
+

This enum defines the different styles for the folding margin.

-
Enumerator:
-
NoFoldStyle  + + - - - - -
Enumerator
NoFoldStyle 

Folding is disabled.

PlainFoldStyle  +
PlainFoldStyle 

Plain folding style using plus and minus symbols.

CircledFoldStyle  +
CircledFoldStyle 

Circled folding style using circled plus and minus symbols.

BoxedFoldStyle  +
BoxedFoldStyle 

Boxed folding style using boxed plus and minus symbols.

CircledTreeFoldStyle  +
CircledTreeFoldStyle 

Circled tree style using a flattened tree with circled plus and minus symbols and rounded corners.

BoxedTreeFoldStyle  +
BoxedTreeFoldStyle 

Boxed tree style using a flattened tree with boxed plus and minus symbols and right-angled corners.

- - - +
@@ -824,63 +2829,69 @@
enum QsciScintilla::IndicatorStyle
-
-
+

This enum defines the different indicator styles.

-
Enumerator:
-
PlainIndicator  + + - - - - - - - - - + - - - - - + +
Enumerator
PlainIndicator 

A single straight underline.

SquiggleIndicator  +
SquiggleIndicator 

A squiggly underline that requires 3 pixels of descender space.

TTIndicator  +
TTIndicator 

A line of small T shapes.

DiagonalIndicator  +
DiagonalIndicator 

Diagonal hatching.

StrikeIndicator  +
StrikeIndicator 

Strike out.

HiddenIndicator  +
HiddenIndicator 

An indicator with no visual appearence.

BoxIndicator  +
BoxIndicator 

A rectangle around the text.

RoundBoxIndicator  +
RoundBoxIndicator 

A rectangle with rounded corners around the text with the interior usually more transparent than the border.

StraightBoxIndicator  -

A rectangle around the text with the interior usually more transparent than the border.

+
StraightBoxIndicator  +

A rectangle around the text with the interior usually more transparent than the border. It does not colour the top pixel of the line so that indicators on contiguous lines are visually distinct and disconnected.

DashesIndicator  +
FullBoxIndicator  +

A rectangle around the text with the interior usually more transparent than the border. Unlike StraightBoxIndicator it covers the entire character area.

+
DashesIndicator 

A dashed underline.

DotsIndicator  +
DotsIndicator 

A dotted underline.

SquiggleLowIndicator  +
SquiggleLowIndicator 

A squiggly underline that requires 2 pixels of descender space and so will fit under smaller fonts.

DotBoxIndicator  +
DotBoxIndicator 

A dotted rectangle around the text with the interior usually more transparent than the border.

SquigglePixmapIndicator  +
SquigglePixmapIndicator 

A version of SquiggleIndicator that uses a pixmap. This is quicker but may be of lower quality.

ThickCompositionIndicator  -

A thick underline typically used during Asian language input composition.

+
ThickCompositionIndicator  +

A thick underline typically used for the target during Asian language input composition.

+
ThinCompositionIndicator  +

A thin underline typically used for non-target ranges during Asian language input composition.

+
TextColorIndicator  +

The color of the text is set to the color of the indicator's foreground.

- - - +
@@ -888,24 +2899,21 @@
anonymous enum
-
-
+

This enum defines the different margin options.

-
Enumerator:
-
MoNone  + + -
Enumerator
MoNone 

Reset all margin options.

MoSublineSelect  +
MoSublineSelect 

If this is set then only the first sub-line of a wrapped line will be selected when clicking on a margin.

- - - +
@@ -913,36 +2921,33 @@
enum QsciScintilla::MarginType
-
-
+

This enum defines the different margin types.

-
Enumerator:
-
SymbolMargin  + + - - - - -
Enumerator
SymbolMargin 

The margin contains symbols, including those used for folding.

SymbolMarginDefaultForegroundColor  +
SymbolMarginDefaultForegroundColor 

The margin contains symbols and uses the default foreground color as its background color.

SymbolMarginDefaultBackgroundColor  +
SymbolMarginDefaultBackgroundColor 

The margin contains symbols and uses the default background color as its background color.

NumberMargin  +
NumberMargin 

The margin contains line numbers.

TextMargin  +
TextMargin 

The margin contains styled text.

TextMarginRightJustified  +
TextMarginRightJustified 

The margin contains right justified styled text.

- - - +
@@ -950,102 +2955,102 @@
enum QsciScintilla::MarkerSymbol
-
-
+

This enum defines the different pre-defined marker symbols.

-
Enumerator:
-
Circle  + + - - - - - - - - - - - - - - - - - - - - - - - - - - - +
Enumerator
Circle 

A circle.

Rectangle  +
Rectangle 

A rectangle.

RightTriangle  +
RightTriangle 

A triangle pointing to the right.

SmallRectangle  +
SmallRectangle 

A smaller rectangle.

RightArrow  +
RightArrow 

An arrow pointing to the right.

Invisible  +
Invisible 

An invisible marker that allows code to track the movement of lines.

DownTriangle  +
DownTriangle 

A triangle pointing down.

Minus  +
Minus 

A drawn minus sign.

Plus  +
Plus 

A drawn plus sign.

VerticalLine  +
VerticalLine 

A vertical line drawn in the background colour.

BottomLeftCorner  +
BottomLeftCorner 

A bottom left corner drawn in the background colour.

LeftSideSplitter  +
LeftSideSplitter 

A vertical line with a centre right horizontal line drawn in the background colour.

BoxedPlus  +
BoxedPlus 

A drawn plus sign in a box.

BoxedPlusConnected  +
BoxedPlusConnected 

A drawn plus sign in a connected box.

BoxedMinus  +
BoxedMinus 

A drawn minus sign in a box.

BoxedMinusConnected  +
BoxedMinusConnected 

A drawn minus sign in a connected box.

RoundedBottomLeftCorner  +
RoundedBottomLeftCorner 

A rounded bottom left corner drawn in the background colour.

LeftSideRoundedSplitter  +
LeftSideRoundedSplitter 

A vertical line with a centre right curved line drawn in the background colour.

CircledPlus  +
CircledPlus 

A drawn plus sign in a circle.

CircledPlusConnected  +
CircledPlusConnected 

A drawn plus sign in a connected box.

CircledMinus  +
CircledMinus 

A drawn minus sign in a circle.

CircledMinusConnected  +
CircledMinusConnected 

A drawn minus sign in a connected circle.

Background  +
Background 

No symbol is drawn but the line is drawn with the same background color as the marker's.

ThreeDots  +
ThreeDots 

Three drawn dots.

ThreeRightArrows  +
ThreeRightArrows 

Three drawn arrows pointing right.

FullRectangle  +
FullRectangle 

A full rectangle (ie. the margin background) using the marker's background color.

LeftRectangle  +
LeftRectangle 

A left rectangle (ie. the left part of the margin background) using the marker's background color.

Underline  +
Underline 

No symbol is drawn but the line is drawn underlined using the marker's background color.

Bookmark  +

A bookmark.

+
- - - +
@@ -1053,26 +3058,23 @@
enum QsciScintilla::WhitespaceVisibility
-
-
+

This enum defines the different whitespace visibility modes. When whitespace is visible spaces are displayed as small centred dots and tabs are displayed as light arrows pointing to the right.

-
Enumerator:
-
WsInvisible  + + - -
Enumerator
WsInvisible 

Whitespace is invisible.

WsVisible  +
WsVisible 

Whitespace is always visible.

WsVisibleAfterIndent  +
WsVisibleAfterIndent 

Whitespace is visible after the whitespace used for indentation.

- - - +
@@ -1080,27 +3082,27 @@
enum QsciScintilla::WrapMode
-
-
+

This enum defines the different line wrap modes.

-
Enumerator:
-
WrapNone  + + - - +
Enumerator
WrapNone 

Lines are not wrapped.

WrapWord  +
WrapWord 

Lines are wrapped at word boundaries.

WrapCharacter  +
WrapCharacter 

Lines are wrapped at character boundaries.

WrapWhitespace  +

Lines are wrapped at whitespace boundaries.

+
- - - +
@@ -1108,30 +3110,27 @@
enum QsciScintilla::WrapVisualFlag
-
-
+

This enum defines the different line wrap visual flags.

-
Enumerator:
-
WrapFlagNone  + + - - -
Enumerator
WrapFlagNone 

No wrap flag is displayed.

WrapFlagByText  +
WrapFlagByText 

A wrap flag is displayed by the text.

WrapFlagByBorder  +
WrapFlagByBorder 

A wrap flag is displayed by the border.

WrapFlagInMargin  +
WrapFlagInMargin 

A wrap flag is displayed in the line number margin.

- - - +
@@ -1139,30 +3138,30 @@
enum QsciScintilla::WrapIndentMode
-
-
+

This enum defines the different line wrap indentation modes.

-
Enumerator:
-
WrapIndentFixed  + + - -
Enumerator
WrapIndentFixed 

Wrapped sub-lines are indented by the amount set by setWrapVisualFlags().

WrapIndentSame  +
WrapIndentSame 

Wrapped sub-lines are indented by the same amount as the first sub-line.

WrapIndentIndented  +
WrapIndentIndented 

Wrapped sub-lines are indented by the same amount as the first sub-line plus one more level of indentation.

- - -

Member Function Documentation

- +

Member Function Documentation

+
+ + + + + +
@@ -1185,16 +3184,20 @@ - +
virtual QStringList QsciScintilla::apiContext
) [virtual]
- -
+
+virtual
+

Returns the API context, which is a list of words, before the position pos in the document. The context can be used by auto-completion and call tips to help to identify which API call the user is referring to. In the default implementation the current lexer determines what characters make up a word, and what characters determine the boundaries of words (ie. the start characters). If there is no current lexer then the context will consist of a single word. On return context_start will contain the position in the document of the start of the context and last_word_start will contain the position in the document of the start of the last word of the context.

- +
@@ -1222,13 +3225,12 @@
-
-
+

Annotate the line line with the text text using the style number style.

- +
@@ -1256,13 +3258,12 @@
-
-
+

Annotate the line line with the text text using the style style.

- +
@@ -1273,14 +3274,13 @@
const
-
-
+

Returns the display style for annotations.

-
See also:
setAnnotationDisplay()
+
See also
setAnnotationDisplay()
- +
@@ -1292,13 +3292,12 @@
-
-
+

The annotations on line line are removed. If line is negative then all annotations are removed.

- +
@@ -1309,14 +3308,13 @@
const
-
-
+

Returns true if auto-completion lists are case sensitive.

-
See also:
setAutoCompletionCaseSensitivity()
+
See also
setAutoCompletionCaseSensitivity()
- +
@@ -1327,14 +3325,13 @@
const
-
-
+

Returns true if auto-completion fill-up characters are enabled.

-
See also:
setAutoCompletionFillups(), setAutoCompletionFillupsEnabled()
+
See also
setAutoCompletionFillups(), setAutoCompletionFillupsEnabled()
- +
@@ -1345,14 +3342,13 @@
const
-
-
+

Returns true if the rest of the word to the right of the current cursor is removed when an item from an auto-completion list is selected.

-
See also:
setAutoCompletionReplaceWord()
+
See also
setAutoCompletionReplaceWord()
- +
@@ -1363,50 +3359,63 @@
const
-
-
+

Returns true if the only item in an auto-completion list with a single entry is automatically used and the list not displayed. Note that this is deprecated and autoCompletionUseSingle() should be used instead.

-
See also:
setAutoCompletionShowSingle()
+
See also
setAutoCompletionShowSingle()
- +
+ + + + + +
- +
AutoCompletionSource QsciScintilla::autoCompletionSource ( ) const [inline] const
- -
+
+inline
+

Returns the current source for the auto-completion list when it is being displayed automatically as the user types.

-
See also:
setAutoCompletionSource()
+
See also
setAutoCompletionSource()
- +
+ + + + + +
- +
int QsciScintilla::autoCompletionThreshold ( ) const [inline] const
- -
+
+inline
+

Returns the current threshold for the automatic display of the auto-completion list as the user types.

-
See also:
setAutoCompletionThreshold()
+
See also
setAutoCompletionThreshold()
- +
@@ -1417,32 +3426,38 @@
const
-
-
+

Returns the current behavior when an auto-completion list contains a single entry.

-
See also:
setAutoCompletionUseSingle()
+
See also
setAutoCompletionUseSingle()
- +
+ + + + + +
- +
bool QsciScintilla::autoIndent ( ) const [inline] const
- -
+
+inline
+

Returns true if auto-indentation is enabled.

-
See also:
setAutoIndent()
+
See also
setAutoIndent()
- +
@@ -1453,14 +3468,13 @@
const
-
-
+

Returns true if the backspace key unindents a line instead of deleting a character. The default is false.

-
See also:
setBackspaceUnindents(), tabIndents(), setTabIndents()
+
See also
setBackspaceUnindents(), tabIndents(), setTabIndents()
- +
@@ -1471,86 +3485,113 @@
-
-
+

Mark the beginning of a sequence of actions that can be undone by a single call to undo().

-
See also:
endUndoAction(), undo()
+
See also
endUndoAction(), undo()
- +
+ + + + + +
- +
BraceMatch QsciScintilla::braceMatching ( ) const [inline] const
- -
+
+inline
+

Returns the brace matching mode.

-
See also:
setBraceMatching()
+
See also
setBraceMatching()
- +
+ + + + + +
- +
CallTipsPosition QsciScintilla::callTipsPosition ( ) const [inline] const
- -
+
+inline
+

Returns the current call tip position.

-
See also:
setCallTipsPosition()
+
See also
setCallTipsPosition()
- +
+ + + + + +
- +
CallTipsStyle QsciScintilla::callTipsStyle ( ) const [inline] const
- -
+
+inline
+

Returns the current call tip style.

-
See also:
setCallTipsStyle()
+
See also
setCallTipsStyle()
- +
+ + + + + +
- +
int QsciScintilla::callTipsVisible ( ) const [inline] const
- -
+
+inline
+

Returns the maximum number of call tips that are displayed.

-
See also:
setCallTipsVisible()
+
See also
setCallTipsVisible()
- +
@@ -1561,13 +3602,12 @@
const
-
-
+

Returns true if the current language lexer is case sensitive. If there is no current lexer then true is returned.

- +
@@ -1578,14 +3618,13 @@
-
-
+

Clear all current folds, i.e. ensure that all lines are displayed unfolded.

-
See also:
setFolding()
+
See also
setFolding()
- +
@@ -1625,14 +3664,13 @@
-
-
+

Clears the range of text with indicator indicatorNumber starting at position indexFrom in line lineFrom and finishing at position indexTo in line lineTo.

-
See also:
fillIndicatorRange()
+
See also
fillIndicatorRange()
- +
@@ -1643,14 +3681,13 @@
-
-
+

Clear all registered images.

-
See also:
registerImage()
+
See also
registerImage()
- +
@@ -1661,14 +3698,13 @@
const
-
-
+

Returns the widget's text (ie. foreground) colour.

-
See also:
setColor()
+
See also
setColor()
- +
@@ -1679,14 +3715,13 @@
const
-
-
+

Returns a list of the line numbers that have contracted folds. This is typically used to save the fold state of a document.

-
See also:
setContractedFolds()
+
See also
setContractedFolds()
- +
@@ -1698,14 +3733,13 @@
-
-
+

All the lines of the text have their end-of-lines converted to mode mode.

-
See also:
eolMode(), setEolMode()
+
See also
eolMode(), setEolMode()
- +
@@ -1716,31 +3750,37 @@
-
-
+

Create the standard context menu which is shown when the user clicks with the right mouse button. It is called from contextMenuEvent(). The menu's ownership is transferred to the caller.

- +
+ + + + + +
- +
QsciDocument QsciScintilla::document ( ) const [inline] const
- -
+
+inline
+

Returns the attached document.

-
See also:
setDocument()
+
See also
setDocument()
- +
@@ -1751,14 +3791,13 @@
-
-
+

Mark the end of a sequence of actions that can be undone by a single call to undo().

-
See also:
beginUndoAction(), undo()
+
See also
beginUndoAction(), undo()
- +
@@ -1769,16 +3808,15 @@
const
-
-
+

Returns the color of the marker used to show that a line has exceeded the length set by setEdgeColumn().

-
See also:
setEdgeColor(),
+
See also
setEdgeColor(),
setEdgeColumn
- +
@@ -1789,14 +3827,13 @@
const
-
-
+

Returns the number of the column after which lines are considered to be long.

-
See also:
setEdgeColumn()
+
See also
setEdgeColumn()
- +
@@ -1807,14 +3844,13 @@
const
-
-
+

Returns the edge mode which determines how long lines are marked.

-
See also:
setEdgeMode()
+
See also
setEdgeMode()
- +
@@ -1826,13 +3862,12 @@
-
-
+

Set the default font. This has no effect if a language lexer has been set.

- +
@@ -1843,14 +3878,13 @@
const
-
-
+

Returns the end-of-line mode.

-
See also:
setEolMode()
+
See also
setEolMode()
- +
@@ -1861,14 +3895,13 @@
const
-
-
+

Returns the visibility of end-of-lines.

-
See also:
setEolVisibility()
+
See also
setEolVisibility()
- +
@@ -1879,14 +3912,13 @@
const
-
-
+

Returns the extra space added to the height of a line above the baseline of the text.

-
See also:
setExtraAscent(), extraDescent()
+
See also
setExtraAscent(), extraDescent()
- +
@@ -1897,14 +3929,13 @@
const
-
-
+

Returns the extra space added to the height of a line below the baseline of the text.

-
See also:
setExtraDescent(), extraAscent()
+
See also
setExtraDescent(), extraAscent()
- +
@@ -1944,16 +3975,18 @@
-
-
+

Fills the range of text with indicator indicatorNumber starting at position indexFrom in line lineFrom and finishing at position indexTo in line lineTo.

-
See also:
clearIndicatorRange()
+
See also
clearIndicatorRange()
- +
+ + + + + +
@@ -2018,11 +4051,15 @@ - +
virtual bool QsciScintilla::findFirst
) [virtual]
- -
+
+virtual
+

Find the first occurrence of the string expr and return true if expr was found, otherwise returns false. If expr is found it becomes the current selection.

If re is true then expr is interpreted as a regular expression rather than a simple string.

If cs is true then the search is case sensitive.

@@ -2031,14 +4068,17 @@

If forward is true (the default) then the search is forward from the starting position to the end of the text, otherwise it is backwards to the beginning of the text.

If either line or index are negative (the default) then the search begins from the current cursor position. Otherwise the search begins at position index of line line.

If show is true (the default) then any text found is made visible (ie. it is unfolded).

-

If posix is true then a regular expression is treated in a more POSIX compatible manner by interpreting bare ( and ) as tagged sections rather than \( and \).

-
See also:
findFirstInSelection(), findNext(), replace()
+

If posix is true then a regular expression is treated in a more POSIX compatible manner by interpreting bare ( and ) as tagged sections rather than ( and ).

+
See also
findFirstInSelection(), findNext(), replace()
- +
+ + + + + +
@@ -2085,41 +4125,52 @@ - +
virtual bool QsciScintilla::findFirstInSelection
) [virtual]
- -
+
+virtual
+

Find the first occurrence of the string expr in the current selection and return true if expr was found, otherwise returns false. If expr is found it becomes the current selection. The original selection is restored when a subsequent call to findNext() returns false.

If re is true then expr is interpreted as a regular expression rather than a simple string.

If cs is true then the search is case sensitive.

If wo is true then the search looks for whole word matches only, otherwise it searches for any matching text.

If forward is true (the default) then the search is forward from the start to the end of the selection, otherwise it is backwards from the end to the start of the selection.

If show is true (the default) then any text found is made visible (ie. it is unfolded).

-

If posix is true then a regular expression is treated in a more POSIX compatible manner by interpreting bare ( and ) as tagged sections rather than \( and \).

-
See also:
findFirstInSelection(), findNext(), replace()
+

If posix is true then a regular expression is treated in a more POSIX compatible manner by interpreting bare ( and ) as tagged sections rather than ( and ).

+
See also
findFirstInSelection(), findNext(), replace()
- +
+ + + + + +
- +
virtual bool QsciScintilla::findNext ( ) [virtual]
- -
+
+virtual
+

Find the next occurence of the string found using findFirst() or findFirstInSelection().

-
See also:
findFirst(), findFirstInSelection(), replace()
+
See also
findFirst(), findFirstInSelection(), replace()
- +
@@ -2130,32 +4181,38 @@
const
-
-
+

Returns the number of the first visible line.

-
See also:
setFirstVisibleLine()
+
See also
setFirstVisibleLine()
- +
+ + + + + +
- +
FoldStyle QsciScintilla::folding ( ) const [inline] const
- -
+
+inline
+

Returns the current folding style.

-
See also:
setFolding()
+
See also
setFolding()
- +
@@ -2177,14 +4234,13 @@
const
-
-
+

Sets *line and *index to the line and index of the cursor.

-
See also:
setCursorPosition()
+
See also
setCursorPosition()
- +
@@ -2218,32 +4274,38 @@
const
-
-
+

If there is a selection, *lineFrom is set to the line number in which the selection begins and *lineTo is set to the line number in which the selection ends. (They could be the same.) *indexFrom is set to the index at which the selection begins within *lineFrom, and *indexTo is set to the index at which the selection ends within *lineTo. If there is no selection, *lineFrom, *indexFrom, *lineTo and *indexTo are all set to -1.

-
See also:
setSelection()
+
See also
setSelection()
- +
+ + + + + +
- +
bool QsciScintilla::hasSelectedText ( ) const [inline] const
- -
+
+inline
+

Returns true if some text is selected.

-
See also:
selectedText()
+
See also
selectedText()
- +
@@ -2255,14 +4317,13 @@
const
-
-
+

Returns the number of characters that line line is indented by.

-
See also:
setIndentation()
+
See also
setIndentation()
- +
@@ -2273,14 +4334,13 @@
const
-
-
+

Returns true if the display of indentation guides is enabled.

-
See also:
setIndentationGuides()
+
See also
setIndentationGuides()
- +
@@ -2291,14 +4351,13 @@
const
-
-
+

Returns true if indentations are created using tabs and spaces, rather than just spaces. The default is true.

-
See also:
setIndentationsUseTabs()
+
See also
setIndentationsUseTabs()
- +
@@ -2309,14 +4368,13 @@
const
-
-
+

Returns the indentation width in characters. The default is 0 which means that the value returned by tabWidth() is actually used.

-
See also:
setIndentationWidth(), tabWidth()
+
See also
setIndentationWidth(), tabWidth()
- +
@@ -2338,15 +4396,14 @@
-
-
+

Define a type of indicator using the style style with the indicator number indicatorNumber. If indicatorNumber is -1 then the indicator number is automatically allocated. The indicator number is returned or -1 if too many types of indicator have been defined.

Indicators are used to display additional information over the top of styling. They can be used to show, for example, syntax errors, deprecated names and bad indentation by drawing lines under text or boxes around text.

There may be up to 32 types of indicator defined at a time. The first 8 are normally used by lexers. By default indicator number 0 is a dark green SquiggleIndicator, 1 is a blue TTIndicator, and 2 is a red PlainIndicator.

- +
@@ -2358,14 +4415,13 @@
const
-
-
+

Returns true if the indicator indicatorNumber is drawn under the text (i.e. in the background). The default is false.

-
See also:
setIndicatorDrawUnder()
+
See also
setIndicatorDrawUnder()
- +
@@ -2376,13 +4432,12 @@
const
-
-
+

Returns true if an auto-completion or user defined list is currently active.

- +
@@ -2393,14 +4448,13 @@
const
-
-
+

Returns true if the text has been modified.

-
See also:
setModified(), modificationChanged()
+
See also
setModified(), modificationChanged()
- +
@@ -2411,14 +4465,13 @@
const
-
-
+

Returns true if the text edit is read-only.

-
See also:
setReadOnly()
+
See also
setReadOnly()
- +
@@ -2429,14 +4482,13 @@
const
-
-
+

Returns true if there is something that can be redone.

-
See also:
redo()
+
See also
redo()
- +
@@ -2447,14 +4499,13 @@
const
-
-
+

Returns true if there is something that can be undone.

-
See also:
undo()
+
See also
undo()
- +
@@ -2465,14 +4516,13 @@
const
-
-
+

Returns true if text is interpreted as being UTF8 encoded. The default is to interpret the text as Latin1 encoded.

-
See also:
setUtf8()
+
See also
setUtf8()
- +
@@ -2484,14 +4534,13 @@
const
-
-
+

Returns true if character ch is a valid word character.

-
See also:
wordCharacters()
+
See also
wordCharacters()
- +
@@ -2503,13 +4552,12 @@
const
-
-
+

Returns the line which is at point pixel coordinates or -1 if there is no line at that point.

- +
@@ -2537,14 +4585,13 @@
const
-
-
+

QScintilla uses the combination of a line number and a character index from the start of that line to specify the position of a character within the text. The underlying Scintilla instead uses a byte index from the start of the text. This will convert the position byte index to the *line line number and *index character index.

-
See also:
positionFromLineIndex()
+
See also
positionFromLineIndex()
- +
@@ -2556,13 +4603,12 @@
const
-
-
+

Returns the length of line line int bytes or -1 if there is no such line. In order to get the length in characters use text(line).length().

- +
@@ -2573,13 +4619,12 @@
const
-
-
+

Returns the length of the text edit's text in bytes. In order to get the length in characters use text().length().

- +
@@ -2590,14 +4635,13 @@
const
-
-
+

Returns the current language lexer used to style text. If it is 0 then syntax styling is disabled.

-
See also:
setLexer()
+
See also
setLexer()
- +
@@ -2609,14 +4653,13 @@
const
-
-
+

Returns true if line numbers are enabled for margin margin.

-
See also:
setMarginLineNumbers(), marginType(), SCI_GETMARGINTYPEN
+
See also
setMarginLineNumbers(), marginType(), SCI_GETMARGINTYPEN
- +
@@ -2628,14 +4671,13 @@
const
-
-
+

Returns the marker mask of margin margin.

-
See also:
setMarginMask(), QsciMarker, SCI_GETMARGINMASKN
+
See also
setMarginMask(), QsciMarker, SCI_GETMARGINMASKN
- +
@@ -2646,14 +4688,13 @@
const
-
-
+

Returns the margin options. The default is MoNone.

-
See also:
setMarginOptions(), MoNone, MoSublineSelect.
+
See also
setMarginOptions(), MoNone, MoSublineSelect.
- +
@@ -2665,14 +4706,13 @@
const
-
-
+

Returns true if margin margin is sensitive to mouse clicks.

-
See also:
setMarginSensitivity(), marginClicked(), SCI_GETMARGINTYPEN
+
See also
setMarginSensitivity(), marginClicked(), SCI_GETMARGINTYPEN
- +
@@ -2684,14 +4724,13 @@
const
-
-
+

Returns the type of margin margin.

-
See also:
setMarginType(), SCI_GETMARGINTYPEN
+
See also
setMarginType(), SCI_GETMARGINTYPEN
- +
@@ -2703,14 +4742,13 @@
const
-
-
+

Returns the width in pixels of margin margin.

-
See also:
setMarginWidth(), SCI_GETMARGINWIDTHN
+
See also
setMarginWidth(), SCI_GETMARGINWIDTHN
- +
@@ -2732,8 +4770,7 @@
-
-
+

Define a type of marker using the symbol sym with the marker number markerNumber. If markerNumber is -1 then the marker number is automatically allocated. The marker number is returned or -1 if too many types of marker have been defined.

Markers are small geometric symbols and characters used, for example, to indicate the current line or, in debuggers, to indicate breakpoints. If a margin has a width of 0 then its markers are not drawn, but their background colours affect the background colour of the corresponding line of text.

There may be up to 32 types of marker defined at a time and each line of text has a set of marker instances associated with it. Markers are drawn according to their numerical identifier. Markers try to move with their text by tracking where the start of their line moves to. For example, when a line is deleted its markers are added to previous line's markers.

@@ -2741,7 +4778,7 @@
- +
@@ -2763,13 +4800,12 @@
-
-
+

Define a marker using the character ch with the marker number markerNumber. If markerNumber is -1 then the marker number is automatically allocated. The marker number is returned or -1 if too many markers have been defined.

- +
@@ -2791,13 +4827,12 @@
-
-
+

Define a marker using a copy of the pixmap pm with the marker number markerNumber. If markerNumber is -1 then the marker number is automatically allocated. The marker number is returned or -1 if too many markers have been defined.

- +
@@ -2819,13 +4854,12 @@
-
-
+

Define a marker using a copy of the image im with the marker number markerNumber. If markerNumber is -1 then the marker number is automatically allocated. The marker number is returned or -1 if too many markers have been defined.

- +
@@ -2847,14 +4881,13 @@
-
-
+

Add an instance of marker number markerNumber to line number linenr. A handle for the marker is returned which can be used to track the marker's position, or -1 if the markerNumber was invalid.

-
See also:
markerDelete(), markerDeleteAll(), markerDeleteHandle()
+
See also
markerDelete(), markerDeleteAll(), markerDeleteHandle()
- +
@@ -2866,14 +4899,13 @@
const
-
-
+

Returns the 32 bit mask of marker numbers at line number linenr.

-
See also:
markerAdd()
+
See also
markerAdd()
- +
@@ -2895,14 +4927,13 @@
-
-
+

Delete all markers with the marker number markerNumber in the line linenr. If markerNumber is -1 then delete all markers from line linenr.

-
See also:
markerAdd(), markerDeleteAll(), markerDeleteHandle()
+
See also
markerAdd(), markerDeleteAll(), markerDeleteHandle()
- +
@@ -2914,14 +4945,13 @@
-
-
+

Delete the all markers with the marker number markerNumber. If markerNumber is -1 then delete all markers.

-
See also:
markerAdd(), markerDelete(), markerDeleteHandle()
+
See also
markerAdd(), markerDelete(), markerDeleteHandle()
- +
@@ -2933,14 +4963,13 @@
-
-
+

Delete the the marker instance with the marker handle mhandle.

-
See also:
markerAdd(), markerDelete(), markerDeleteAll()
+
See also
markerAdd(), markerDelete(), markerDeleteAll()
- +
@@ -2952,13 +4981,12 @@
const
-
-
+

Return the line number that contains the marker instance with the marker handle mhandle.

- +
@@ -2980,14 +5008,13 @@
const
-
-
+

Return the number of the next line to contain at least one marker from a 32 bit mask of markers. linenr is the line number to start the search from. mask is the mask of markers to search for.

-
See also:
markerFindPrevious()
+
See also
markerFindPrevious()
- +
@@ -3009,14 +5036,13 @@
const
-
-
+

Return the number of the previous line to contain at least one marker from a 32 bit mask of markers. linenr is the line number to start the search from. mask is the mask of markers to search for.

-
See also:
markerFindNext()
+
See also
markerFindNext()
- +
@@ -3027,14 +5053,13 @@
const
-
-
+

Returns true if text entered by the user will overwrite existing text.

-
See also:
setOverwriteMode()
+
See also
setOverwriteMode()
- +
@@ -3045,14 +5070,13 @@
const
-
-
+

Returns the widget's paper (ie. background) colour.

-
See also:
setPaper()
+
See also
setPaper()
- +
@@ -3074,14 +5098,13 @@
const
-
-
+

QScintilla uses the combination of a line number and a character index from the start of that line to specify the position of a character within the text. The underlying Scintilla instead uses a byte index from the start of the text. This will return the byte index corresponding to the line line number and index character index.

-
See also:
lineIndexFromPosition()
+
See also
lineIndexFromPosition()
- +
@@ -3093,16 +5116,18 @@
-
-
+

Reads the current document from the io device and returns true if there was no error.

-
See also:
write()
+
See also
write()
- +
+ + + + + +
@@ -3119,16 +5144,20 @@ - +
virtual void QsciScintilla::recolor
) [virtual]
- -
+
+virtual
+

Recolours the document between the start and end positions. start defaults to the start of the document and end defaults to the end of the document.

- +
@@ -3150,14 +5179,13 @@
-
-
+

Register an image pm with ID id. Registered images can be displayed in auto-completion lists.

-
See also:
clearRegisteredImages(), QsciLexer::apiLoad()
+
See also
clearRegisteredImages(), QsciLexer::apiLoad()
- +
@@ -3179,33 +5207,39 @@
-
-
+

Register an image im with ID id. Registered images can be displayed in auto-completion lists.

-
See also:
clearRegisteredImages(), QsciLexer::apiLoad()
+
See also
clearRegisteredImages(), QsciLexer::apiLoad()
- +
+ + + + + +
- +
virtual void QsciScintilla::replace ( const QString &  replaceStr) [virtual]
- -
+
+virtual
+

Replace the current selection, set by a previous call to findFirst(), findFirstInSelection() or findNext(), with replaceStr.

-
See also:
findFirst(), findFirstInSelection(), findNext()
+
See also
findFirst(), findFirstInSelection(), findNext()
- +
@@ -3216,14 +5250,13 @@
-
-
+

Reset the fold margin colours to their defaults.

-
See also:
setFoldMarginColors()
+
See also
setFoldMarginColors()
- +
@@ -3234,14 +5267,13 @@
-
-
+

Resets the background colour of an active hotspot area to the default.

-
See also:
setHotspotBackgroundColor(), resetHotspotForegroundColor()
+
See also
setHotspotBackgroundColor(), resetHotspotForegroundColor()
- +
@@ -3252,14 +5284,13 @@
-
-
+

Resets the foreground colour of an active hotspot area to the default.

-
See also:
setHotspotForegroundColor(), resetHotspotBackgroundColor()
+
See also
setHotspotForegroundColor(), resetHotspotBackgroundColor()
- +
@@ -3281,14 +5312,13 @@
-
-
+

The fold margin may be drawn as a one pixel sized checkerboard pattern of two colours, fore and back.

-
See also:
resetFoldMarginColors()
+
See also
resetFoldMarginColors()
- +
@@ -3300,14 +5330,13 @@
-
-
+

Set the display style for annotations. The default is AnnotationStandard.

-
See also:
annotationDisplay()
+
See also
annotationDisplay()
- +
@@ -3319,14 +5348,13 @@
-
-
+

Enable the use of fill-up characters, either those explicitly set or those set by a lexer. By default, fill-up characters are disabled.

-
See also:
autoCompletionFillupsEnabled(), setAutoCompletionFillups()
+
See also
autoCompletionFillupsEnabled(), setAutoCompletionFillups()
- +
@@ -3338,14 +5366,13 @@
-
-
+

A fill-up character is one that, when entered while an auto-completion list is being displayed, causes the currently selected item from the list to be added to the text followed by the fill-up character. fillups is the set of fill-up characters. If a language lexer has been set then this is ignored and the lexer defines the fill-up characters. The default is that no fill-up characters are set.

-
See also:
autoCompletionFillupsEnabled(), setAutoCompletionFillupsEnabled()
+
See also
autoCompletionFillupsEnabled(), setAutoCompletionFillupsEnabled()
- +
@@ -3357,14 +5384,13 @@
-
-
+

A word separator is a sequence of characters that, when entered, causes the auto-completion list to be displayed. If a language lexer has been set then this is ignored and the lexer defines the word separators. The default is that no word separators are set.

-
See also:
setAutoCompletionThreshold()
+
See also
setAutoCompletionThreshold()
- +
@@ -3376,13 +5402,12 @@
-
-
+

Set the background colour of call tips to col. The default is white.

- +
@@ -3394,13 +5419,12 @@
-
-
+

Set the foreground colour of call tips to col. The default is mid-gray.

- +
@@ -3412,13 +5436,12 @@
-
-
+

Set the highlighted colour of call tip text to col. The default is dark blue.

- +
@@ -3430,14 +5453,13 @@
-
-
+

Set the current call tip position. The default is CallTipsBelowText.

-
See also:
callTipsPosition()
+
See also
callTipsPosition()
- +
@@ -3449,14 +5471,13 @@
-
-
+

Set the current call tip style. The default is CallTipsNoContext.

-
See also:
callTipsStyle()
+
See also
callTipsStyle()
- +
@@ -3468,14 +5489,13 @@
-
-
+

Set the maximum number of call tips that are displayed to nr. If the maximum number is 0 then all applicable call tips are displayed. If the maximum number is -1 then one call tip will be displayed with up and down arrows that allow the use to scroll through the full list. The default is -1.

-
See also:
callTipsVisible()
+
See also
callTipsVisible()
- +
@@ -3487,14 +5507,13 @@
-
-
+

Sets each line in the folds list of line numbers to be a contracted fold. This is typically used to restore the fold state of a document.

-
See also:
contractedFolds()
+
See also
contractedFolds()
- +
@@ -3506,14 +5525,13 @@
-
-
+

Attach the document document, replacing the currently attached document.

-
See also:
document()
+
See also
document()
- +
@@ -3525,16 +5543,15 @@
-
-
+

Set the color of the marker used to show that a line has exceeded the length set by setEdgeColumn().

-
See also:
edgeColor(),
+
See also
edgeColor(),
setEdgeColumn
- +
@@ -3546,14 +5563,13 @@
-
-
+

Set the number of the column after which lines are considered to be long.

-
See also:
edgeColumn()
+
See also
edgeColumn()
- +
@@ -3565,14 +5581,13 @@
-
-
+

Set the edge mode which determines how long lines are marked.

-
See also:
edgeMode()
+
See also
edgeMode()
- +
@@ -3584,14 +5599,13 @@
-
-
+

Set the number of the first visible line to linenr.

-
See also:
firstVisibleLine()
+
See also
firstVisibleLine()
- +
@@ -3613,14 +5627,13 @@
-
-
+

Enables or disables, according to under, if the indicator indicatorNumber is drawn under or over the text (i.e. in the background or foreground). If indicatorNumber is -1 then the state of all indicators is set.

-
See also:
indicatorDrawUnder()
+
See also
indicatorDrawUnder()
- +
@@ -3642,18 +5655,17 @@
-
-
+

Set the foreground colour of indicator indicatorNumber to col. If indicatorNumber is -1 then the colour of all indicators is set.

- +
- + @@ -3670,13 +5682,66 @@
void QsciScintilla::setIndicatorOutlineColor void QsciScintilla::setIndicatorHoverForegroundColor ( const QColor &  col,
+
+

Set the foreground colour of indicator indicatorNumber to col when the mouse is over it or the caret moved into it. If indicatorNumber is -1 then the colour of all indicators is set.

+ +
+
+ +
+
+ + + + + + + + + + + + + + + + + + +
void QsciScintilla::setIndicatorHoverStyle (IndicatorStyle style,
int indicatorNumber = -1 
)
+
+

Set the style of indicator indicatorNumber to style when the mouse is over it or the caret moved into it. If indicatorNumber is -1 then the style of all indicators is set.

+ +
-
+ +
+
+ + + + + + + + + + + + + + + + + + +
void QsciScintilla::setIndicatorOutlineColor (const QColor & col,
int indicatorNumber = -1 
)
+

Set the outline colour of indicator indicatorNumber to col. If indicatorNumber is -1 then the colour of all indicators is set. At the moment only the alpha value of the colour has any affect.

- +
@@ -3688,14 +5753,13 @@
-
-
+

Set the margin options to options.

-
See also:
marginOptions(), MoNone, MoSublineSelect.
+
See also
marginOptions(), MoNone, MoSublineSelect.
- +
@@ -3723,13 +5787,12 @@
-
-
+

Set the margin text of line line with the text text using the style number style.

- +
@@ -3757,13 +5820,12 @@
-
-
+

Set the margin text of line line with the text text using the style style.

- +
@@ -3785,13 +5847,12 @@
-
-
+

Set the margin text of line line with the list of styled text text.

- +
@@ -3813,14 +5874,13 @@
-
-
+

Set the type of margin margin to type type.

-
See also:
marginType(), SCI_SETMARGINTYPEN
+
See also
marginType(), SCI_SETMARGINTYPEN
- +
@@ -3832,13 +5892,12 @@
-
-
+

The margin text on line line is removed. If line is negative then all margin text is removed.

- +
@@ -3860,14 +5919,13 @@
-
-
+

Set the background colour, including the alpha component, of marker markerNumber to col. If markerNumber is -1 then the colour of all markers is set. The default is white.

-
See also:
setMarkerForegroundColor()
+
See also
setMarkerForegroundColor()
- +
@@ -3889,14 +5947,13 @@
-
-
+

Set the foreground colour of marker markerNumber to col. If markerNumber is -1 then the colour of all markers is set. The default is black.

-
See also:
setMarkerBackgroundColor()
+
See also
setMarkerBackgroundColor()
- +
@@ -3908,14 +5965,13 @@
-
-
+

Set the background colour used to display matched braces to col. It is ignored if an indicator is being used. The default is white.

-
See also:
setMatchedBraceForegroundColor(), setMatchedBraceIndicator()
+
See also
setMatchedBraceForegroundColor(), setMatchedBraceIndicator()
- +
@@ -3927,14 +5983,13 @@
-
-
+

Set the foreground colour used to display matched braces to col. It is ignored if an indicator is being used. The default is red.

-
See also:
setMatchedBraceBackgroundColor(), setMatchedBraceIndicator()
+
See also
setMatchedBraceBackgroundColor(), setMatchedBraceIndicator()
- +
@@ -3946,14 +6001,13 @@
-
-
+

Set the indicator used to display matched braces to indicatorNumber. The default is not to use an indicator.

-
See also:
resetMatchedBraceIndicator(), setMatchedBraceBackgroundColor()
+
See also
resetMatchedBraceIndicator(), setMatchedBraceBackgroundColor()
- +
@@ -3964,14 +6018,13 @@
-
-
+

Stop using an indicator to display matched braces.

-
See also:
setMatchedBraceIndicator()
+
See also
setMatchedBraceIndicator()
- +
@@ -3983,14 +6036,13 @@
-
-
+

Set the background colour used to display unmatched braces to col. It is ignored if an indicator is being used. The default is white.

-
See also:
setUnmatchedBraceForegroundColor(), setUnmatchedBraceIndicator()
+
See also
setUnmatchedBraceForegroundColor(), setUnmatchedBraceIndicator()
- +
@@ -4002,14 +6054,13 @@
-
-
+

Set the foreground colour used to display unmatched braces to col. It is ignored if an indicator is being used. The default is blue.

-
See also:
setUnmatchedBraceBackgroundColor(), setUnmatchedBraceIndicator()
+
See also
setUnmatchedBraceBackgroundColor(), setUnmatchedBraceIndicator()
- +
@@ -4021,14 +6072,13 @@
-
-
+

Set the indicator used to display unmatched braces to indicatorNumber. The default is not to use an indicator.

-
See also:
resetUnmatchedBraceIndicator(), setUnmatchedBraceBackgroundColor()
+
See also
resetUnmatchedBraceIndicator(), setUnmatchedBraceBackgroundColor()
- +
@@ -4039,14 +6089,13 @@
-
-
+

Stop using an indicator to display unmatched braces.

-
See also:
setUnmatchedBraceIndicator()
+
See also
setUnmatchedBraceIndicator()
- +
@@ -4060,7 +6109,7 @@ - + @@ -4074,13 +6123,12 @@
WrapVisualFlag startFlag = WrapFlagNone, startFlag = WrapFlagNone,
-
-
+

Set the visual flags displayed when a line is wrapped. endFlag determines if and where the flag at the end of a line is displayed. startFlag determines if and where the flag at the start of a line is displayed. indent is the number of characters a wrapped line is indented by. By default no visual flags are displayed.

- +
@@ -4091,14 +6139,13 @@
const
-
-
+

Returns the selected text or an empty string if there is no currently selected text.

-
See also:
hasSelectedText()
+
See also
hasSelectedText()
- +
@@ -4109,14 +6156,13 @@
const
-
-
+

Returns whether or not the selection is drawn up to the right hand border.

-
See also:
setSelectionToEol()
+
See also
setSelectionToEol()
- +
@@ -4128,14 +6174,13 @@
-
-
+

Sets the background colour of an active hotspot area to col.

-
See also:
resetHotspotBackgroundColor(), setHotspotForegroundColor()
+
See also
resetHotspotBackgroundColor(), setHotspotForegroundColor()
- +
@@ -4147,14 +6192,13 @@
-
-
+

Sets the foreground colour of an active hotspot area to col.

-
See also:
resetHotspotForegroundColor(), setHotspotBackgroundColor()
+
See also
resetHotspotForegroundColor(), setHotspotBackgroundColor()
- +
@@ -4166,13 +6210,12 @@
-
-
+

Enables or disables, according to enable, the underlining of an active hotspot area. The default is false.

- +
@@ -4184,13 +6227,12 @@
-
-
+

Enables or disables, according to enable, the wrapping of a hotspot area to following lines. The default is true.

- +
@@ -4202,14 +6244,13 @@
-
-
+

Sets whether or not the selection is drawn up to the right hand border. filled is set if the selection is drawn to the border.

-
See also:
selectionToEol()
+
See also
selectionToEol()
- +
@@ -4221,14 +6262,13 @@
-
-
+

Sets the extra space added to the height of a line above the baseline of the text to extra.

-
See also:
extraAscent(), setExtraDescent()
+
See also
extraAscent(), setExtraDescent()
- +
@@ -4240,14 +6280,13 @@
-
-
+

Sets the extra space added to the height of a line below the baseline of the text to extra.

-
See also:
extraDescent(), setExtraAscent()
+
See also
extraDescent(), setExtraAscent()
- +
@@ -4259,14 +6298,13 @@
-
-
+

Text entered by the user will overwrite existing text if overwrite is true.

-
See also:
overwriteMode()
+
See also
overwriteMode()
- +
@@ -4278,13 +6316,12 @@
-
-
+

Sets the background colour of visible whitespace to col. If col is an invalid color (the default) then the color specified by the current lexer is used.

- +
@@ -4296,13 +6333,12 @@
-
-
+

Sets the foreground colour of visible whitespace to col. If col is an invalid color (the default) then the color specified by the current lexer is used.

- +
@@ -4314,14 +6350,13 @@
-
-
+

Sets the size of the dots used to represent visible whitespace.

-
See also:
whitespaceSize()
+
See also
whitespaceSize()
- +
@@ -4333,14 +6368,13 @@
-
-
+

Sets the line wrap indentation mode to mode. The default is WrapIndentFixed.

-
See also:
wrapIndentMode()
+
See also
wrapIndentMode()
- +
@@ -4362,14 +6396,13 @@
-
-
+

Displays a user defined list which can be interacted with like an auto-completion list. id is an identifier for the list which is passed as an argument to the userListActivated() signal and must be at least 1. list is the text with which the list is populated.

-
See also:
cancelList(), isListActive(), userListActivated()
+
See also
cancelList(), isListActive(), userListActivated()
- +
@@ -4380,14 +6413,13 @@
const
-
-
+

Returns true if the tab key indents a line instead of inserting a tab character. The default is true.

-
See also:
setTabIndents(), backspaceUnindents(), setBackspaceUnindents()
+
See also
setTabIndents(), backspaceUnindents(), setBackspaceUnindents()
- +
@@ -4398,14 +6430,13 @@
const
-
-
+

Returns the tab width in characters. The default is 8.

-
See also:
setTabWidth()
+
See also
setTabWidth()
- +
@@ -4416,14 +6447,13 @@
const
-
-
+

Returns the text of the current document.

-
See also:
setText()
+
See also
setText()
- +
@@ -4435,14 +6465,14 @@
const
-
-
-

This is an overloaded member function, provided for convenience. It differs from the above function only in what argument(s) it accepts. Returns the text of line line.

-
See also:
setText()
+
+

This is an overloaded member function, provided for convenience. It differs from the above function only in what argument(s) it accepts.

+

Returns the text of line line.

+
See also
setText()
- +
@@ -4453,14 +6483,13 @@
const
-
-
+

Returns the size of the dots used to represent visible whitespace.

-
See also:
setWhitespaceSize()
+
See also
setWhitespaceSize()
- +
@@ -4471,14 +6500,13 @@
const
-
-
+

Returns the visibility of whitespace.

-
See also:
setWhitespaceVisibility()
+
See also
setWhitespaceVisibility()
- +
@@ -4500,13 +6528,12 @@
const
-
-
+

Returns the word at the line line number and index character index.

- +
@@ -4517,14 +6544,13 @@
const
-
-
+

Returns the set of valid word character as defined by the current language lexer. If there is no current lexer then the set contains an an underscore, numbers and all upper and lower case alphabetic characters.

-
See also:
isWordCharacter()
+
See also
isWordCharacter()
- +
@@ -4535,14 +6561,13 @@
const
-
-
+

Returns the line wrap mode.

-
See also:
setWrapMode()
+
See also
setWrapMode()
- +
@@ -4553,14 +6578,13 @@
const
-
-
+

Returns the line wrap indentation mode.

-
See also:
setWrapIndentMode()
+
See also
setWrapIndentMode()
- +
@@ -4572,196 +6596,268 @@
const
-
-
+

Writes the current document to the io device and returns true if there was no error.

-
See also:
read()
+
See also
read()
- +
+ + + + + +
- +
virtual void QsciScintilla::append ( const QString &  text) [virtual, slot]
- -
+
+virtualslot
+

Appends the text text to the end of the text edit. Note that the undo/redo history is cleared by this function.

- +
+ + + + + +
- +
virtual void QsciScintilla::autoCompleteFromAll ( ) [virtual, slot]
- -
+
+virtualslot
+

Display an auto-completion list based on any installed APIs, the current contents of the document and the characters immediately to the left of the cursor.

-
See also:
autoCompleteFromAPIs(), autoCompleteFromDocument()
+
See also
autoCompleteFromAPIs(), autoCompleteFromDocument()
- +
+ + + + + +
- +
virtual void QsciScintilla::autoCompleteFromAPIs ( ) [virtual, slot]
- -
+
+virtualslot
+

Display an auto-completion list based on any installed APIs and the characters immediately to the left of the cursor.

-
See also:
autoCompleteFromAll(), autoCompleteFromDocument(), setAutoCompletionAPIs()
+
See also
autoCompleteFromAll(), autoCompleteFromDocument(), setAutoCompletionAPIs()
- +
+ + + + + +
- +
virtual void QsciScintilla::autoCompleteFromDocument ( ) [virtual, slot]
- -
+
+virtualslot
+

Display an auto-completion list based on the current contents of the document and the characters immediately to the left of the cursor.

-
See also:
autoCompleteFromAll(), autoCompleteFromAPIs()
+
See also
autoCompleteFromAll(), autoCompleteFromAPIs()
- +
+ + + + + +
- +
virtual void QsciScintilla::callTip ( ) [virtual, slot]
- -
+
+virtualslot
+

Display a call tip based on the the characters immediately to the left of the cursor.

- +
+ + + + + +
- +
virtual void QsciScintilla::copy ( ) [virtual, slot]
- -
+
+virtualslot
+

Copies any selected text to the clipboard.

-
See also:
copyAvailable(), cut(), paste()
+
See also
copyAvailable(), cut(), paste()
- +
+ + + + + +
- +
virtual void QsciScintilla::cut ( ) [virtual, slot]
- -
+
+virtualslot
+

Copies any selected text to the clipboard and then deletes the text.

-
See also:
copy(), paste()
+
See also
copy(), paste()
- +
+ + + + + +
- +
virtual void QsciScintilla::foldAll ( bool  children = false) [virtual, slot]
- -
+
+virtualslot
+

If any lines are currently folded then they are all unfolded. Otherwise all lines are folded. This has the same effect as clicking in the fold margin with the shift and control keys pressed. If children is not set (the default) then only the top level fold points are affected, otherwise the state of all fold points are changed.

- +
+ + + + + +
- +
virtual void QsciScintilla::foldLine ( int  line) [virtual, slot]
- -
+
+virtualslot
+

If the line line is folded then it is unfolded. Otherwise it is folded. This has the same effect as clicking in the fold margin.

- +
+ + + + + +
- +
virtual void QsciScintilla::indent ( int  line) [virtual, slot]
- -
+
+virtualslot
+

Increases the indentation of line line by an indentation width.

-
See also:
unindent()
+
See also
unindent()
- +
+ + + + + +
@@ -4784,425 +6880,586 @@ - +
virtual void QsciScintilla::insertAt
) [virtual, slot]
- -
+
+virtualslot
+

Insert the text text in the line line at the position index.

- +
+ + + + + +
- +
virtual void QsciScintilla::moveToMatchingBrace ( ) [virtual, slot]
- -
+
+virtualslot
+

If the cursor is either side of a brace character then move it to the position of the corresponding brace.

- +
+ + + + + +
- +
virtual void QsciScintilla::paste ( ) [virtual, slot]
- -
+
+virtualslot
+

Pastes any text from the clipboard into the text edit at the current cursor position.

-
See also:
copy(), cut()
+
See also
copy(), cut()
- +
+ + + + + +
- +
virtual void QsciScintilla::redo ( ) [virtual, slot]
- -
+
+virtualslot
+

Redo the last change or sequence of changes.

-
See also:
isRedoAvailable()
+
See also
isRedoAvailable()
- +
+ + + + + +
- +
virtual void QsciScintilla::removeSelectedText ( ) [virtual, slot]
- -
+
+virtualslot
+

Removes any selected text.

-
See also:
replaceSelectedText()
+
See also
replaceSelectedText()
- +
+ + + + + +
- +
virtual void QsciScintilla::replaceSelectedText ( const QString &  text) [virtual, slot]
- -
+
+virtualslot
+

Replaces any selected text with text.

-
See also:
removeSelectedText()
+
See also
removeSelectedText()
- +
+ + + + + +
- +
virtual void QsciScintilla::resetSelectionBackgroundColor ( ) [virtual, slot]
- -
+
+virtualslot
+

Resets the background colour of selected text to the default.

-
See also:
setSelectionBackgroundColor(), resetSelectionForegroundColor()
+
See also
setSelectionBackgroundColor(), resetSelectionForegroundColor()
- +
+ + + + + +
- +
virtual void QsciScintilla::resetSelectionForegroundColor ( ) [virtual, slot]
- -
+
+virtualslot
+

Resets the foreground colour of selected text to the default.

-
See also:
setSelectionForegroundColor(), resetSelectionBackgroundColor()
+
See also
setSelectionForegroundColor(), resetSelectionBackgroundColor()
- +
+ + + + + +
- +
virtual void QsciScintilla::selectAll ( bool  select = true) [virtual, slot]
- -
+
+virtualslot
+

If select is true (the default) then all the text is selected. If select is false then any currently selected text is deselected.

- +
+ + + + + +
- +
virtual void QsciScintilla::selectToMatchingBrace ( ) [virtual, slot]
- -
+
+virtualslot
+

If the cursor is either side of a brace character then move it to the position of the corresponding brace and select the text between the braces.

- +
+ + + + + +
- +
virtual void QsciScintilla::setAutoCompletionCaseSensitivity ( bool  cs) [virtual, slot]
- -
+
+virtualslot
+

If cs is true then auto-completion lists are case sensitive. The default is true. Note that setting a lexer may change the case sensitivity.

-
See also:
autoCompletionCaseSensitivity()
+
See also
autoCompletionCaseSensitivity()
- +
+ + + + + +
- +
virtual void QsciScintilla::setAutoCompletionReplaceWord ( bool  replace) [virtual, slot]
- -
+
+virtualslot
+

If replace is true then when an item from an auto-completion list is selected, the rest of the word to the right of the current cursor is removed. The default is false.

-
See also:
autoCompletionReplaceWord()
+
See also
autoCompletionReplaceWord()
- +
+ + + + + +
- +
virtual void QsciScintilla::setAutoCompletionShowSingle ( bool  single) [virtual, slot]
- -
+
+virtualslot
+

If single is true then when there is only a single entry in an auto-completion list it is automatically used and the list is not displayed. This only has an effect when auto-completion is explicitly requested (using autoCompleteFromAPIs() and autoCompleteFromDocument()) and has no effect when auto-completion is triggered as the user types. The default is false. Note that this is deprecated and setAutoCompletionUseSingle() should be used instead.

-
See also:
autoCompletionShowSingle()
+
See also
autoCompletionShowSingle()
- +
+ + + + + +
- +
virtual void QsciScintilla::setAutoCompletionSource ( AutoCompletionSource  source) [virtual, slot]
- -
+
+virtualslot
+

Sets the source for the auto-completion list when it is being displayed automatically as the user types to source. The default is AcsNone, ie. it is disabled.

-
See also:
autoCompletionSource()
+
See also
autoCompletionSource()
- +
+ + + + + +
- +
virtual void QsciScintilla::setAutoCompletionThreshold ( int  thresh) [virtual, slot]
- -
+
+virtualslot
+

Sets the threshold for the automatic display of the auto-completion list as the user types to thresh. The threshold is the number of characters that the user must type before the list is displayed. If the threshold is less than or equal to 0 then the list is disabled. The default is -1.

-
See also:
autoCompletionThreshold(), setAutoCompletionWordSeparators()
+
See also
autoCompletionThreshold(), setAutoCompletionWordSeparators()
- +
+ + + + + +
- +
virtual void QsciScintilla::setAutoCompletionUseSingle ( AutoCompletionUseSingle  single) [virtual, slot]
- -
+
+virtualslot
+

Sets the behavior of the auto-completion list when it has a single entry. The default is AcusNever.

-
See also:
autoCompletionUseSingle()
+
See also
autoCompletionUseSingle()
- +
+ + + + + +
- +
virtual void QsciScintilla::setAutoIndent ( bool  autoindent) [virtual, slot]
- -
+
+virtualslot
+

If autoindent is true then auto-indentation is enabled. The default is false.

-
See also:
autoIndent()
+
See also
autoIndent()
- +
+ + + + + +
- +
virtual void QsciScintilla::setBraceMatching ( BraceMatch  bm) [virtual, slot]
- -
+
+virtualslot
+

Sets the brace matching mode to bm. The default is NoBraceMatching.

-
See also:
braceMatching()
+
See also
braceMatching()
- +
+ + + + + +
- +
virtual void QsciScintilla::setBackspaceUnindents ( bool  unindent) [virtual, slot]
- -
+
+virtualslot
+

If deindent is true then the backspace key will unindent a line rather then delete a character.

-
See also:
backspaceUnindents(), tabIndents(), setTabIndents()
+
See also
backspaceUnindents(), tabIndents(), setTabIndents()
- +
+ + + + + +
- +
virtual void QsciScintilla::setCaretLineBackgroundColor ( const QColor &  col) [virtual, slot]
- -
+
+virtualslot
+

Sets the background colour, including the alpha component, of the line containing the caret to col.

-
See also:
setCaretLineVisible()
+
See also
setCaretLineVisible()
- +
+ + + + + +
- +
virtual void QsciScintilla::setCaretLineVisible ( bool  enable) [virtual, slot]
- -
+
+virtualslot
+

Enables or disables, according to enable, the background color of the line containing the caret.

-
See also:
setCaretLineBackgroundColor()
+
See also
setCaretLineBackgroundColor()
- +
+ + + + + +
- +
virtual void QsciScintilla::setCaretWidth ( int  width) [virtual, slot]
- -
+
+virtualslot
+

Sets the width of the caret to width pixels. A width of 0 makes the caret invisible.

- +
+ + + + + +
- +
virtual void QsciScintilla::setColor ( const QColor &  c) [virtual, slot]
- -
+
+virtualslot
+

The widget's text (ie. foreground) colour is set to c. This has no effect if a language lexer has been set.

-
See also:
color()
+
See also
color()
- +
+ + + + + +
@@ -5219,57 +7476,78 @@ - +
virtual void QsciScintilla::setCursorPosition
) [virtual, slot]
- -
+
+virtualslot
+

Sets the cursor to the line line at the position index.

-
See also:
getCursorPosition()
+
See also
getCursorPosition()
- +
+ + + + + +
- +
virtual void QsciScintilla::setEolMode ( EolMode  mode) [virtual, slot]
- -
+
+virtualslot
+

Sets the end-of-line mode to mode. The default is the platform's natural mode.

-
See also:
eolMode()
+
See also
eolMode()
- +
+ + + + + +
- +
virtual void QsciScintilla::setEolVisibility ( bool  visible) [virtual, slot]
- -
+
+virtualslot
+

If visible is true then end-of-lines are made visible. The default is that they are invisible.

-
See also:
eolVisibility()
+
See also
eolVisibility()
- +
+ + + + + +
@@ -5286,19 +7564,26 @@ - +
virtual void QsciScintilla::setFolding
) [virtual, slot]
- -
+
+virtualslot
+

Sets the folding style for margin margin to fold. The default style is NoFoldStyle (ie. folding is disabled) and the default margin is 2.

-
See also:
folding()
+
See also
folding()
- +
+ + + + + +
@@ -5315,171 +7600,234 @@ - +
virtual void QsciScintilla::setIndentation
) [virtual, slot]
- -
+
+virtualslot
+

Sets the indentation of line line to indentation characters.

-
See also:
indentation()
+
See also
indentation()
- +
+ + + + + +
- +
virtual void QsciScintilla::setIndentationGuides ( bool  enable) [virtual, slot]
- -
+
+virtualslot
+

Enables or disables, according to enable, this display of indentation guides.

-
See also:
indentationGuides()
+
See also
indentationGuides()
- +
+ + + + + +
- +
virtual void QsciScintilla::setIndentationGuidesBackgroundColor ( const QColor &  col) [virtual, slot]
- -
+
+virtualslot
+

Set the background colour of indentation guides to col.

-
See also:
setIndentationGuidesForegroundColor()
+
See also
setIndentationGuidesForegroundColor()
- +
+ + + + + +
- +
virtual void QsciScintilla::setIndentationGuidesForegroundColor ( const QColor &  col) [virtual, slot]
- -
+
+virtualslot
+

Set the foreground colour of indentation guides to col.

-
See also:
setIndentationGuidesBackgroundColor()
+
See also
setIndentationGuidesBackgroundColor()
- +
+ + + + + +
- +
virtual void QsciScintilla::setIndentationsUseTabs ( bool  tabs) [virtual, slot]
- -
+
+virtualslot
+

If tabs is true then indentations are created using tabs and spaces, rather than just spaces.

-
See also:
indentationsUseTabs()
+
See also
indentationsUseTabs()
- +
+ + + + + +
- +
virtual void QsciScintilla::setIndentationWidth ( int  width) [virtual, slot]
- -
+
+virtualslot
+

Sets the indentation width to width characters. If width is 0 then the value returned by tabWidth() is used.

-
See also:
indentationWidth(), tabWidth()
+
See also
indentationWidth(), tabWidth()
- +
+ + + + + +
- +
virtual void QsciScintilla::setLexer ( QsciLexer lexer = 0) [virtual, slot]
- -
+
+virtualslot
+

Sets the specific language lexer used to style text to lex. If lex is 0 then syntax styling is disabled.

-
See also:
lexer()
+
See also
lexer()
- +
+ + + + + +
- +
virtual void QsciScintilla::setMarginsBackgroundColor ( const QColor &  col) [virtual, slot]
- -
+
+virtualslot
+

Set the background colour of all margins to col. The default is a gray.

-
See also:
setMarginsForegroundColor()
+
See also
setMarginsForegroundColor()
- +
+ + + + + +
- +
virtual void QsciScintilla::setMarginsForegroundColor ( const QColor &  col) [virtual, slot]
- -
+
+virtualslot
+

Set the foreground colour of all margins to col. The default is black.

-
See also:
setMarginsBackgroundColor()
+
See also
setMarginsBackgroundColor()
- +
+ + + + + +
@@ -5496,19 +7844,26 @@ - +
virtual void QsciScintilla::setMarginLineNumbers
) [virtual, slot]
- -
+
+virtualslot
+

Enables or disables, according to lnrs, the display of line numbers in margin margin.

-
See also:
marginLineNumbers(), setMarginType(), SCI_SETMARGINTYPEN
+
See also
marginLineNumbers(), setMarginType(), SCI_SETMARGINTYPEN
- +
+ + + + + +
@@ -5525,19 +7880,26 @@ - +
virtual void QsciScintilla::setMarginMarkerMask
) [virtual, slot]
- -
+
+virtualslot
+

Sets the marker mask of margin margin to mask. Only those markers whose bit is set in the mask are displayed in the margin.

-
See also:
marginMarkerMask(), QsciMarker, SCI_SETMARGINMASKN
+
See also
marginMarkerMask(), QsciMarker, SCI_SETMARGINMASKN
- +
+ + + + + +
@@ -5554,19 +7916,26 @@ - +
virtual void QsciScintilla::setMarginSensitivity
) [virtual, slot]
- -
+
+virtualslot
+

Enables or disables, according to sens, the sensitivity of margin margin to mouse clicks. If the user clicks in a sensitive margin the marginClicked() signal is emitted.

-
See also:
marginSensitivity(), marginClicked(), SCI_SETMARGINSENSITIVEN
+
See also
marginSensitivity(), marginClicked(), SCI_SETMARGINSENSITIVEN
- +
+ + + + + +
@@ -5583,19 +7952,26 @@ - +
virtual void QsciScintilla::setMarginWidth
) [virtual, slot]
- -
+
+virtualslot
+

Sets the width of margin margin to width pixels. If the width of a margin is 0 then it is not displayed.

-
See also:
marginWidth(), SCI_SETMARGINWIDTHN
+
See also
marginWidth(), SCI_SETMARGINWIDTHN
- +
+ + + + + +
@@ -5612,76 +7988,104 @@ - +
virtual void QsciScintilla::setMarginWidth
) [virtual, slot]
- -
+
+virtualslot
+

Sets the width of margin margin so that it is wide enough to display s in the current margin font.

-
See also:
marginWidth(), SCI_SETMARGINWIDTHN
+
See also
marginWidth(), SCI_SETMARGINWIDTHN
- +
+ + + + + +
- +
virtual void QsciScintilla::setModified ( bool  m) [virtual, slot]
- -
+
+virtualslot
+

Sets the modified state of the text edit to m. Note that it is only possible to clear the modified state (where m is false). Attempts to set the modified state (where m is true) are ignored.

-
See also:
isModified(), modificationChanged()
+
See also
isModified(), modificationChanged()
- +
+ + + + + +
- +
virtual void QsciScintilla::setPaper ( const QColor &  c) [virtual, slot]
- -
+
+virtualslot
+

The widget's paper (ie. background) colour is set to c. This has no effect if a language lexer has been set.

-
See also:
paper()
+
See also
paper()
- +
+ + + + + +
- +
virtual void QsciScintilla::setReadOnly ( bool  ro) [virtual, slot]
- -
+
+virtualslot
+

Sets the read-only state of the text edit to ro.

-
See also:
isReadOnly()
+
See also
isReadOnly()
- +
+ + + + + +
@@ -5710,300 +8114,414 @@ - +
virtual void QsciScintilla::setSelection
) [virtual, slot]
- -
+
+virtualslot
+

Sets the selection which starts at position indexFrom in line lineFrom and ends at position indexTo in line lineTo. The cursor is moved to position indexTo in lineTo.

-
See also:
getSelection()
+
See also
getSelection()
- +
+ + + + + +
- +
virtual void QsciScintilla::setSelectionBackgroundColor ( const QColor &  col) [virtual, slot]
- -
+
+virtualslot
+

Sets the background colour, including the alpha component, of selected text to col.

-
See also:
resetSelectionBackgroundColor(), setSelectionForegroundColor()
+
See also
resetSelectionBackgroundColor(), setSelectionForegroundColor()
- +
+ + + + + +
- +
virtual void QsciScintilla::setSelectionForegroundColor ( const QColor &  col) [virtual, slot]
- -
+
+virtualslot
+
- +
+ + + + + +
- +
virtual void QsciScintilla::setTabIndents ( bool  indent) [virtual, slot]
- -
+
+virtualslot
+

If indent is true then the tab key will indent a line rather than insert a tab character.

-
See also:
tabIndents(), backspaceUnindents(), setBackspaceUnindents()
+
See also
tabIndents(), backspaceUnindents(), setBackspaceUnindents()
- +
+ + + + + +
- +
virtual void QsciScintilla::setTabWidth ( int  width) [virtual, slot]
- -
+
+virtualslot
+

Sets the tab width to width characters.

-
See also:
tabWidth()
+
See also
tabWidth()
- +
+ + + + + +
- +
virtual void QsciScintilla::setText ( const QString &  text) [virtual, slot]
- -
+
+virtualslot
+

Replaces all of the current text with text. Note that the undo/redo history is cleared by this function.

-
See also:
text()
+
See also
text()
- +
+ + + + + +
- +
virtual void QsciScintilla::setUtf8 ( bool  cp) [virtual, slot]
- -
+
+virtualslot
+

Sets the current text encoding. If cp is true then UTF8 is used, otherwise Latin1 is used.

-
See also:
isUtf8()
+
See also
isUtf8()
- +
+ + + + + +
- +
virtual void QsciScintilla::setWhitespaceVisibility ( WhitespaceVisibility  mode) [virtual, slot]
- -
+
+virtualslot
+

Sets the visibility of whitespace to mode mode. The default is that whitespace is invisible.

-
See also:
whitespaceVisibility()
+
See also
whitespaceVisibility()
- +
+ + + + + +
- +
virtual void QsciScintilla::setWrapMode ( WrapMode  mode) [virtual, slot]
- -
+
+virtualslot
+

Sets the line wrap mode to mode. The default is that lines are not wrapped.

-
See also:
wrapMode()
+
See also
wrapMode()
- +
+ + + + + +
- +
virtual void QsciScintilla::undo ( ) [virtual, slot]
- -
+
+virtualslot
+

Undo the last change or sequence of changes.

Scintilla has multiple level undo and redo. It will continue to record undoable actions until memory runs out. Sequences of typing or deleting are compressed into single actions to make it easier to undo and redo at a sensible level of detail. Sequences of actions can be combined into actions that are undone as a unit. These sequences occur between calls to beginUndoAction() and endUndoAction(). These sequences can be nested and only the top level sequences are undone as units.

-
See also:
beginUndoAction(), endUndoAction(), isUndoAvailable()
+
See also
beginUndoAction(), endUndoAction(), isUndoAvailable()
- +
+ + + + + +
- +
virtual void QsciScintilla::unindent ( int  line) [virtual, slot]
- -
+
+virtualslot
+

Decreases the indentation of line line by an indentation width.

-
See also:
indent()
+
See also
indent()
- +
+ + + + + +
- +
virtual void QsciScintilla::zoomIn ( int  range) [virtual, slot]
- -
+
+virtualslot
+

Zooms in on the text by by making the base font size range points larger and recalculating all font sizes.

-
See also:
zoomOut(), zoomTo()
+
See also
zoomOut(), zoomTo()
- +
+ + + + + +
- +
virtual void QsciScintilla::zoomIn ( ) [virtual, slot]
- -
-

This is an overloaded member function, provided for convenience. It differs from the above function only in what argument(s) it accepts. Zooms in on the text by by making the base font size one point larger and recalculating all font sizes.

+
+virtualslot
+
+

This is an overloaded member function, provided for convenience. It differs from the above function only in what argument(s) it accepts.

+

Zooms in on the text by by making the base font size one point larger and recalculating all font sizes.

- +
+ + + + + +
- +
virtual void QsciScintilla::zoomOut ( int  range) [virtual, slot]
- -
+
+virtualslot
+

Zooms out on the text by by making the base font size range points smaller and recalculating all font sizes.

-
See also:
zoomIn(), zoomTo()
+
See also
zoomIn(), zoomTo()
- +
+ + + + + +
- +
virtual void QsciScintilla::zoomOut ( ) [virtual, slot]
- -
-

This is an overloaded member function, provided for convenience. It differs from the above function only in what argument(s) it accepts. Zooms out on the text by by making the base font size one point larger and recalculating all font sizes.

+
+virtualslot
+
+

This is an overloaded member function, provided for convenience. It differs from the above function only in what argument(s) it accepts.

+

Zooms out on the text by by making the base font size one point larger and recalculating all font sizes.

- +
+ + + + + +
- +
virtual void QsciScintilla::zoomTo ( int  size) [virtual, slot]
- -
+
+virtualslot
+

Zooms the text by making the base font size size points and recalculating all font sizes.

-
See also:
zoomIn(), zoomOut()
+
See also
zoomIn(), zoomOut()
- +
+ + + + + +
@@ -6020,37 +8538,51 @@ - +
void QsciScintilla::cursorPositionChanged
) [signal]
- -
+
+signal
+

This signal is emitted whenever the cursor position changes. line contains the line number and index contains the character index within the line.

- +
+ + + + + +
- +
void QsciScintilla::copyAvailable ( bool  yes) [signal]
- -
+
+signal
+

This signal is emitted whenever text is selected or de-selected. yes is true if text has been selected and false if text has been deselected. If yes is true then copy() can be used to copy the selection to the clipboard. If yes is false then copy() does nothing.

-
See also:
copy(), selectionChanged()
+
See also
copy(), selectionChanged()
- +
+ + + + + +
@@ -6073,19 +8605,26 @@ - +
void QsciScintilla::indicatorClicked
) [signal]
- -
+
+signal
+

This signal is emitted whenever the user clicks on an indicator. line is the number of the line where the user clicked. index is the character index within the line. state is the state of the modifier keys (Qt::ShiftModifier, Qt::ControlModifier, Qt::AltModifer and Qt::MetaModifier) when the user clicked.

-
See also:
indicatorReleased()
+
See also
indicatorReleased()
- +
+ + + + + +
@@ -6108,19 +8647,26 @@ - +
void QsciScintilla::indicatorReleased
) [signal]
- -
+
+signal
+

This signal is emitted whenever the user releases the mouse on an indicator. line is the number of the line where the user clicked. index is the character index within the line. state is the state of the modifier keys (Qt::ShiftModifier, Qt::ControlModifier, Qt::AltModifer and Qt::MetaModifier) when the user released the mouse.

-
See also:
indicatorClicked()
+
See also
indicatorClicked()
- +
+ + + + + +
@@ -6143,74 +8689,102 @@ - +
void QsciScintilla::marginClicked
) [signal]
- -
+
+signal
+

This signal is emitted whenever the user clicks on a sensitive margin. margin is the margin. line is the number of the line where the user clicked. state is the state of the modifier keys (Qt::ShiftModifier, Qt::ControlModifier, Qt::AltModifer and Qt::MetaModifier) when the user clicked.

-
See also:
marginSensitivity(), setMarginSensitivity()
+
See also
marginSensitivity(), setMarginSensitivity()
- +
+ + + + + +
- +
void QsciScintilla::modificationAttempted ( ) [signal]
- -
+
+signal
+

This signal is emitted whenever the user attempts to modify read-only text.

-
See also:
isReadOnly(), setReadOnly()
+
See also
isReadOnly(), setReadOnly()
- +
+ + + + + +
- +
void QsciScintilla::modificationChanged ( bool  m) [signal]
- -
+
+signal
+

This signal is emitted whenever the modification state of the text changes. m is true if the text has been modified.

-
See also:
isModified(), setModified()
+
See also
isModified(), setModified()
- +
+ + + + + +
- +
void QsciScintilla::selectionChanged ( ) [signal]
- -
+
+signal
+

This signal is emitted whenever the selection changes.

-
See also:
copyAvailable()
+
See also
copyAvailable()
- +
+ + + + + +
@@ -6227,24 +8801,26 @@ - +
void QsciScintilla::userListActivated
) [signal]
- -
+
+signal
+

This signal is emitted when an item in a user defined list is activated (selected). id is the list identifier. string is the text of the item.

-
See also:
showUserList()
+
See also
showUserList()
-
- - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciScintilla-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciScintilla-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciScintilla-members.html 2014-09-11 17:15:21.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciScintilla-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciScintilla Member List
-
+
-This is the complete list of members for QsciScintilla, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
AcsAll enum valueQsciScintilla
AcsAPIs enum valueQsciScintilla
AcsDocument enum valueQsciScintilla
AcsNone enum valueQsciScintilla
AcusAlways enum valueQsciScintilla
AcusExplicit enum valueQsciScintilla
AcusNever enum valueQsciScintilla
AiClosing enum valueQsciScintilla
AiMaintain enum valueQsciScintilla
AiOpening enum valueQsciScintilla
annotate(int line, const QString &text, int style)QsciScintilla
annotate(int line, const QString &text, const QsciStyle &style)QsciScintilla
annotate(int line, const QsciStyledText &text)QsciScintilla
annotate(int line, const QList< QsciStyledText > &text)QsciScintilla
annotation(int line) const QsciScintilla
ANNOTATION_BOXED enum value (defined in QsciScintillaBase)QsciScintillaBase
ANNOTATION_HIDDEN enum value (defined in QsciScintillaBase)QsciScintillaBase
ANNOTATION_STANDARD enum value (defined in QsciScintillaBase)QsciScintillaBase
AnnotationBoxed enum valueQsciScintilla
annotationDisplay() const QsciScintilla
AnnotationDisplay enum nameQsciScintilla
AnnotationHidden enum valueQsciScintilla
AnnotationStandard enum valueQsciScintilla
apiContext(int pos, int &context_start, int &last_word_start)QsciScintilla [virtual]
append(const QString &text)QsciScintilla [virtual, slot]
autoCompleteFromAll()QsciScintilla [virtual, slot]
autoCompleteFromAPIs()QsciScintilla [virtual, slot]
autoCompleteFromDocument()QsciScintilla [virtual, slot]
autoCompletionCaseSensitivity() const QsciScintilla
autoCompletionFillupsEnabled() const QsciScintilla
autoCompletionReplaceWord() const QsciScintilla
autoCompletionShowSingle() const QsciScintilla
AutoCompletionSource enum nameQsciScintilla
autoCompletionSource() const QsciScintilla [inline]
autoCompletionThreshold() const QsciScintilla [inline]
autoCompletionUseSingle() const QsciScintilla
AutoCompletionUseSingle enum nameQsciScintilla
autoIndent() const QsciScintilla [inline]
Background enum valueQsciScintilla
backspaceUnindents() const QsciScintilla
beginUndoAction()QsciScintilla
BottomLeftCorner enum valueQsciScintilla
BoxedFoldStyle enum valueQsciScintilla
BoxedMinus enum valueQsciScintilla
BoxedMinusConnected enum valueQsciScintilla
BoxedPlus enum valueQsciScintilla
BoxedPlusConnected enum valueQsciScintilla
BoxedTreeFoldStyle enum valueQsciScintilla
BoxIndicator enum valueQsciScintilla
BraceMatch enum nameQsciScintilla
braceMatching() const QsciScintilla [inline]
bytesAsText(const char *bytes) const (defined in QsciScintillaBase)QsciScintillaBase [protected]
callTip()QsciScintilla [virtual, slot]
CallTipsAboveText enum valueQsciScintilla
CallTipsBelowText enum valueQsciScintilla
CallTipsContext enum valueQsciScintilla
CallTipsNoAutoCompletionContext enum valueQsciScintilla
CallTipsNoContext enum valueQsciScintilla
CallTipsNone enum valueQsciScintilla
callTipsPosition() const QsciScintilla [inline]
CallTipsPosition enum nameQsciScintilla
callTipsStyle() const QsciScintilla [inline]
CallTipsStyle enum nameQsciScintilla
callTipsVisible() const QsciScintilla [inline]
cancelList()QsciScintilla
canInsertFromMimeData(const QMimeData *source) const QsciScintillaBase [protected, virtual]
CARET_EVEN enum value (defined in QsciScintillaBase)QsciScintillaBase
CARET_JUMPS enum value (defined in QsciScintillaBase)QsciScintillaBase
CARET_SLOP enum value (defined in QsciScintillaBase)QsciScintillaBase
CARET_STRICT enum value (defined in QsciScintillaBase)QsciScintillaBase
CARETSTYLE_BLOCK enum value (defined in QsciScintillaBase)QsciScintillaBase
CARETSTYLE_INVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
CARETSTYLE_LINE enum value (defined in QsciScintillaBase)QsciScintillaBase
caseSensitive() const QsciScintilla
changeEvent(QEvent *e)QsciScintilla [protected, virtual]
Circle enum valueQsciScintilla
CircledFoldStyle enum valueQsciScintilla
CircledMinus enum valueQsciScintilla
CircledMinusConnected enum valueQsciScintilla
CircledPlus enum valueQsciScintilla
CircledPlusConnected enum valueQsciScintilla
CircledTreeFoldStyle enum valueQsciScintilla
clear()QsciScintilla [virtual, slot]
clearAnnotations(int line=-1)QsciScintilla
clearFolds()QsciScintilla
clearIndicatorRange(int lineFrom, int indexFrom, int lineTo, int indexTo, int indicatorNumber)QsciScintilla
clearMarginText(int line=-1)QsciScintilla
clearRegisteredImages()QsciScintilla
color() const QsciScintilla
commandKey(int qt_key, int &modifiers) (defined in QsciScintillaBase)QsciScintillaBase [static]
contextMenuEvent(QContextMenuEvent *e)QsciScintilla [protected, virtual]
contractedFolds() const QsciScintilla
convertEols(EolMode mode)QsciScintilla
copy()QsciScintilla [virtual, slot]
copyAvailable(bool yes)QsciScintilla [signal]
createStandardContextMenu()QsciScintilla
cursorPositionChanged(int line, int index)QsciScintilla [signal]
cut()QsciScintilla [virtual, slot]
DashesIndicator enum valueQsciScintilla
DiagonalIndicator enum valueQsciScintilla
document() const QsciScintilla [inline]
DotBoxIndicator enum valueQsciScintilla
DotsIndicator enum valueQsciScintilla
DownTriangle enum valueQsciScintilla
dragEnterEvent(QDragEnterEvent *e)QsciScintillaBase [protected, virtual]
dragLeaveEvent(QDragLeaveEvent *e)QsciScintillaBase [protected, virtual]
dragMoveEvent(QDragMoveEvent *e)QsciScintillaBase [protected, virtual]
dropEvent(QDropEvent *e)QsciScintillaBase [protected, virtual]
EDGE_BACKGROUND enum value (defined in QsciScintillaBase)QsciScintillaBase
EDGE_LINE enum value (defined in QsciScintillaBase)QsciScintillaBase
EDGE_NONE enum value (defined in QsciScintillaBase)QsciScintillaBase
EdgeBackground enum valueQsciScintilla
edgeColor() const QsciScintilla
edgeColumn() const QsciScintilla
EdgeLine enum valueQsciScintilla
EdgeMode enum nameQsciScintilla
edgeMode() const QsciScintilla
EdgeNone enum valueQsciScintilla
endUndoAction()QsciScintilla
ensureCursorVisible()QsciScintilla [virtual, slot]
ensureLineVisible(int line)QsciScintilla [virtual, slot]
EolMac enum valueQsciScintilla
EolMode enum nameQsciScintilla
eolMode() const QsciScintilla
EolUnix enum valueQsciScintilla
eolVisibility() const QsciScintilla
EolWindows enum valueQsciScintilla
event(QEvent *e)QsciScintilla [protected, virtual]
extraAscent() const QsciScintilla
extraDescent() const QsciScintilla
fillIndicatorRange(int lineFrom, int indexFrom, int lineTo, int indexTo, int indicatorNumber)QsciScintilla
findFirst(const QString &expr, bool re, bool cs, bool wo, bool wrap, bool forward=true, int line=-1, int index=-1, bool show=true, bool posix=false)QsciScintilla [virtual]
findFirstInSelection(const QString &expr, bool re, bool cs, bool wo, bool forward=true, bool show=true, bool posix=false)QsciScintilla [virtual]
findNext()QsciScintilla [virtual]
firstVisibleLine() const QsciScintilla
focusInEvent(QFocusEvent *e)QsciScintillaBase [protected, virtual]
focusNextPrevChild(bool next)QsciScintillaBase [protected, virtual]
focusOutEvent(QFocusEvent *e)QsciScintillaBase [protected, virtual]
foldAll(bool children=false)QsciScintilla [virtual, slot]
folding() const QsciScintilla [inline]
foldLine(int line)QsciScintilla [virtual, slot]
FoldStyle enum nameQsciScintilla
fromMimeData(const QMimeData *source, bool &rectangular) const QsciScintillaBase [protected, virtual]
FullRectangle enum valueQsciScintilla
getCursorPosition(int *line, int *index) const QsciScintilla
getSelection(int *lineFrom, int *indexFrom, int *lineTo, int *indexTo) const QsciScintilla
hasSelectedText() const QsciScintilla [inline]
HiddenIndicator enum valueQsciScintilla
indent(int line)QsciScintilla [virtual, slot]
indentation(int line) const QsciScintilla
indentationGuides() const QsciScintilla
indentationsUseTabs() const QsciScintilla
indentationWidth() const QsciScintilla
INDIC0_MASK enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC1_MASK enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC2_MASK enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_BOX enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_COMPOSITIONTHICK enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_CONTAINER enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_DASH enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_DIAGONAL enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_DOTBOX enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_DOTS enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_HIDDEN enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_MAX enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_PLAIN enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_ROUNDBOX enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_SQUIGGLE enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_SQUIGGLELOW enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_SQUIGGLEPIXMAP enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_STRAIGHTBOX enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_STRIKE enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_TT enum value (defined in QsciScintillaBase)QsciScintillaBase
indicatorClicked(int line, int index, Qt::KeyboardModifiers state)QsciScintilla [signal]
indicatorDefine(IndicatorStyle style, int indicatorNumber=-1)QsciScintilla
indicatorDrawUnder(int indicatorNumber) const QsciScintilla
indicatorReleased(int line, int index, Qt::KeyboardModifiers state)QsciScintilla [signal]
IndicatorStyle enum nameQsciScintilla
INDICS_MASK enum value (defined in QsciScintillaBase)QsciScintillaBase
inputMethodEvent(QInputMethodEvent *event)QsciScintillaBase [protected, virtual]
inputMethodQuery(Qt::InputMethodQuery query) const (defined in QsciScintillaBase)QsciScintillaBase [protected, virtual]
insert(const QString &text)QsciScintilla [virtual, slot]
insertAt(const QString &text, int line, int index)QsciScintilla [virtual, slot]
Invisible enum valueQsciScintilla
isCallTipActive() const QsciScintilla
isListActive() const QsciScintilla
isModified() const QsciScintilla
isReadOnly() const QsciScintilla
isRedoAvailable() const QsciScintilla
isUndoAvailable() const QsciScintilla
isUtf8() const QsciScintilla
isWordCharacter(char ch) const QsciScintilla
keyPressEvent(QKeyEvent *e)QsciScintillaBase [protected, virtual]
LeftRectangle enum valueQsciScintilla
LeftSideRoundedSplitter enum valueQsciScintilla
LeftSideSplitter enum valueQsciScintilla
length() const QsciScintilla
lexer() const QsciScintilla
lineAt(const QPoint &point) const QsciScintilla
lineIndexFromPosition(int position, int *line, int *index) const QsciScintilla
lineLength(int line) const QsciScintilla
lines() const QsciScintilla
linesChanged()QsciScintilla [signal]
marginClicked(int margin, int line, Qt::KeyboardModifiers state)QsciScintilla [signal]
marginLineNumbers(int margin) const QsciScintilla
marginMarkerMask(int margin) const QsciScintilla
marginOptions() const QsciScintilla
marginSensitivity(int margin) const QsciScintilla
MarginType enum nameQsciScintilla
marginType(int margin) const QsciScintilla
marginWidth(int margin) const QsciScintilla
markerAdd(int linenr, int markerNumber)QsciScintilla
markerDefine(MarkerSymbol sym, int markerNumber=-1)QsciScintilla
markerDefine(char ch, int markerNumber=-1)QsciScintilla
markerDefine(const QPixmap &pm, int markerNumber=-1)QsciScintilla
markerDefine(const QImage &im, int markerNumber=-1)QsciScintilla
markerDelete(int linenr, int markerNumber=-1)QsciScintilla
markerDeleteAll(int markerNumber=-1)QsciScintilla
markerDeleteHandle(int mhandle)QsciScintilla
markerFindNext(int linenr, unsigned mask) const QsciScintilla
markerFindPrevious(int linenr, unsigned mask) const QsciScintilla
markerLine(int mhandle) const QsciScintilla
markersAtLine(int linenr) const QsciScintilla
MarkerSymbol enum nameQsciScintilla
Minus enum valueQsciScintilla
modificationAttempted()QsciScintilla [signal]
modificationChanged(bool m)QsciScintilla [signal]
MoNone enum valueQsciScintilla
MoSublineSelect enum valueQsciScintilla
mouseDoubleClickEvent(QMouseEvent *e)QsciScintillaBase [protected, virtual]
mouseMoveEvent(QMouseEvent *e)QsciScintillaBase [protected, virtual]
mousePressEvent(QMouseEvent *e)QsciScintillaBase [protected, virtual]
mouseReleaseEvent(QMouseEvent *e)QsciScintillaBase [protected, virtual]
moveToMatchingBrace()QsciScintilla [virtual, slot]
NoBraceMatch enum valueQsciScintilla
NoFoldStyle enum valueQsciScintilla
NumberMargin enum valueQsciScintilla
overwriteMode() const QsciScintilla
paintEvent(QPaintEvent *e)QsciScintillaBase [protected, virtual]
paper() const QsciScintilla
paste()QsciScintilla [virtual, slot]
PlainFoldStyle enum valueQsciScintilla
PlainIndicator enum valueQsciScintilla
Plus enum valueQsciScintilla
pool()QsciScintillaBase [static]
positionFromLineIndex(int line, int index) const QsciScintilla
QsciScintilla(QWidget *parent=0)QsciScintilla
QsciScintillaBase(QWidget *parent=0)QsciScintillaBase [explicit]
QSCN_SELCHANGED(bool yes)QsciScintillaBase [signal]
read(QIODevice *io)QsciScintilla
recolor(int start=0, int end=-1)QsciScintilla [virtual]
Rectangle enum valueQsciScintilla
redo()QsciScintilla [virtual, slot]
registerImage(int id, const QPixmap &pm)QsciScintilla
registerImage(int id, const QImage &im)QsciScintilla
removeSelectedText()QsciScintilla [virtual, slot]
replace(const QString &replaceStr)QsciScintilla [virtual]
replaceSelectedText(const QString &text)QsciScintilla [virtual, slot]
resetFoldMarginColors()QsciScintilla
resetHotspotBackgroundColor()QsciScintilla
resetHotspotForegroundColor()QsciScintilla
resetMatchedBraceIndicator()QsciScintilla
resetSelectionBackgroundColor()QsciScintilla [virtual, slot]
resetSelectionForegroundColor()QsciScintilla [virtual, slot]
resetUnmatchedBraceIndicator()QsciScintilla
resizeEvent(QResizeEvent *e)QsciScintillaBase [protected, virtual]
RightArrow enum valueQsciScintilla
RightTriangle enum valueQsciScintilla
RoundBoxIndicator enum valueQsciScintilla
RoundedBottomLeftCorner enum valueQsciScintilla
SC_ALPHA_NOALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_ALPHA_OPAQUE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_ALPHA_TRANSPARENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_AUTOMATICFOLD_CHANGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_AUTOMATICFOLD_CLICK enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_AUTOMATICFOLD_SHOW enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CACHE_CARET enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CACHE_DOCUMENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CACHE_NONE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CACHE_PAGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CARETSTICKY_OFF enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CARETSTICKY_ON enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CARETSTICKY_WHITESPACE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CASE_LOWER enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CASE_MIXED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CASE_UPPER enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CASEINSENSITIVEBEHAVIOUR_IGNORECASE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CASEINSENSITIVEBEHAVIOUR_RESPECTCASE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_8859_15 enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_ANSI enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_ARABIC enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_BALTIC enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_CHINESEBIG5 enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_DEFAULT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_EASTEUROPE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_GB2312 enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_GREEK enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_HANGUL enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_HEBREW enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_JOHAB enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_MAC enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_OEM enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_RUSSIAN enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_SHIFTJIS enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_SYMBOL enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_THAI enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_TURKISH enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_VIETNAMESE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CP_DBCS enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CP_UTF8 enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CURSORARROW enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CURSORNORMAL enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CURSORREVERSEARROW enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CURSORWAIT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_EFF_QUALITY_ANTIALIASED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_EFF_QUALITY_DEFAULT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_EFF_QUALITY_LCD_OPTIMIZED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_EFF_QUALITY_MASK enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_EFF_QUALITY_NON_ANTIALIASED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_EOL_CR enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_EOL_CRLF enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_EOL_LF enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDACTION_CONTRACT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDACTION_EXPAND enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDACTION_TOGGLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDFLAG_LEVELNUMBERS enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDFLAG_LINEAFTER_CONTRACTED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDFLAG_LINEAFTER_EXPANDED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDFLAG_LINEBEFORE_CONTRACTED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDFLAG_LINEBEFORE_EXPANDED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDLEVELBASE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDLEVELHEADERFLAG enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDLEVELNUMBERMASK enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDLEVELWHITEFLAG enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FONT_SIZE_MULTIPLIER enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_IV_LOOKBOTH enum valueQsciScintillaBase
SC_IV_LOOKFORWARD enum valueQsciScintillaBase
SC_IV_NONE enum valueQsciScintillaBase
SC_IV_REAL enum valueQsciScintillaBase
SC_LASTSTEPINUNDOREDO enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MARGIN_BACK enum valueQsciScintillaBase
SC_MARGIN_FORE enum valueQsciScintillaBase
SC_MARGIN_NUMBER enum valueQsciScintillaBase
SC_MARGIN_RTEXT enum valueQsciScintillaBase
SC_MARGIN_SYMBOL enum valueQsciScintillaBase
SC_MARGIN_TEXT enum valueQsciScintillaBase
SC_MARGINOPTION_NONE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MARGINOPTION_SUBLINESELECT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MARK_ARROW enum valueQsciScintillaBase
SC_MARK_ARROWDOWN enum valueQsciScintillaBase
SC_MARK_ARROWS enum valueQsciScintillaBase
SC_MARK_AVAILABLE enum valueQsciScintillaBase
SC_MARK_BACKGROUND enum valueQsciScintillaBase
SC_MARK_BOXMINUS enum valueQsciScintillaBase
SC_MARK_BOXMINUSCONNECTED enum valueQsciScintillaBase
SC_MARK_BOXPLUS enum valueQsciScintillaBase
SC_MARK_BOXPLUSCONNECTED enum valueQsciScintillaBase
SC_MARK_CHARACTER enum valueQsciScintillaBase
SC_MARK_CIRCLE enum valueQsciScintillaBase
SC_MARK_CIRCLEMINUS enum valueQsciScintillaBase
SC_MARK_CIRCLEMINUSCONNECTED enum valueQsciScintillaBase
SC_MARK_CIRCLEPLUS enum valueQsciScintillaBase
SC_MARK_CIRCLEPLUSCONNECTED enum valueQsciScintillaBase
SC_MARK_DOTDOTDOT enum valueQsciScintillaBase
SC_MARK_EMPTY enum valueQsciScintillaBase
SC_MARK_FULLRECT enum valueQsciScintillaBase
SC_MARK_LCORNER enum valueQsciScintillaBase
SC_MARK_LCORNERCURVE enum valueQsciScintillaBase
SC_MARK_LEFTRECT enum valueQsciScintillaBase
SC_MARK_MINUS enum valueQsciScintillaBase
SC_MARK_PIXMAP enum valueQsciScintillaBase
SC_MARK_PLUS enum valueQsciScintillaBase
SC_MARK_RGBAIMAGE enum valueQsciScintillaBase
SC_MARK_ROUNDRECT enum valueQsciScintillaBase
SC_MARK_SHORTARROW enum valueQsciScintillaBase
SC_MARK_SMALLRECT enum valueQsciScintillaBase
SC_MARK_TCORNER enum valueQsciScintillaBase
SC_MARK_TCORNERCURVE enum valueQsciScintillaBase
SC_MARK_UNDERLINE enum valueQsciScintillaBase
SC_MARK_VLINE enum valueQsciScintillaBase
SC_MARKNUM_FOLDER enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MARKNUM_FOLDEREND enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MARKNUM_FOLDERMIDTAIL enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MARKNUM_FOLDEROPEN enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MARKNUM_FOLDEROPENMID enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MARKNUM_FOLDERSUB enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MARKNUM_FOLDERTAIL enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MASK_FOLDERS enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_BEFOREDELETE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_BEFOREINSERT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_CHANGEANNOTATION enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_CHANGEFOLD enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_CHANGEINDICATOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_CHANGELINESTATE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_CHANGEMARGIN enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_CHANGEMARKER enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_CHANGESTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_CONTAINER enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_DELETETEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_INSERTTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_LEXERSTATE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MODEVENTMASKALL enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MULTILINEUNDOREDO enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MULTIPASTE_EACH enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MULTIPASTE_ONCE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MULTISTEPUNDOREDO enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_ORDER_CUSTOM enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_ORDER_PERFORMSORT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_ORDER_PRESORTED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_PERFORMED_REDO enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_PERFORMED_UNDO enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_PERFORMED_USER enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_PRINT_BLACKONWHITE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_PRINT_COLOURONWHITE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_PRINT_COLOURONWHITEDEFAULTBG enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_PRINT_INVERTLIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_PRINT_NORMAL enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_SEL_LINES enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_SEL_RECTANGLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_SEL_STREAM enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_SEL_THIN enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_STARTACTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_TECHNOLOGY_DEFAULT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_TECHNOLOGY_DIRECTWRITE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_TIME_FOREVER enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_TYPE_BOOLEAN enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_TYPE_INTEGER enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_TYPE_STRING enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_UPDATE_CONTENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_UPDATE_H_SCROLL enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_UPDATE_SELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_UPDATE_V_SCROLL enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WEIGHT_BOLD enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WEIGHT_NORMAL enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WEIGHT_SEMIBOLD enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAP_CHAR enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAP_NONE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAP_WORD enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAPINDENT_FIXED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAPINDENT_INDENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAPINDENT_SAME enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAPVISUALFLAG_END enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAPVISUALFLAG_MARGIN enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAPVISUALFLAG_NONE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAPVISUALFLAG_START enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAPVISUALFLAGLOC_DEFAULT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAPVISUALFLAGLOC_END_BY_TEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAPVISUALFLAGLOC_START_BY_TEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCEN_CHANGE()QsciScintillaBase [signal]
SCFIND_MATCHCASE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCFIND_POSIX enum value (defined in QsciScintillaBase)QsciScintillaBase
SCFIND_REGEXP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCFIND_WHOLEWORD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCFIND_WORDSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ADDREFDOCUMENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ADDSELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ADDSTYLEDTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ADDTEXT enum valueQsciScintillaBase
SCI_ADDUNDOACTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ALLOCATE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ALLOCATEEXTENDEDSTYLES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONCLEARALL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONGETLINES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONGETSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONGETSTYLEOFFSET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONGETSTYLES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONGETTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONGETVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONSETSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONSETSTYLEOFFSET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONSETSTYLES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONSETTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONSETVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_APPENDTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ASSIGNCMDKEY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCACTIVE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCCANCEL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCCOMPLETE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETAUTOHIDE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETCANCELATSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETCASEINSENSITIVEBEHAVIOUR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETCHOOSESINGLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETCURRENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETCURRENTTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETDROPRESTOFWORD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETIGNORECASE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETMAXHEIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETMAXWIDTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETORDER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETSEPARATOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETTYPESEPARATOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCPOSSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSELECT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETAUTOHIDE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETCANCELATSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETCASEINSENSITIVEBEHAVIOUR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETCHOOSESINGLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETDROPRESTOFWORD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETFILLUPS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETIGNORECASE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETMAXHEIGHT enum valueQsciScintillaBase
SCI_AUTOCSETMAXWIDTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETORDER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETSEPARATOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETTYPESEPARATOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSHOW enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSTOPS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_BACKTAB enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_BEGINUNDOACTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_BRACEBADLIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_BRACEBADLIGHTINDICATOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_BRACEHIGHLIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_BRACEHIGHLIGHTINDICATOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_BRACEMATCH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPACTIVE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPCANCEL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPPOSSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPSETBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPSETFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPSETFOREHLT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPSETHLT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPSETPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPSHOW enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPUSESTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CANCEL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CANPASTE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CANREDO enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CANUNDO enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHANGELEXERSTATE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHARLEFT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHARLEFTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHARLEFTRECTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHARPOSITIONFROMPOINT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHARPOSITIONFROMPOINTCLOSE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHARRIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHARRIGHTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHARRIGHTRECTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHOOSECARETX enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CLEAR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CLEARALL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CLEARALLCMDKEYS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CLEARCMDKEY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CLEARDOCUMENTSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CLEARREGISTEREDIMAGES enum valueQsciScintillaBase
SCI_CLEARREPRESENTATION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CLEARSELECTIONS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_COLOURISE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CONTRACTEDFOLDNEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CONVERTEOLS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_COPY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_COPYALLOWLINE enum valueQsciScintillaBase
SCI_COPYRANGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_COPYTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_COUNTCHARACTERS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CREATEDOCUMENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CREATELOADER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CUT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DELETEBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DELETEBACKNOTLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DELETERANGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DELLINELEFT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DELLINERIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DELWORDLEFT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DELWORDRIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DELWORDRIGHTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DESCRIBEKEYWORDSETS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DESCRIBEPROPERTY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DOCLINEFROMVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DOCUMENTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DOCUMENTENDEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DOCUMENTSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DOCUMENTSTARTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_EDITTOGGLEOVERTYPE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_EMPTYUNDOBUFFER enum valueQsciScintillaBase
SCI_ENDUNDOACTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ENSUREVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ENSUREVISIBLEENFORCEPOLICY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_EXPANDCHILDREN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FINDCOLUMN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FINDINDICATORFLASH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FINDINDICATORHIDE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FINDINDICATORSHOW enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FINDTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FOLDALL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FOLDCHILDREN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FOLDLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FORMATRANGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FORMFEED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETADDITIONALCARETFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETADDITIONALCARETSBLINK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETADDITIONALCARETSVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETADDITIONALSELALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETADDITIONALSELECTIONTYPING enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETALLLINESVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETANCHOR enum valueQsciScintillaBase
SCI_GETAUTOMATICFOLD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETBACKSPACEUNINDENTS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETBUFFEREDDRAW enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCARETFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCARETLINEBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCARETLINEBACKALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCARETLINEVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCARETLINEVISIBLEALWAYS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCARETPERIOD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCARETSTICKY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCARETSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCARETWIDTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCHARACTERPOINTER enum valueQsciScintillaBase
SCI_GETCHARAT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCODEPAGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCOLUMN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCONTROLCHARSYMBOL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCURLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCURRENTPOS enum valueQsciScintillaBase
SCI_GETCURSOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETDIRECTFUNCTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETDIRECTPOINTER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETDOCPOINTER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETEDGECOLOUR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETEDGECOLUMN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETEDGEMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETENDATLASTLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETENDSTYLED enum valueQsciScintillaBase
SCI_GETEOLMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETEXTRAASCENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETEXTRADESCENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETFIRSTVISIBLELINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETFOCUS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETFOLDEXPANDED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETFOLDLEVEL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETFOLDPARENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETFONTQUALITY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETGAPPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETHIGHLIGHTGUIDE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETHOTSPOTACTIVEBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETHOTSPOTACTIVEFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETHOTSPOTACTIVEUNDERLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETHOTSPOTSINGLELINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETHSCROLLBAR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETIDENTIFIER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETINDENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETINDENTATIONGUIDES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETINDICATORCURRENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETINDICATORVALUE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETKEYSUNICODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLASTCHILD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLAYOUTCACHE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLENGTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLEXER enum valueQsciScintillaBase
SCI_GETLEXERLANGUAGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINECOUNT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINEENDPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINEINDENTATION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINEINDENTPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINESELENDPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINESELSTARTPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINESTATE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINEVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMAINSELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMARGINCURSORN enum valueQsciScintillaBase
SCI_GETMARGINLEFT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMARGINMASKN enum valueQsciScintillaBase
SCI_GETMARGINOPTIONS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMARGINRIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMARGINSENSITIVEN enum valueQsciScintillaBase
SCI_GETMARGINTYPEN enum valueQsciScintillaBase
SCI_GETMARGINWIDTHN enum valueQsciScintillaBase
SCI_GETMAXLINESTATE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMODEVENTMASK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMODIFY enum valueQsciScintillaBase
SCI_GETMOUSEDOWNCAPTURES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMOUSEDWELLTIME enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMOUSESELECTIONRECTANGULARSWITCH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMULTIPASTE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMULTIPLESELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETOVERTYPE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETPASTECONVERTENDINGS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETPOSITIONCACHE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETPRINTCOLOURMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETPRINTMAGNIFICATION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETPRINTWRAPMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETPROPERTY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETPROPERTYEXPANDED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETPROPERTYINT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETPUNCTUATIONCHARS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETRANGEPOINTER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETREADONLY enum valueQsciScintillaBase
SCI_GETRECTANGULARSELECTIONANCHOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETRECTANGULARSELECTIONANCHORVIRTUALSPACE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETRECTANGULARSELECTIONCARET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETRECTANGULARSELECTIONCARETVIRTUALSPACE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETRECTANGULARSELECTIONMODIFIER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETREPRESENTATION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSCROLLWIDTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSCROLLWIDTHTRACKING enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSEARCHFLAGS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONEMPTY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONNANCHOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONNANCHORVIRTUALSPACE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONNCARET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONNCARETVIRTUALSPACE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONNEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONNSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELEOLFILLED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSTATUS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSTYLEAT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSTYLEBITS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSTYLEBITSNEEDED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSTYLEDTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETTABINDENTS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETTABWIDTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETTAG enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETTARGETEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETTARGETSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETTECHNOLOGY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETTEXT enum valueQsciScintillaBase
SCI_GETTEXTLENGTH enum valueQsciScintillaBase
SCI_GETTEXTRANGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETTWOPHASEDRAW enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETUNDOCOLLECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETUSETABS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETVIEWEOL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETVIEWWS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETVIRTUALSPACEOPTIONS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETVSCROLLBAR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETWHITESPACECHARS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETWHITESPACESIZE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETWORDCHARS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETWRAPINDENTMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETWRAPMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETWRAPSTARTINDENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETWRAPVISUALFLAGS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETWRAPVISUALFLAGSLOCATION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETXOFFSET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETZOOM enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GOTOLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GOTOPOS enum valueQsciScintillaBase
SCI_GRABFOCUS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_HIDELINES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_HIDESELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_HOME enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_HOMEDISPLAY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_HOMEDISPLAYEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_HOMEEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_HOMERECTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_HOMEWRAP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_HOMEWRAPEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICATORALLONFOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICATORCLEARRANGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICATOREND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICATORFILLRANGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICATORSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICATORVALUEAT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICGETALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICGETFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICGETOUTLINEALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICGETSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICGETUNDER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICSETALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICSETFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICSETOUTLINEALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICSETSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICSETUNDER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INSERTTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LEXER_START enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINECOPY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINECUT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEDELETE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEDOWN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEDOWNEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEDOWNRECTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEDUPLICATE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEENDDISPLAY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEENDDISPLAYEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEENDEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEENDRECTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEENDWRAP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEENDWRAPEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEFROMPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINELENGTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINESCROLL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINESCROLLDOWN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINESCROLLUP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINESJOIN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINESONSCREEN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINESSPLIT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINETRANSPOSE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEUP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEUPEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEUPRECTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LOADLEXERLIBRARY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LOWERCASE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARGINGETSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARGINGETSTYLEOFFSET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARGINGETSTYLES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARGINGETTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARGINSETSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARGINSETSTYLEOFFSET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARGINSETSTYLES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARGINSETTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARGINTEXTCLEARALL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARKERADD enum valueQsciScintillaBase
SCI_MARKERADDSET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARKERDEFINE enum valueQsciScintillaBase
SCI_MARKERDEFINEPIXMAP enum valueQsciScintillaBase
SCI_MARKERDEFINERGBAIMAGE enum valueQsciScintillaBase
SCI_MARKERDELETE enum valueQsciScintillaBase
SCI_MARKERDELETEALL enum valueQsciScintillaBase
SCI_MARKERDELETEHANDLE enum valueQsciScintillaBase
SCI_MARKERENABLEHIGHLIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARKERGET enum valueQsciScintillaBase
SCI_MARKERLINEFROMHANDLE enum valueQsciScintillaBase
SCI_MARKERNEXT enum valueQsciScintillaBase
SCI_MARKERPREVIOUS enum valueQsciScintillaBase
SCI_MARKERSETALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARKERSETBACK enum valueQsciScintillaBase
SCI_MARKERSETBACKSELECTED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARKERSETFORE enum valueQsciScintillaBase
SCI_MARKERSYMBOLDEFINED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MOVECARETINSIDEVIEW enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MOVESELECTEDLINESDOWN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MOVESELECTEDLINESUP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_NEWLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_NULL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_OPTIONAL_START enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PAGEDOWN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PAGEDOWNEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PAGEDOWNRECTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PAGEUP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PAGEUPEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PAGEUPRECTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PARADOWN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PARADOWNEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PARAUP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PARAUPEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PASTE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_POINTXFROMPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_POINTYFROMPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_POSITIONAFTER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_POSITIONBEFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_POSITIONFROMLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_POSITIONFROMPOINT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_POSITIONFROMPOINTCLOSE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_POSITIONRELATIVE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PRIVATELEXERCALL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PROPERTYNAMES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PROPERTYTYPE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_REDO enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_REGISTERIMAGE enum valueQsciScintillaBase
SCI_REGISTERRGBAIMAGE enum valueQsciScintillaBase
SCI_RELEASEALLEXTENDEDSTYLES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_RELEASEDOCUMENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_REPLACESEL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_REPLACETARGET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_REPLACETARGETRE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_RGBAIMAGESETHEIGHT enum valueQsciScintillaBase
SCI_RGBAIMAGESETSCALE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_RGBAIMAGESETWIDTH enum valueQsciScintillaBase
SCI_ROTATESELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SCROLLCARET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SCROLLRANGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SCROLLTOEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SCROLLTOSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SEARCHANCHOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SEARCHINTARGET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SEARCHNEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SEARCHPREV enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SELECTALL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SELECTIONDUPLICATE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SELECTIONISRECTANGLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETADDITIONALCARETFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETADDITIONALCARETSBLINK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETADDITIONALCARETSVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETADDITIONALSELALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETADDITIONALSELBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETADDITIONALSELECTIONTYPING enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETADDITIONALSELFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETANCHOR enum valueQsciScintillaBase
SCI_SETAUTOMATICFOLD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETBACKSPACEUNINDENTS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETBUFFEREDDRAW enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCARETFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCARETLINEBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCARETLINEBACKALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCARETLINEVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCARETLINEVISIBLEALWAYS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCARETPERIOD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCARETSTICKY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCARETSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCARETWIDTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCHARSDEFAULT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCODEPAGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCONTROLCHARSYMBOL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCURRENTPOS enum valueQsciScintillaBase
SCI_SETCURSOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETDOCPOINTER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETEDGECOLOUR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETEDGECOLUMN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETEDGEMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETEMPTYSELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETENDATLASTLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETEOLMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETEXTRAASCENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETEXTRADESCENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETFIRSTVISIBLELINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETFOCUS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETFOLDEXPANDED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETFOLDFLAGS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETFOLDLEVEL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETFOLDMARGINCOLOUR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETFOLDMARGINHICOLOUR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETFONTQUALITY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETHIGHLIGHTGUIDE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETHOTSPOTACTIVEBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETHOTSPOTACTIVEFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETHOTSPOTACTIVEUNDERLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETHOTSPOTSINGLELINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETHSCROLLBAR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETIDENTIFIER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETINDENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETINDENTATIONGUIDES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETINDICATORCURRENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETINDICATORVALUE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETKEYSUNICODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETKEYWORDS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETLAYOUTCACHE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETLEXER enum valueQsciScintillaBase
SCI_SETLEXERLANGUAGE enum valueQsciScintillaBase
SCI_SETLINEINDENTATION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETLINESTATE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETMAINSELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETMARGINCURSORN enum valueQsciScintillaBase
SCI_SETMARGINLEFT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETMARGINMASKN enum valueQsciScintillaBase
SCI_SETMARGINOPTIONS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETMARGINRIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETMARGINSENSITIVEN enum valueQsciScintillaBase
SCI_SETMARGINTYPEN enum valueQsciScintillaBase
SCI_SETMARGINWIDTHN enum valueQsciScintillaBase
SCI_SETMODEVENTMASK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETMOUSEDOWNCAPTURES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETMOUSEDWELLTIME enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETMOUSESELECTIONRECTANGULARSWITCH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETMULTIPASTE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETMULTIPLESELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETOVERTYPE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETPASTECONVERTENDINGS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETPOSITIONCACHE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETPRINTCOLOURMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETPRINTMAGNIFICATION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETPRINTWRAPMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETPROPERTY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETPUNCTUATIONCHARS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETREADONLY enum valueQsciScintillaBase
SCI_SETRECTANGULARSELECTIONANCHOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETRECTANGULARSELECTIONANCHORVIRTUALSPACE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETRECTANGULARSELECTIONCARET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETRECTANGULARSELECTIONCARETVIRTUALSPACE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETRECTANGULARSELECTIONMODIFIER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETREPRESENTATION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSAVEPOINT enum valueQsciScintillaBase
SCI_SETSCROLLWIDTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSCROLLWIDTHTRACKING enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSEARCHFLAGS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSEL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELECTIONEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELECTIONMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELECTIONNANCHOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELECTIONNANCHORVIRTUALSPACE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELECTIONNCARET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELECTIONNCARETVIRTUALSPACE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELECTIONNEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELECTIONNSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELECTIONSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELEOLFILLED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSTATUS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSTYLEBITS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSTYLING enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSTYLINGEX enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETTABINDENTS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETTABWIDTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETTARGETEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETTARGETSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETTECHNOLOGY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETTEXT enum valueQsciScintillaBase
SCI_SETTWOPHASEDRAW enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETUNDOCOLLECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETUSETABS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETVIEWEOL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETVIEWWS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETVIRTUALSPACEOPTIONS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETVISIBLEPOLICY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETVSCROLLBAR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETWHITESPACEBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETWHITESPACECHARS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETWHITESPACEFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETWHITESPACESIZE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETWORDCHARS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETWRAPINDENTMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETWRAPMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETWRAPSTARTINDENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETWRAPVISUALFLAGS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETWRAPVISUALFLAGSLOCATION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETXCARETPOLICY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETXOFFSET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETYCARETPOLICY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETZOOM enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SHOWLINES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_START enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STARTRECORD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STARTSTYLING enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STOPRECORD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STUTTEREDPAGEDOWN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STUTTEREDPAGEDOWNEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STUTTEREDPAGEUP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STUTTEREDPAGEUPEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLECLEARALL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETBOLD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETCASE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETCHANGEABLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETCHARACTERSET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETEOLFILLED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETFONT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETHOTSPOT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETITALIC enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETSIZE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETSIZEFRACTIONAL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETUNDERLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETWEIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLERESETDEFAULT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETBOLD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETCASE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETCHANGEABLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETCHARACTERSET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETEOLFILLED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETFONT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETHOTSPOT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETITALIC enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETSIZE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETSIZEFRACTIONAL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETUNDERLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETWEIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SWAPMAINANCHORCARET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_TAB enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_TARGETFROMSELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_TEXTHEIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_TEXTWIDTH enum valueQsciScintillaBase
SCI_TOGGLECARETSTICKY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_TOGGLEFOLD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_UNDO enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_UPPERCASE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_USEPOPUP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_USERLISTSHOW enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_VCHOME enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_VCHOMEDISPLAY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_VCHOMEDISPLAYEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_VCHOMEEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_VCHOMERECTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_VCHOMEWRAP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_VCHOMEWRAPEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_VERTICALCENTRECARET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_VISIBLEFROMDOCLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDENDPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDLEFT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDLEFTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDLEFTENDEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDLEFTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDPARTLEFT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDPARTLEFTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDPARTRIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDPARTRIGHTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDRIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDRIGHTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDRIGHTENDEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDRIGHTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDSTARTPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WRAPCOUNT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ZOOMIN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ZOOMOUT enum value (defined in QsciScintillaBase)QsciScintillaBase
ScintillaBytes typedef (defined in QsciScintillaBase)QsciScintillaBase [protected]
SCK_ADD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_BACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_DELETE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_DIVIDE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_DOWN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_END enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_ESCAPE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_HOME enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_INSERT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_LEFT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_MENU enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_NEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_PRIOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_RETURN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_RIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_RWIN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_SUBTRACT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_TAB enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_UP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_WIN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCLEX_A68K enum valueQsciScintillaBase
SCLEX_ABAQUS enum valueQsciScintillaBase
SCLEX_ADA enum valueQsciScintillaBase
SCLEX_APDL enum valueQsciScintillaBase
SCLEX_ASM enum valueQsciScintillaBase
SCLEX_ASN1 enum valueQsciScintillaBase
SCLEX_ASP enum valueQsciScintillaBase
SCLEX_ASYMPTOTE enum valueQsciScintillaBase
SCLEX_AU3 enum valueQsciScintillaBase
SCLEX_AVE enum valueQsciScintillaBase
SCLEX_AVS enum valueQsciScintillaBase
SCLEX_BAAN enum valueQsciScintillaBase
SCLEX_BASH enum valueQsciScintillaBase
SCLEX_BATCH enum valueQsciScintillaBase
SCLEX_BLITZBASIC enum valueQsciScintillaBase
SCLEX_BULLANT enum valueQsciScintillaBase
SCLEX_CAML enum valueQsciScintillaBase
SCLEX_CLW enum valueQsciScintillaBase
SCLEX_CLWNOCASE enum valueQsciScintillaBase
SCLEX_CMAKE enum valueQsciScintillaBase
SCLEX_COBOL enum valueQsciScintillaBase
SCLEX_COFFEESCRIPT enum valueQsciScintillaBase
SCLEX_CONF enum valueQsciScintillaBase
SCLEX_CONTAINER enum valueQsciScintillaBase
SCLEX_CPP enum valueQsciScintillaBase
SCLEX_CPPNOCASE enum valueQsciScintillaBase
SCLEX_CSOUND enum valueQsciScintillaBase
SCLEX_CSS enum valueQsciScintillaBase
SCLEX_D enum valueQsciScintillaBase
SCLEX_DIFF enum valueQsciScintillaBase
SCLEX_ECL enum valueQsciScintillaBase
SCLEX_EIFFEL enum valueQsciScintillaBase
SCLEX_EIFFELKW enum valueQsciScintillaBase
SCLEX_ERLANG enum valueQsciScintillaBase
SCLEX_ERRORLIST enum valueQsciScintillaBase
SCLEX_ESCRIPT enum valueQsciScintillaBase
SCLEX_F77 enum valueQsciScintillaBase
SCLEX_FLAGSHIP enum valueQsciScintillaBase
SCLEX_FORTH enum valueQsciScintillaBase
SCLEX_FORTRAN enum valueQsciScintillaBase
SCLEX_FREEBASIC enum valueQsciScintillaBase
SCLEX_GAP enum valueQsciScintillaBase
SCLEX_GUI4CLI enum valueQsciScintillaBase
SCLEX_HASKELL enum valueQsciScintillaBase
SCLEX_HTML enum valueQsciScintillaBase
SCLEX_INNOSETUP enum valueQsciScintillaBase
SCLEX_KIX enum valueQsciScintillaBase
SCLEX_KVIRC enum valueQsciScintillaBase
SCLEX_LATEX enum valueQsciScintillaBase
SCLEX_LISP enum valueQsciScintillaBase
SCLEX_LITERATEHASKELL enum valueQsciScintillaBase
SCLEX_LOT enum valueQsciScintillaBase
SCLEX_LOUT enum valueQsciScintillaBase
SCLEX_LUA enum valueQsciScintillaBase
SCLEX_MAGIK enum valueQsciScintillaBase
SCLEX_MAKEFILE enum valueQsciScintillaBase
SCLEX_MARKDOWN enum valueQsciScintillaBase
SCLEX_MATLAB enum valueQsciScintillaBase
SCLEX_METAPOST enum valueQsciScintillaBase
SCLEX_MMIXAL enum valueQsciScintillaBase
SCLEX_MODULA enum valueQsciScintillaBase
SCLEX_MSSQL enum valueQsciScintillaBase
SCLEX_MYSQL enum valueQsciScintillaBase
SCLEX_NIMROD enum valueQsciScintillaBase
SCLEX_NNCRONTAB enum valueQsciScintillaBase
SCLEX_NSIS enum valueQsciScintillaBase
SCLEX_NULL enum valueQsciScintillaBase
SCLEX_OCTAVE enum valueQsciScintillaBase
SCLEX_OPAL enum valueQsciScintillaBase
SCLEX_OSCRIPT enum valueQsciScintillaBase
SCLEX_PASCAL enum valueQsciScintillaBase
SCLEX_PERL enum valueQsciScintillaBase
SCLEX_PHP enum valueQsciScintillaBase
SCLEX_PHPSCRIPT enum valueQsciScintillaBase
SCLEX_PLM enum valueQsciScintillaBase
SCLEX_PO enum valueQsciScintillaBase
SCLEX_POV enum valueQsciScintillaBase
SCLEX_POWERBASIC enum valueQsciScintillaBase
SCLEX_POWERPRO enum valueQsciScintillaBase
SCLEX_POWERSHELL enum valueQsciScintillaBase
SCLEX_PROGRESS enum valueQsciScintillaBase
SCLEX_PROPERTIES enum valueQsciScintillaBase
SCLEX_PS enum valueQsciScintillaBase
SCLEX_PUREBASIC enum valueQsciScintillaBase
SCLEX_PYTHON enum valueQsciScintillaBase
SCLEX_R enum valueQsciScintillaBase
SCLEX_REBOL enum valueQsciScintillaBase
SCLEX_RUBY enum valueQsciScintillaBase
SCLEX_RUST enum valueQsciScintillaBase
SCLEX_SCRIPTOL enum valueQsciScintillaBase
SCLEX_SMALLTALK enum valueQsciScintillaBase
SCLEX_SML enum valueQsciScintillaBase
SCLEX_SORCUS enum valueQsciScintillaBase
SCLEX_SPECMAN enum valueQsciScintillaBase
SCLEX_SPICE enum valueQsciScintillaBase
SCLEX_SQL enum valueQsciScintillaBase
SCLEX_STTXT enum valueQsciScintillaBase
SCLEX_TACL enum valueQsciScintillaBase
SCLEX_TADS3 enum valueQsciScintillaBase
SCLEX_TAL enum valueQsciScintillaBase
SCLEX_TCL enum valueQsciScintillaBase
SCLEX_TCMD enum valueQsciScintillaBase
SCLEX_TEX enum valueQsciScintillaBase
SCLEX_TXT2TAGS enum valueQsciScintillaBase
SCLEX_VB enum valueQsciScintillaBase
SCLEX_VBSCRIPT enum valueQsciScintillaBase
SCLEX_VERILOG enum valueQsciScintillaBase
SCLEX_VHDL enum valueQsciScintillaBase
SCLEX_VISUALPROLOG enum valueQsciScintillaBase
SCLEX_XML enum valueQsciScintillaBase
SCLEX_YAML enum valueQsciScintillaBase
SCMOD_ALT enum valueQsciScintillaBase
SCMOD_CTRL enum valueQsciScintillaBase
SCMOD_META enum valueQsciScintillaBase
SCMOD_NORM enum valueQsciScintillaBase
SCMOD_SHIFT enum valueQsciScintillaBase
SCMOD_SUPER enum valueQsciScintillaBase
SCN_AUTOCCANCELLED()QsciScintillaBase [signal]
SCN_AUTOCCHARDELETED()QsciScintillaBase [signal]
SCN_AUTOCSELECTION(const char *selection, int position)QsciScintillaBase [signal]
SCN_CALLTIPCLICK(int direction)QsciScintillaBase [signal]
SCN_CHARADDED(int charadded)QsciScintillaBase [signal]
SCN_DOUBLECLICK(int position, int line, int modifiers)QsciScintillaBase [signal]
SCN_DWELLEND(int, int, int) (defined in QsciScintillaBase)QsciScintillaBase [signal]
SCN_DWELLSTART(int, int, int) (defined in QsciScintillaBase)QsciScintillaBase [signal]
SCN_FOCUSIN()QsciScintillaBase [signal]
SCN_FOCUSOUT()QsciScintillaBase [signal]
SCN_HOTSPOTCLICK(int position, int modifiers)QsciScintillaBase [signal]
SCN_HOTSPOTDOUBLECLICK(int position, int modifiers)QsciScintillaBase [signal]
SCN_HOTSPOTRELEASECLICK(int position, int modifiers)QsciScintillaBase [signal]
SCN_INDICATORCLICK(int position, int modifiers)QsciScintillaBase [signal]
SCN_INDICATORRELEASE(int position, int modifiers)QsciScintillaBase [signal]
SCN_MACRORECORD(unsigned int, unsigned long, void *)QsciScintillaBase [signal]
SCN_MARGINCLICK(int position, int modifiers, int margin)QsciScintillaBase [signal]
SCN_MODIFIED(int, int, const char *, int, int, int, int, int, int, int) (defined in QsciScintillaBase)QsciScintillaBase [signal]
SCN_MODIFYATTEMPTRO()QsciScintillaBase [signal]
SCN_NEEDSHOWN(int, int) (defined in QsciScintillaBase)QsciScintillaBase [signal]
SCN_PAINTED()QsciScintillaBase [signal]
SCN_SAVEPOINTLEFT()QsciScintillaBase [signal]
SCN_SAVEPOINTREACHED()QsciScintillaBase [signal]
SCN_STYLENEEDED(int position)QsciScintillaBase [signal]
SCN_UPDATEUI(int updated)QsciScintillaBase [signal]
SCN_USERLISTSELECTION(const char *, int) (defined in QsciScintillaBase)QsciScintillaBase [signal]
SCN_ZOOM() (defined in QsciScintillaBase)QsciScintillaBase [signal]
scrollContentsBy(int dx, int dy) (defined in QsciScintillaBase)QsciScintillaBase [protected, virtual]
SCVS_NONE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCVS_RECTANGULARSELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCVS_USERACCESSIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCWS_INVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCWS_VISIBLEAFTERINDENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCWS_VISIBLEALWAYS enum value (defined in QsciScintillaBase)QsciScintillaBase
selectAll(bool select=true)QsciScintilla [virtual, slot]
selectedText() const QsciScintilla
selectionChanged()QsciScintilla [signal]
selectionToEol() const QsciScintilla
selectToMatchingBrace()QsciScintilla [virtual, slot]
SendScintilla(unsigned int msg, unsigned long wParam=0, long lParam=0) const QsciScintillaBase
SendScintilla(unsigned int msg, unsigned long wParam, void *lParam) const QsciScintillaBase
SendScintilla(unsigned int msg, unsigned long wParam, const char *lParam) const QsciScintillaBase
SendScintilla(unsigned int msg, const char *lParam) const QsciScintillaBase
SendScintilla(unsigned int msg, const char *wParam, const char *lParam) const QsciScintillaBase
SendScintilla(unsigned int msg, long wParam) const QsciScintillaBase
SendScintilla(unsigned int msg, int wParam) const QsciScintillaBase
SendScintilla(unsigned int msg, long cpMin, long cpMax, char *lpstrText) const QsciScintillaBase
SendScintilla(unsigned int msg, unsigned long wParam, const QColor &col) const QsciScintillaBase
SendScintilla(unsigned int msg, const QColor &col) const QsciScintillaBase
SendScintilla(unsigned int msg, unsigned long wParam, QPainter *hdc, const QRect &rc, long cpMin, long cpMax) const QsciScintillaBase
SendScintilla(unsigned int msg, unsigned long wParam, const QPixmap &lParam) const QsciScintillaBase
SendScintilla(unsigned int msg, unsigned long wParam, const QImage &lParam) const QsciScintillaBase
SendScintillaPtrResult(unsigned int msg) const QsciScintillaBase
setAnnotationDisplay(AnnotationDisplay display)QsciScintilla
setAutoCompletionCaseSensitivity(bool cs)QsciScintilla [virtual, slot]
setAutoCompletionFillups(const char *fillups)QsciScintilla
setAutoCompletionFillupsEnabled(bool enabled)QsciScintilla
setAutoCompletionReplaceWord(bool replace)QsciScintilla [virtual, slot]
setAutoCompletionShowSingle(bool single)QsciScintilla [virtual, slot]
setAutoCompletionSource(AutoCompletionSource source)QsciScintilla [virtual, slot]
setAutoCompletionThreshold(int thresh)QsciScintilla [virtual, slot]
setAutoCompletionUseSingle(AutoCompletionUseSingle single)QsciScintilla [virtual, slot]
setAutoCompletionWordSeparators(const QStringList &separators)QsciScintilla
setAutoIndent(bool autoindent)QsciScintilla [virtual, slot]
setBackspaceUnindents(bool unindent)QsciScintilla [virtual, slot]
setBraceMatching(BraceMatch bm)QsciScintilla [virtual, slot]
setCallTipsBackgroundColor(const QColor &col)QsciScintilla
setCallTipsForegroundColor(const QColor &col)QsciScintilla
setCallTipsHighlightColor(const QColor &col)QsciScintilla
setCallTipsPosition(CallTipsPosition position)QsciScintilla
setCallTipsStyle(CallTipsStyle style)QsciScintilla
setCallTipsVisible(int nr)QsciScintilla
setCaretForegroundColor(const QColor &col)QsciScintilla [virtual, slot]
setCaretLineBackgroundColor(const QColor &col)QsciScintilla [virtual, slot]
setCaretLineVisible(bool enable)QsciScintilla [virtual, slot]
setCaretWidth(int width)QsciScintilla [virtual, slot]
setColor(const QColor &c)QsciScintilla [virtual, slot]
setContractedFolds(const QList< int > &folds)QsciScintilla
setCursorPosition(int line, int index)QsciScintilla [virtual, slot]
setDocument(const QsciDocument &document)QsciScintilla
setEdgeColor(const QColor &col)QsciScintilla
setEdgeColumn(int colnr)QsciScintilla
setEdgeMode(EdgeMode mode)QsciScintilla
setEolMode(EolMode mode)QsciScintilla [virtual, slot]
setEolVisibility(bool visible)QsciScintilla [virtual, slot]
setExtraAscent(int extra)QsciScintilla
setExtraDescent(int extra)QsciScintilla
setFirstVisibleLine(int linenr)QsciScintilla
setFolding(FoldStyle fold, int margin=2)QsciScintilla [virtual, slot]
setFoldMarginColors(const QColor &fore, const QColor &back)QsciScintilla
setFont(const QFont &f)QsciScintilla
setHotspotBackgroundColor(const QColor &col)QsciScintilla
setHotspotForegroundColor(const QColor &col)QsciScintilla
setHotspotUnderline(bool enable)QsciScintilla
setHotspotWrap(bool enable)QsciScintilla
setIndentation(int line, int indentation)QsciScintilla [virtual, slot]
setIndentationGuides(bool enable)QsciScintilla [virtual, slot]
setIndentationGuidesBackgroundColor(const QColor &col)QsciScintilla [virtual, slot]
setIndentationGuidesForegroundColor(const QColor &col)QsciScintilla [virtual, slot]
setIndentationsUseTabs(bool tabs)QsciScintilla [virtual, slot]
setIndentationWidth(int width)QsciScintilla [virtual, slot]
setIndicatorDrawUnder(bool under, int indicatorNumber=-1)QsciScintilla
setIndicatorForegroundColor(const QColor &col, int indicatorNumber=-1)QsciScintilla
setIndicatorOutlineColor(const QColor &col, int indicatorNumber=-1)QsciScintilla
setLexer(QsciLexer *lexer=0)QsciScintilla [virtual, slot]
setMarginLineNumbers(int margin, bool lnrs)QsciScintilla [virtual, slot]
setMarginMarkerMask(int margin, int mask)QsciScintilla [virtual, slot]
setMarginOptions(int options)QsciScintilla
setMarginsBackgroundColor(const QColor &col)QsciScintilla [virtual, slot]
setMarginSensitivity(int margin, bool sens)QsciScintilla [virtual, slot]
setMarginsFont(const QFont &f)QsciScintilla [virtual, slot]
setMarginsForegroundColor(const QColor &col)QsciScintilla [virtual, slot]
setMarginText(int line, const QString &text, int style)QsciScintilla
setMarginText(int line, const QString &text, const QsciStyle &style)QsciScintilla
setMarginText(int line, const QsciStyledText &text)QsciScintilla
setMarginText(int line, const QList< QsciStyledText > &text)QsciScintilla
setMarginType(int margin, MarginType type)QsciScintilla
setMarginWidth(int margin, int width)QsciScintilla [virtual, slot]
setMarginWidth(int margin, const QString &s)QsciScintilla [virtual, slot]
setMarkerBackgroundColor(const QColor &col, int markerNumber=-1)QsciScintilla
setMarkerForegroundColor(const QColor &col, int markerNumber=-1)QsciScintilla
setMatchedBraceBackgroundColor(const QColor &col)QsciScintilla
setMatchedBraceForegroundColor(const QColor &col)QsciScintilla
setMatchedBraceIndicator(int indicatorNumber)QsciScintilla
setModified(bool m)QsciScintilla [virtual, slot]
setOverwriteMode(bool overwrite)QsciScintilla
setPaper(const QColor &c)QsciScintilla [virtual, slot]
setReadOnly(bool ro)QsciScintilla [virtual, slot]
setScrollBars() (defined in QsciScintillaBase)QsciScintillaBase [protected]
setSelection(int lineFrom, int indexFrom, int lineTo, int indexTo)QsciScintilla [virtual, slot]
setSelectionBackgroundColor(const QColor &col)QsciScintilla [virtual, slot]
setSelectionForegroundColor(const QColor &col)QsciScintilla [virtual, slot]
setSelectionToEol(bool filled)QsciScintilla
setTabIndents(bool indent)QsciScintilla [virtual, slot]
setTabWidth(int width)QsciScintilla [virtual, slot]
setText(const QString &text)QsciScintilla [virtual, slot]
setUnmatchedBraceBackgroundColor(const QColor &col)QsciScintilla
setUnmatchedBraceForegroundColor(const QColor &col)QsciScintilla
setUnmatchedBraceIndicator(int indicatorNumber)QsciScintilla
setUtf8(bool cp)QsciScintilla [virtual, slot]
setWhitespaceBackgroundColor(const QColor &col)QsciScintilla
setWhitespaceForegroundColor(const QColor &col)QsciScintilla
setWhitespaceSize(int size)QsciScintilla
setWhitespaceVisibility(WhitespaceVisibility mode)QsciScintilla [virtual, slot]
setWrapIndentMode(WrapIndentMode mode)QsciScintilla
setWrapMode(WrapMode mode)QsciScintilla [virtual, slot]
setWrapVisualFlags(WrapVisualFlag endFlag, WrapVisualFlag startFlag=WrapFlagNone, int indent=0)QsciScintilla
showUserList(int id, const QStringList &list)QsciScintilla
SloppyBraceMatch enum valueQsciScintilla
SmallRectangle enum valueQsciScintilla
SquiggleIndicator enum valueQsciScintilla
SquiggleLowIndicator enum valueQsciScintilla
SquigglePixmapIndicator enum valueQsciScintilla
standardCommands() const QsciScintilla [inline]
StraightBoxIndicator enum valueQsciScintilla
StrictBraceMatch enum valueQsciScintilla
StrikeIndicator enum valueQsciScintilla
STYLE_BRACEBAD enum value (defined in QsciScintillaBase)QsciScintillaBase
STYLE_BRACELIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
STYLE_CALLTIP enum value (defined in QsciScintillaBase)QsciScintillaBase
STYLE_CONTROLCHAR enum value (defined in QsciScintillaBase)QsciScintillaBase
STYLE_DEFAULT enum value (defined in QsciScintillaBase)QsciScintillaBase
STYLE_INDENTGUIDE enum value (defined in QsciScintillaBase)QsciScintillaBase
STYLE_LASTPREDEFINED enum value (defined in QsciScintillaBase)QsciScintillaBase
STYLE_LINENUMBER enum value (defined in QsciScintillaBase)QsciScintillaBase
STYLE_MAX enum value (defined in QsciScintillaBase)QsciScintillaBase
SymbolMargin enum valueQsciScintilla
SymbolMarginDefaultBackgroundColor enum valueQsciScintilla
SymbolMarginDefaultForegroundColor enum valueQsciScintilla
tabIndents() const QsciScintilla
tabWidth() const QsciScintilla
text() const QsciScintilla
text(int line) const QsciScintilla
textAsBytes(const QString &text) const (defined in QsciScintillaBase)QsciScintillaBase [protected]
textChanged()QsciScintilla [signal]
textHeight(int linenr) const QsciScintilla
TextMargin enum valueQsciScintilla
TextMarginRightJustified enum valueQsciScintilla
ThickCompositionIndicator enum valueQsciScintilla
ThreeDots enum valueQsciScintilla
ThreeRightArrows enum valueQsciScintilla
toMimeData(const QByteArray &text, bool rectangular) const QsciScintillaBase [protected, virtual]
TTIndicator enum valueQsciScintilla
Underline enum valueQsciScintilla
undo()QsciScintilla [virtual, slot]
UNDO_MAY_COALESCE enum value (defined in QsciScintillaBase)QsciScintillaBase
unindent(int line)QsciScintilla [virtual, slot]
userListActivated(int id, const QString &string)QsciScintilla [signal]
VerticalLine enum valueQsciScintilla
VISIBLE_SLOP enum value (defined in QsciScintillaBase)QsciScintillaBase
VISIBLE_STRICT enum value (defined in QsciScintillaBase)QsciScintillaBase
whitespaceSize() const QsciScintilla
whitespaceVisibility() const QsciScintilla
WhitespaceVisibility enum nameQsciScintilla
wordAtLineIndex(int line, int index) const QsciScintilla
wordAtPoint(const QPoint &point) const QsciScintilla
wordCharacters() const QsciScintilla
WrapCharacter enum valueQsciScintilla
WrapFlagByBorder enum valueQsciScintilla
WrapFlagByText enum valueQsciScintilla
WrapFlagInMargin enum valueQsciScintilla
WrapFlagNone enum valueQsciScintilla
WrapIndentFixed enum valueQsciScintilla
WrapIndentIndented enum valueQsciScintilla
WrapIndentMode enum nameQsciScintilla
wrapIndentMode() const QsciScintilla
WrapIndentSame enum valueQsciScintilla
wrapMode() const QsciScintilla
WrapMode enum nameQsciScintilla
WrapNone enum valueQsciScintilla
WrapVisualFlag enum nameQsciScintilla
WrapWord enum valueQsciScintilla
write(QIODevice *io) const QsciScintilla
WsInvisible enum valueQsciScintilla
WsVisible enum valueQsciScintilla
WsVisibleAfterIndent enum valueQsciScintilla
zoomIn(int range)QsciScintilla [virtual, slot]
zoomIn()QsciScintilla [virtual, slot]
zoomOut(int range)QsciScintilla [virtual, slot]
zoomOut()QsciScintilla [virtual, slot]
zoomTo(int size)QsciScintilla [virtual, slot]
~QsciScintilla()QsciScintilla [virtual]
~QsciScintillaBase()QsciScintillaBase [virtual]
- +

This is the complete list of members for QsciScintilla, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
AcsAll enum valueQsciScintilla
AcsAPIs enum valueQsciScintilla
AcsDocument enum valueQsciScintilla
AcsNone enum valueQsciScintilla
AcusAlways enum valueQsciScintilla
AcusExplicit enum valueQsciScintilla
AcusNever enum valueQsciScintilla
AiClosing enum valueQsciScintilla
AiMaintain enum valueQsciScintilla
AiOpening enum valueQsciScintilla
annotate(int line, const QString &text, int style)QsciScintilla
annotate(int line, const QString &text, const QsciStyle &style)QsciScintilla
annotate(int line, const QsciStyledText &text)QsciScintilla
annotate(int line, const QList< QsciStyledText > &text)QsciScintilla
annotation(int line) const QsciScintilla
ANNOTATION_BOXED enum value (defined in QsciScintillaBase)QsciScintillaBase
ANNOTATION_HIDDEN enum value (defined in QsciScintillaBase)QsciScintillaBase
ANNOTATION_INDENTED enum value (defined in QsciScintillaBase)QsciScintillaBase
ANNOTATION_STANDARD enum value (defined in QsciScintillaBase)QsciScintillaBase
AnnotationBoxed enum valueQsciScintilla
annotationDisplay() const QsciScintilla
AnnotationDisplay enum nameQsciScintilla
AnnotationHidden enum valueQsciScintilla
AnnotationIndented enum valueQsciScintilla
AnnotationStandard enum valueQsciScintilla
apiContext(int pos, int &context_start, int &last_word_start)QsciScintillavirtual
append(const QString &text)QsciScintillavirtualslot
autoCompleteFromAll()QsciScintillavirtualslot
autoCompleteFromAPIs()QsciScintillavirtualslot
autoCompleteFromDocument()QsciScintillavirtualslot
autoCompletionCaseSensitivity() const QsciScintilla
autoCompletionFillupsEnabled() const QsciScintilla
autoCompletionReplaceWord() const QsciScintilla
autoCompletionShowSingle() const QsciScintilla
AutoCompletionSource enum nameQsciScintilla
autoCompletionSource() const QsciScintillainline
autoCompletionThreshold() const QsciScintillainline
autoCompletionUseSingle() const QsciScintilla
AutoCompletionUseSingle enum nameQsciScintilla
autoIndent() const QsciScintillainline
Background enum valueQsciScintilla
backspaceUnindents() const QsciScintilla
beginUndoAction()QsciScintilla
Bookmark enum valueQsciScintilla
BottomLeftCorner enum valueQsciScintilla
BoxedFoldStyle enum valueQsciScintilla
BoxedMinus enum valueQsciScintilla
BoxedMinusConnected enum valueQsciScintilla
BoxedPlus enum valueQsciScintilla
BoxedPlusConnected enum valueQsciScintilla
BoxedTreeFoldStyle enum valueQsciScintilla
BoxIndicator enum valueQsciScintilla
BraceMatch enum nameQsciScintilla
braceMatching() const QsciScintillainline
bytesAsText(const char *bytes) const (defined in QsciScintillaBase)QsciScintillaBaseprotected
callTip()QsciScintillavirtualslot
CallTipsAboveText enum valueQsciScintilla
CallTipsBelowText enum valueQsciScintilla
CallTipsContext enum valueQsciScintilla
CallTipsNoAutoCompletionContext enum valueQsciScintilla
CallTipsNoContext enum valueQsciScintilla
CallTipsNone enum valueQsciScintilla
callTipsPosition() const QsciScintillainline
CallTipsPosition enum nameQsciScintilla
callTipsStyle() const QsciScintillainline
CallTipsStyle enum nameQsciScintilla
callTipsVisible() const QsciScintillainline
cancelList()QsciScintilla
canInsertFromMimeData(const QMimeData *source) const QsciScintillaBaseprotectedvirtual
CARET_EVEN enum value (defined in QsciScintillaBase)QsciScintillaBase
CARET_JUMPS enum value (defined in QsciScintillaBase)QsciScintillaBase
CARET_SLOP enum value (defined in QsciScintillaBase)QsciScintillaBase
CARET_STRICT enum value (defined in QsciScintillaBase)QsciScintillaBase
CARETSTYLE_BLOCK enum value (defined in QsciScintillaBase)QsciScintillaBase
CARETSTYLE_INVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
CARETSTYLE_LINE enum value (defined in QsciScintillaBase)QsciScintillaBase
caseSensitive() const QsciScintilla
changeEvent(QEvent *e)QsciScintillaprotectedvirtual
Circle enum valueQsciScintilla
CircledFoldStyle enum valueQsciScintilla
CircledMinus enum valueQsciScintilla
CircledMinusConnected enum valueQsciScintilla
CircledPlus enum valueQsciScintilla
CircledPlusConnected enum valueQsciScintilla
CircledTreeFoldStyle enum valueQsciScintilla
clear()QsciScintillavirtualslot
clearAnnotations(int line=-1)QsciScintilla
clearFolds()QsciScintilla
clearIndicatorRange(int lineFrom, int indexFrom, int lineTo, int indexTo, int indicatorNumber)QsciScintilla
clearMarginText(int line=-1)QsciScintilla
clearRegisteredImages()QsciScintilla
color() const QsciScintilla
commandKey(int qt_key, int &modifiers) (defined in QsciScintillaBase)QsciScintillaBasestatic
contextMenuEvent(QContextMenuEvent *e)QsciScintillaprotectedvirtual
contractedFolds() const QsciScintilla
convertEols(EolMode mode)QsciScintilla
copy()QsciScintillavirtualslot
copyAvailable(bool yes)QsciScintillasignal
createStandardContextMenu()QsciScintilla
cursorPositionChanged(int line, int index)QsciScintillasignal
cut()QsciScintillavirtualslot
DashesIndicator enum valueQsciScintilla
DiagonalIndicator enum valueQsciScintilla
document() const QsciScintillainline
DotBoxIndicator enum valueQsciScintilla
DotsIndicator enum valueQsciScintilla
DownTriangle enum valueQsciScintilla
dragEnterEvent(QDragEnterEvent *e)QsciScintillaBaseprotectedvirtual
dragLeaveEvent(QDragLeaveEvent *e)QsciScintillaBaseprotectedvirtual
dragMoveEvent(QDragMoveEvent *e)QsciScintillaBaseprotectedvirtual
dropEvent(QDropEvent *e)QsciScintillaBaseprotectedvirtual
EDGE_BACKGROUND enum value (defined in QsciScintillaBase)QsciScintillaBase
EDGE_LINE enum value (defined in QsciScintillaBase)QsciScintillaBase
EDGE_NONE enum value (defined in QsciScintillaBase)QsciScintillaBase
EdgeBackground enum valueQsciScintilla
edgeColor() const QsciScintilla
edgeColumn() const QsciScintilla
EdgeLine enum valueQsciScintilla
EdgeMode enum nameQsciScintilla
edgeMode() const QsciScintilla
EdgeNone enum valueQsciScintilla
endUndoAction()QsciScintilla
ensureCursorVisible()QsciScintillavirtualslot
ensureLineVisible(int line)QsciScintillavirtualslot
EolMac enum valueQsciScintilla
EolMode enum nameQsciScintilla
eolMode() const QsciScintilla
EolUnix enum valueQsciScintilla
eolVisibility() const QsciScintilla
EolWindows enum valueQsciScintilla
event(QEvent *e)QsciScintillaprotectedvirtual
extraAscent() const QsciScintilla
extraDescent() const QsciScintilla
fillIndicatorRange(int lineFrom, int indexFrom, int lineTo, int indexTo, int indicatorNumber)QsciScintilla
findFirst(const QString &expr, bool re, bool cs, bool wo, bool wrap, bool forward=true, int line=-1, int index=-1, bool show=true, bool posix=false)QsciScintillavirtual
findFirstInSelection(const QString &expr, bool re, bool cs, bool wo, bool forward=true, bool show=true, bool posix=false)QsciScintillavirtual
findNext()QsciScintillavirtual
firstVisibleLine() const QsciScintilla
focusInEvent(QFocusEvent *e)QsciScintillaBaseprotectedvirtual
focusNextPrevChild(bool next)QsciScintillaBaseprotectedvirtual
focusOutEvent(QFocusEvent *e)QsciScintillaBaseprotectedvirtual
foldAll(bool children=false)QsciScintillavirtualslot
folding() const QsciScintillainline
foldLine(int line)QsciScintillavirtualslot
FoldStyle enum nameQsciScintilla
fromMimeData(const QMimeData *source, bool &rectangular) const QsciScintillaBaseprotectedvirtual
FullBoxIndicator enum valueQsciScintilla
FullRectangle enum valueQsciScintilla
getCursorPosition(int *line, int *index) const QsciScintilla
getSelection(int *lineFrom, int *indexFrom, int *lineTo, int *indexTo) const QsciScintilla
hasSelectedText() const QsciScintillainline
HiddenIndicator enum valueQsciScintilla
indent(int line)QsciScintillavirtualslot
indentation(int line) const QsciScintilla
indentationGuides() const QsciScintilla
indentationsUseTabs() const QsciScintilla
indentationWidth() const QsciScintilla
INDIC0_MASK enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC1_MASK enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC2_MASK enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_BOX enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_COMPOSITIONTHICK enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_COMPOSITIONTHIN enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_CONTAINER enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_DASH enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_DIAGONAL enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_DOTBOX enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_DOTS enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_FULLBOX enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_HIDDEN enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_IME enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_IME_MAX enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_MAX enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_PLAIN enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_ROUNDBOX enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_SQUIGGLE enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_SQUIGGLELOW enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_SQUIGGLEPIXMAP enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_STRAIGHTBOX enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_STRIKE enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_TEXTFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
INDIC_TT enum value (defined in QsciScintillaBase)QsciScintillaBase
indicatorClicked(int line, int index, Qt::KeyboardModifiers state)QsciScintillasignal
indicatorDefine(IndicatorStyle style, int indicatorNumber=-1)QsciScintilla
indicatorDrawUnder(int indicatorNumber) const QsciScintilla
indicatorReleased(int line, int index, Qt::KeyboardModifiers state)QsciScintillasignal
IndicatorStyle enum nameQsciScintilla
INDICS_MASK enum value (defined in QsciScintillaBase)QsciScintillaBase
inputMethodEvent(QInputMethodEvent *event)QsciScintillaBaseprotectedvirtual
inputMethodQuery(Qt::InputMethodQuery query) const (defined in QsciScintillaBase)QsciScintillaBaseprotectedvirtual
insert(const QString &text)QsciScintillavirtualslot
insertAt(const QString &text, int line, int index)QsciScintillavirtualslot
Invisible enum valueQsciScintilla
isCallTipActive() const QsciScintilla
isListActive() const QsciScintilla
isModified() const QsciScintilla
isReadOnly() const QsciScintilla
isRedoAvailable() const QsciScintilla
isUndoAvailable() const QsciScintilla
isUtf8() const QsciScintilla
isWordCharacter(char ch) const QsciScintilla
keyPressEvent(QKeyEvent *e)QsciScintillaBaseprotectedvirtual
LeftRectangle enum valueQsciScintilla
LeftSideRoundedSplitter enum valueQsciScintilla
LeftSideSplitter enum valueQsciScintilla
length() const QsciScintilla
lexer() const QsciScintilla
lineAt(const QPoint &point) const QsciScintilla
lineIndexFromPosition(int position, int *line, int *index) const QsciScintilla
lineLength(int line) const QsciScintilla
lines() const QsciScintilla
linesChanged()QsciScintillasignal
marginClicked(int margin, int line, Qt::KeyboardModifiers state)QsciScintillasignal
marginLineNumbers(int margin) const QsciScintilla
marginMarkerMask(int margin) const QsciScintilla
marginOptions() const QsciScintilla
marginSensitivity(int margin) const QsciScintilla
MarginType enum nameQsciScintilla
marginType(int margin) const QsciScintilla
marginWidth(int margin) const QsciScintilla
markerAdd(int linenr, int markerNumber)QsciScintilla
markerDefine(MarkerSymbol sym, int markerNumber=-1)QsciScintilla
markerDefine(char ch, int markerNumber=-1)QsciScintilla
markerDefine(const QPixmap &pm, int markerNumber=-1)QsciScintilla
markerDefine(const QImage &im, int markerNumber=-1)QsciScintilla
markerDelete(int linenr, int markerNumber=-1)QsciScintilla
markerDeleteAll(int markerNumber=-1)QsciScintilla
markerDeleteHandle(int mhandle)QsciScintilla
markerFindNext(int linenr, unsigned mask) const QsciScintilla
markerFindPrevious(int linenr, unsigned mask) const QsciScintilla
markerLine(int mhandle) const QsciScintilla
markersAtLine(int linenr) const QsciScintilla
MarkerSymbol enum nameQsciScintilla
Minus enum valueQsciScintilla
modificationAttempted()QsciScintillasignal
modificationChanged(bool m)QsciScintillasignal
MoNone enum valueQsciScintilla
MoSublineSelect enum valueQsciScintilla
mouseDoubleClickEvent(QMouseEvent *e)QsciScintillaBaseprotectedvirtual
mouseMoveEvent(QMouseEvent *e)QsciScintillaBaseprotectedvirtual
mousePressEvent(QMouseEvent *e)QsciScintillaBaseprotectedvirtual
mouseReleaseEvent(QMouseEvent *e)QsciScintillaBaseprotectedvirtual
moveToMatchingBrace()QsciScintillavirtualslot
NoBraceMatch enum valueQsciScintilla
NoFoldStyle enum valueQsciScintilla
NumberMargin enum valueQsciScintilla
overwriteMode() const QsciScintilla
paintEvent(QPaintEvent *e)QsciScintillaBaseprotectedvirtual
paper() const QsciScintilla
paste()QsciScintillavirtualslot
PlainFoldStyle enum valueQsciScintilla
PlainIndicator enum valueQsciScintilla
Plus enum valueQsciScintilla
pool()QsciScintillaBasestatic
positionFromLineIndex(int line, int index) const QsciScintilla
QsciScintilla(QWidget *parent=0)QsciScintilla
QsciScintillaBase(QWidget *parent=0)QsciScintillaBaseexplicit
QSCN_SELCHANGED(bool yes)QsciScintillaBasesignal
read(QIODevice *io)QsciScintilla
recolor(int start=0, int end=-1)QsciScintillavirtual
Rectangle enum valueQsciScintilla
redo()QsciScintillavirtualslot
registerImage(int id, const QPixmap &pm)QsciScintilla
registerImage(int id, const QImage &im)QsciScintilla
removeSelectedText()QsciScintillavirtualslot
replace(const QString &replaceStr)QsciScintillavirtual
replaceSelectedText(const QString &text)QsciScintillavirtualslot
resetFoldMarginColors()QsciScintilla
resetHotspotBackgroundColor()QsciScintilla
resetHotspotForegroundColor()QsciScintilla
resetMatchedBraceIndicator()QsciScintilla
resetSelectionBackgroundColor()QsciScintillavirtualslot
resetSelectionForegroundColor()QsciScintillavirtualslot
resetUnmatchedBraceIndicator()QsciScintilla
resizeEvent(QResizeEvent *e)QsciScintillaBaseprotectedvirtual
RightArrow enum valueQsciScintilla
RightTriangle enum valueQsciScintilla
RoundBoxIndicator enum valueQsciScintilla
RoundedBottomLeftCorner enum valueQsciScintilla
SC_ALPHA_NOALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_ALPHA_OPAQUE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_ALPHA_TRANSPARENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_AUTOMATICFOLD_CHANGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_AUTOMATICFOLD_CLICK enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_AUTOMATICFOLD_SHOW enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CACHE_CARET enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CACHE_DOCUMENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CACHE_NONE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CACHE_PAGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CARETSTICKY_OFF enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CARETSTICKY_ON enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CARETSTICKY_WHITESPACE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CASE_LOWER enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CASE_MIXED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CASE_UPPER enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CASEINSENSITIVEBEHAVIOUR_IGNORECASE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CASEINSENSITIVEBEHAVIOUR_RESPECTCASE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_8859_15 enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_ANSI enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_ARABIC enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_BALTIC enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_CHINESEBIG5 enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_DEFAULT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_EASTEUROPE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_GB2312 enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_GREEK enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_HANGUL enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_HEBREW enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_JOHAB enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_MAC enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_OEM enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_RUSSIAN enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_SHIFTJIS enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_SYMBOL enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_THAI enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_TURKISH enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CHARSET_VIETNAMESE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CP_DBCS enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CP_UTF8 enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CURSORARROW enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CURSORNORMAL enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CURSORREVERSEARROW enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_CURSORWAIT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_EFF_QUALITY_ANTIALIASED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_EFF_QUALITY_DEFAULT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_EFF_QUALITY_LCD_OPTIMIZED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_EFF_QUALITY_MASK enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_EFF_QUALITY_NON_ANTIALIASED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_EOL_CR enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_EOL_CRLF enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_EOL_LF enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDACTION_CONTRACT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDACTION_EXPAND enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDACTION_TOGGLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDFLAG_LEVELNUMBERS enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDFLAG_LINEAFTER_CONTRACTED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDFLAG_LINEAFTER_EXPANDED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDFLAG_LINEBEFORE_CONTRACTED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDFLAG_LINEBEFORE_EXPANDED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDFLAG_LINESTATE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDLEVELBASE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDLEVELHEADERFLAG enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDLEVELNUMBERMASK enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FOLDLEVELWHITEFLAG enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_FONT_SIZE_MULTIPLIER enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_IME_INLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_IME_WINDOWED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_INDICFLAG_VALUEBEFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_INDICVALUEBIT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_INDICVALUEMASK enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_IV_LOOKBOTH enum valueQsciScintillaBase
SC_IV_LOOKFORWARD enum valueQsciScintillaBase
SC_IV_NONE enum valueQsciScintillaBase
SC_IV_REAL enum valueQsciScintillaBase
SC_LASTSTEPINUNDOREDO enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_LINE_END_TYPE_DEFAULT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_LINE_END_TYPE_UNICODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MARGIN_BACK enum valueQsciScintillaBase
SC_MARGIN_FORE enum valueQsciScintillaBase
SC_MARGIN_NUMBER enum valueQsciScintillaBase
SC_MARGIN_RTEXT enum valueQsciScintillaBase
SC_MARGIN_SYMBOL enum valueQsciScintillaBase
SC_MARGIN_TEXT enum valueQsciScintillaBase
SC_MARGINOPTION_NONE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MARGINOPTION_SUBLINESELECT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MARK_ARROW enum valueQsciScintillaBase
SC_MARK_ARROWDOWN enum valueQsciScintillaBase
SC_MARK_ARROWS enum valueQsciScintillaBase
SC_MARK_AVAILABLE enum valueQsciScintillaBase
SC_MARK_BACKGROUND enum valueQsciScintillaBase
SC_MARK_BOOKMARK enum valueQsciScintillaBase
SC_MARK_BOXMINUS enum valueQsciScintillaBase
SC_MARK_BOXMINUSCONNECTED enum valueQsciScintillaBase
SC_MARK_BOXPLUS enum valueQsciScintillaBase
SC_MARK_BOXPLUSCONNECTED enum valueQsciScintillaBase
SC_MARK_CHARACTER enum valueQsciScintillaBase
SC_MARK_CIRCLE enum valueQsciScintillaBase
SC_MARK_CIRCLEMINUS enum valueQsciScintillaBase
SC_MARK_CIRCLEMINUSCONNECTED enum valueQsciScintillaBase
SC_MARK_CIRCLEPLUS enum valueQsciScintillaBase
SC_MARK_CIRCLEPLUSCONNECTED enum valueQsciScintillaBase
SC_MARK_DOTDOTDOT enum valueQsciScintillaBase
SC_MARK_EMPTY enum valueQsciScintillaBase
SC_MARK_FULLRECT enum valueQsciScintillaBase
SC_MARK_LCORNER enum valueQsciScintillaBase
SC_MARK_LCORNERCURVE enum valueQsciScintillaBase
SC_MARK_LEFTRECT enum valueQsciScintillaBase
SC_MARK_MINUS enum valueQsciScintillaBase
SC_MARK_PIXMAP enum valueQsciScintillaBase
SC_MARK_PLUS enum valueQsciScintillaBase
SC_MARK_RGBAIMAGE enum valueQsciScintillaBase
SC_MARK_ROUNDRECT enum valueQsciScintillaBase
SC_MARK_SHORTARROW enum valueQsciScintillaBase
SC_MARK_SMALLRECT enum valueQsciScintillaBase
SC_MARK_TCORNER enum valueQsciScintillaBase
SC_MARK_TCORNERCURVE enum valueQsciScintillaBase
SC_MARK_UNDERLINE enum valueQsciScintillaBase
SC_MARK_VLINE enum valueQsciScintillaBase
SC_MARKNUM_FOLDER enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MARKNUM_FOLDEREND enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MARKNUM_FOLDERMIDTAIL enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MARKNUM_FOLDEROPEN enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MARKNUM_FOLDEROPENMID enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MARKNUM_FOLDERSUB enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MARKNUM_FOLDERTAIL enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MASK_FOLDERS enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_BEFOREDELETE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_BEFOREINSERT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_CHANGEANNOTATION enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_CHANGEFOLD enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_CHANGEINDICATOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_CHANGELINESTATE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_CHANGEMARGIN enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_CHANGEMARKER enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_CHANGESTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_CHANGETABSTOPS enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_CONTAINER enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_DELETETEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_INSERTCHECK enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_INSERTTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MOD_LEXERSTATE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MODEVENTMASKALL enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MULTIAUTOC_EACH enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MULTIAUTOC_ONCE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MULTILINEUNDOREDO enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MULTIPASTE_EACH enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MULTIPASTE_ONCE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_MULTISTEPUNDOREDO enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_ORDER_CUSTOM enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_ORDER_PERFORMSORT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_ORDER_PRESORTED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_PERFORMED_REDO enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_PERFORMED_UNDO enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_PERFORMED_USER enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_PHASES_MULTIPLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_PHASES_ONE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_PHASES_TWO enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_PRINT_BLACKONWHITE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_PRINT_COLOURONWHITE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_PRINT_COLOURONWHITEDEFAULTBG enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_PRINT_INVERTLIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_PRINT_NORMAL enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_SEL_LINES enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_SEL_RECTANGLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_SEL_STREAM enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_SEL_THIN enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_STARTACTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_STATUS_BADALLOC enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_STATUS_FAILURE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_STATUS_OK enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_STATUS_WARN_START enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_STATUS_WARNREGEX enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_TECHNOLOGY_DEFAULT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_TECHNOLOGY_DIRECTWRITE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_TECHNOLOGY_DIRECTWRITEDC enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_TECHNOLOGY_DIRECTWRITERETAIN enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_TIME_FOREVER enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_TYPE_BOOLEAN enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_TYPE_INTEGER enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_TYPE_STRING enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_UPDATE_CONTENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_UPDATE_H_SCROLL enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_UPDATE_SELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_UPDATE_V_SCROLL enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WEIGHT_BOLD enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WEIGHT_NORMAL enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WEIGHT_SEMIBOLD enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAP_CHAR enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAP_NONE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAP_WHITESPACE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAP_WORD enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAPINDENT_FIXED enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAPINDENT_INDENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAPINDENT_SAME enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAPVISUALFLAG_END enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAPVISUALFLAG_MARGIN enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAPVISUALFLAG_NONE enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAPVISUALFLAG_START enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAPVISUALFLAGLOC_DEFAULT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAPVISUALFLAGLOC_END_BY_TEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SC_WRAPVISUALFLAGLOC_START_BY_TEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCEN_CHANGE()QsciScintillaBasesignal
SCFIND_CXX11REGEX enum value (defined in QsciScintillaBase)QsciScintillaBase
SCFIND_MATCHCASE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCFIND_POSIX enum value (defined in QsciScintillaBase)QsciScintillaBase
SCFIND_REGEXP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCFIND_WHOLEWORD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCFIND_WORDSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ADDREFDOCUMENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ADDSELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ADDSTYLEDTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ADDTABSTOP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ADDTEXT enum valueQsciScintillaBase
SCI_ADDUNDOACTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ALLOCATE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ALLOCATEEXTENDEDSTYLES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ALLOCATESUBSTYLES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONCLEARALL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONGETLINES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONGETSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONGETSTYLEOFFSET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONGETSTYLES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONGETTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONGETVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONSETSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONSETSTYLEOFFSET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONSETSTYLES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONSETTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ANNOTATIONSETVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_APPENDTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ASSIGNCMDKEY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCACTIVE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCCANCEL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCCOMPLETE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETAUTOHIDE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETCANCELATSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETCASEINSENSITIVEBEHAVIOUR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETCHOOSESINGLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETCURRENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETCURRENTTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETDROPRESTOFWORD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETIGNORECASE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETMAXHEIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETMAXWIDTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETMULTI enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETORDER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETSEPARATOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCGETTYPESEPARATOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCPOSSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSELECT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETAUTOHIDE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETCANCELATSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETCASEINSENSITIVEBEHAVIOUR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETCHOOSESINGLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETDROPRESTOFWORD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETFILLUPS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETIGNORECASE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETMAXHEIGHT enum valueQsciScintillaBase
SCI_AUTOCSETMAXWIDTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETMULTI enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETORDER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETSEPARATOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSETTYPESEPARATOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSHOW enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_AUTOCSTOPS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_BACKTAB enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_BEGINUNDOACTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_BRACEBADLIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_BRACEBADLIGHTINDICATOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_BRACEHIGHLIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_BRACEHIGHLIGHTINDICATOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_BRACEMATCH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPACTIVE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPCANCEL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPPOSSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPSETBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPSETFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPSETFOREHLT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPSETHLT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPSETPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPSETPOSSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPSHOW enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CALLTIPUSESTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CANCEL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CANPASTE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CANREDO enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CANUNDO enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHANGEINSERTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHANGELEXERSTATE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHARLEFT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHARLEFTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHARLEFTRECTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHARPOSITIONFROMPOINT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHARPOSITIONFROMPOINTCLOSE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHARRIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHARRIGHTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHARRIGHTRECTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CHOOSECARETX enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CLEAR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CLEARALL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CLEARALLCMDKEYS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CLEARCMDKEY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CLEARDOCUMENTSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CLEARREGISTEREDIMAGES enum valueQsciScintillaBase
SCI_CLEARREPRESENTATION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CLEARSELECTIONS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CLEARTABSTOPS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_COLOURISE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CONTRACTEDFOLDNEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CONVERTEOLS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_COPY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_COPYALLOWLINE enum valueQsciScintillaBase
SCI_COPYRANGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_COPYTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_COUNTCHARACTERS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CREATEDOCUMENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CREATELOADER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_CUT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DELETEBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DELETEBACKNOTLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DELETERANGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DELLINELEFT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DELLINERIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DELWORDLEFT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DELWORDRIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DELWORDRIGHTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DESCRIBEKEYWORDSETS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DESCRIBEPROPERTY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DISTANCETOSECONDARYSTYLES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DOCLINEFROMVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DOCUMENTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DOCUMENTENDEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DOCUMENTSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DOCUMENTSTARTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_DROPSELECTIONN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_EDITTOGGLEOVERTYPE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_EMPTYUNDOBUFFER enum valueQsciScintillaBase
SCI_ENDUNDOACTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ENSUREVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ENSUREVISIBLEENFORCEPOLICY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_EXPANDCHILDREN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FINDCOLUMN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FINDINDICATORFLASH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FINDINDICATORHIDE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FINDINDICATORSHOW enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FINDTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FOLDALL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FOLDCHILDREN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FOLDLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FORMATRANGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FORMFEED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_FREESUBSTYLES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETADDITIONALCARETFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETADDITIONALCARETSBLINK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETADDITIONALCARETSVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETADDITIONALSELALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETADDITIONALSELECTIONTYPING enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETALLLINESVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETANCHOR enum valueQsciScintillaBase
SCI_GETAUTOMATICFOLD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETBACKSPACEUNINDENTS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETBUFFEREDDRAW enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCARETFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCARETLINEBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCARETLINEBACKALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCARETLINEVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCARETLINEVISIBLEALWAYS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCARETPERIOD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCARETSTICKY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCARETSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCARETWIDTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCHARACTERPOINTER enum valueQsciScintillaBase
SCI_GETCHARAT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCODEPAGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCOLUMN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCONTROLCHARSYMBOL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCURLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETCURRENTPOS enum valueQsciScintillaBase
SCI_GETCURSOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETDIRECTFUNCTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETDIRECTPOINTER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETDOCPOINTER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETEDGECOLOUR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETEDGECOLUMN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETEDGEMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETENDATLASTLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETENDSTYLED enum valueQsciScintillaBase
SCI_GETEOLMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETEXTRAASCENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETEXTRADESCENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETFIRSTVISIBLELINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETFOCUS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETFOLDEXPANDED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETFOLDLEVEL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETFOLDPARENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETFONTQUALITY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETGAPPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETHIGHLIGHTGUIDE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETHOTSPOTACTIVEBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETHOTSPOTACTIVEFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETHOTSPOTACTIVEUNDERLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETHOTSPOTSINGLELINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETHSCROLLBAR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETIDENTIFIER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETIMEINTERACTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETINDENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETINDENTATIONGUIDES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETINDICATORCURRENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETINDICATORVALUE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETKEYSUNICODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLASTCHILD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLAYOUTCACHE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLENGTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLEXER enum valueQsciScintillaBase
SCI_GETLEXERLANGUAGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINECOUNT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINEENDPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINEENDTYPESACTIVE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINEENDTYPESALLOWED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINEENDTYPESSUPPORTED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINEINDENTATION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINEINDENTPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINESELENDPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINESELSTARTPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINESTATE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETLINEVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMAINSELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMARGINCURSORN enum valueQsciScintillaBase
SCI_GETMARGINLEFT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMARGINMASKN enum valueQsciScintillaBase
SCI_GETMARGINOPTIONS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMARGINRIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMARGINSENSITIVEN enum valueQsciScintillaBase
SCI_GETMARGINTYPEN enum valueQsciScintillaBase
SCI_GETMARGINWIDTHN enum valueQsciScintillaBase
SCI_GETMAXLINESTATE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMODEVENTMASK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMODIFY enum valueQsciScintillaBase
SCI_GETMOUSEDOWNCAPTURES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMOUSEDWELLTIME enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMOUSESELECTIONRECTANGULARSWITCH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMULTIPASTE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETMULTIPLESELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETNEXTTABSTOP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETOVERTYPE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETPASTECONVERTENDINGS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETPHASESDRAW enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETPOSITIONCACHE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETPRIMARYSTYLEFROMSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETPRINTCOLOURMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETPRINTMAGNIFICATION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETPRINTWRAPMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETPROPERTY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETPROPERTYEXPANDED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETPROPERTYINT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETPUNCTUATIONCHARS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETRANGEPOINTER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETREADONLY enum valueQsciScintillaBase
SCI_GETRECTANGULARSELECTIONANCHOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETRECTANGULARSELECTIONANCHORVIRTUALSPACE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETRECTANGULARSELECTIONCARET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETRECTANGULARSELECTIONCARETVIRTUALSPACE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETRECTANGULARSELECTIONMODIFIER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETREPRESENTATION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSCROLLWIDTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSCROLLWIDTHTRACKING enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSEARCHFLAGS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONEMPTY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONNANCHOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONNANCHORVIRTUALSPACE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONNCARET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONNCARETVIRTUALSPACE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONNEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONNSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELECTIONSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELEOLFILLED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSELTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSTATUS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSTYLEAT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSTYLEBITS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSTYLEBITSNEEDED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSTYLEDTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSTYLEFROMSUBSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSUBSTYLEBASES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSUBSTYLESLENGTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETSUBSTYLESSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETTABINDENTS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETTABWIDTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETTAG enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETTARGETEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETTARGETRANGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETTARGETSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETTECHNOLOGY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETTEXT enum valueQsciScintillaBase
SCI_GETTEXTLENGTH enum valueQsciScintillaBase
SCI_GETTEXTRANGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETTWOPHASEDRAW enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETUNDOCOLLECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETUSETABS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETVIEWEOL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETVIEWWS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETVIRTUALSPACEOPTIONS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETVSCROLLBAR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETWHITESPACECHARS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETWHITESPACESIZE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETWORDCHARS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETWRAPINDENTMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETWRAPMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETWRAPSTARTINDENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETWRAPVISUALFLAGS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETWRAPVISUALFLAGSLOCATION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETXOFFSET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GETZOOM enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GOTOLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_GOTOPOS enum valueQsciScintillaBase
SCI_GRABFOCUS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_HIDELINES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_HIDESELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_HOME enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_HOMEDISPLAY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_HOMEDISPLAYEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_HOMEEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_HOMERECTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_HOMEWRAP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_HOMEWRAPEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICATORALLONFOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICATORCLEARRANGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICATOREND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICATORFILLRANGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICATORSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICATORVALUEAT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICGETALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICGETFLAGS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICGETFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICGETHOVERFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICGETHOVERSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICGETOUTLINEALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICGETSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICGETUNDER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICSETALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICSETFLAGS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICSETFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICSETHOVERFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICSETHOVERSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICSETOUTLINEALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICSETSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INDICSETUNDER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_INSERTTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LEXER_START enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINECOPY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINECUT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEDELETE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEDOWN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEDOWNEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEDOWNRECTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEDUPLICATE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEENDDISPLAY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEENDDISPLAYEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEENDEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEENDRECTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEENDWRAP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEENDWRAPEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEFROMPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINELENGTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINESCROLL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINESCROLLDOWN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINESCROLLUP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINESJOIN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINESONSCREEN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINESSPLIT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINETRANSPOSE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEUP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEUPEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LINEUPRECTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LOADLEXERLIBRARY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_LOWERCASE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARGINGETSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARGINGETSTYLEOFFSET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARGINGETSTYLES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARGINGETTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARGINSETSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARGINSETSTYLEOFFSET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARGINSETSTYLES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARGINSETTEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARGINTEXTCLEARALL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARKERADD enum valueQsciScintillaBase
SCI_MARKERADDSET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARKERDEFINE enum valueQsciScintillaBase
SCI_MARKERDEFINEPIXMAP enum valueQsciScintillaBase
SCI_MARKERDEFINERGBAIMAGE enum valueQsciScintillaBase
SCI_MARKERDELETE enum valueQsciScintillaBase
SCI_MARKERDELETEALL enum valueQsciScintillaBase
SCI_MARKERDELETEHANDLE enum valueQsciScintillaBase
SCI_MARKERENABLEHIGHLIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARKERGET enum valueQsciScintillaBase
SCI_MARKERLINEFROMHANDLE enum valueQsciScintillaBase
SCI_MARKERNEXT enum valueQsciScintillaBase
SCI_MARKERPREVIOUS enum valueQsciScintillaBase
SCI_MARKERSETALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARKERSETBACK enum valueQsciScintillaBase
SCI_MARKERSETBACKSELECTED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MARKERSETFORE enum valueQsciScintillaBase
SCI_MARKERSYMBOLDEFINED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MOVECARETINSIDEVIEW enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MOVESELECTEDLINESDOWN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_MOVESELECTEDLINESUP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_NEWLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_NULL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_OPTIONAL_START enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PAGEDOWN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PAGEDOWNEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PAGEDOWNRECTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PAGEUP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PAGEUPEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PAGEUPRECTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PARADOWN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PARADOWNEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PARAUP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PARAUPEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PASTE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_POINTXFROMPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_POINTYFROMPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_POSITIONAFTER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_POSITIONBEFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_POSITIONFROMLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_POSITIONFROMPOINT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_POSITIONFROMPOINTCLOSE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_POSITIONRELATIVE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PRIVATELEXERCALL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PROPERTYNAMES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_PROPERTYTYPE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_REDO enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_REGISTERIMAGE enum valueQsciScintillaBase
SCI_REGISTERRGBAIMAGE enum valueQsciScintillaBase
SCI_RELEASEALLEXTENDEDSTYLES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_RELEASEDOCUMENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_REPLACESEL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_REPLACETARGET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_REPLACETARGETRE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_RGBAIMAGESETHEIGHT enum valueQsciScintillaBase
SCI_RGBAIMAGESETSCALE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_RGBAIMAGESETWIDTH enum valueQsciScintillaBase
SCI_ROTATESELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SCROLLCARET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SCROLLRANGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SCROLLTOEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SCROLLTOSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SEARCHANCHOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SEARCHINTARGET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SEARCHNEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SEARCHPREV enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SELECTALL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SELECTIONDUPLICATE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SELECTIONISRECTANGLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETADDITIONALCARETFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETADDITIONALCARETSBLINK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETADDITIONALCARETSVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETADDITIONALSELALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETADDITIONALSELBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETADDITIONALSELECTIONTYPING enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETADDITIONALSELFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETANCHOR enum valueQsciScintillaBase
SCI_SETAUTOMATICFOLD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETBACKSPACEUNINDENTS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETBUFFEREDDRAW enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCARETFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCARETLINEBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCARETLINEBACKALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCARETLINEVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCARETLINEVISIBLEALWAYS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCARETPERIOD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCARETSTICKY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCARETSTYLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCARETWIDTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCHARSDEFAULT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCODEPAGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCONTROLCHARSYMBOL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETCURRENTPOS enum valueQsciScintillaBase
SCI_SETCURSOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETDOCPOINTER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETEDGECOLOUR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETEDGECOLUMN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETEDGEMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETEMPTYSELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETENDATLASTLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETEOLMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETEXTRAASCENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETEXTRADESCENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETFIRSTVISIBLELINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETFOCUS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETFOLDEXPANDED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETFOLDFLAGS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETFOLDLEVEL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETFOLDMARGINCOLOUR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETFOLDMARGINHICOLOUR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETFONTQUALITY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETHIGHLIGHTGUIDE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETHOTSPOTACTIVEBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETHOTSPOTACTIVEFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETHOTSPOTACTIVEUNDERLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETHOTSPOTSINGLELINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETHSCROLLBAR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETIDENTIFIER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETIDENTIFIERS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETIMEINTERACTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETINDENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETINDENTATIONGUIDES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETINDICATORCURRENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETINDICATORVALUE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETKEYSUNICODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETKEYWORDS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETLAYOUTCACHE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETLEXER enum valueQsciScintillaBase
SCI_SETLEXERLANGUAGE enum valueQsciScintillaBase
SCI_SETLINEENDTYPESALLOWED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETLINEINDENTATION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETLINESTATE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETMAINSELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETMARGINCURSORN enum valueQsciScintillaBase
SCI_SETMARGINLEFT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETMARGINMASKN enum valueQsciScintillaBase
SCI_SETMARGINOPTIONS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETMARGINRIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETMARGINSENSITIVEN enum valueQsciScintillaBase
SCI_SETMARGINTYPEN enum valueQsciScintillaBase
SCI_SETMARGINWIDTHN enum valueQsciScintillaBase
SCI_SETMODEVENTMASK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETMOUSEDOWNCAPTURES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETMOUSEDWELLTIME enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETMOUSESELECTIONRECTANGULARSWITCH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETMULTIPASTE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETMULTIPLESELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETOVERTYPE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETPASTECONVERTENDINGS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETPHASESDRAW enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETPOSITIONCACHE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETPRINTCOLOURMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETPRINTMAGNIFICATION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETPRINTWRAPMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETPROPERTY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETPUNCTUATIONCHARS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETREADONLY enum valueQsciScintillaBase
SCI_SETRECTANGULARSELECTIONANCHOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETRECTANGULARSELECTIONANCHORVIRTUALSPACE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETRECTANGULARSELECTIONCARET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETRECTANGULARSELECTIONCARETVIRTUALSPACE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETRECTANGULARSELECTIONMODIFIER enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETREPRESENTATION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSAVEPOINT enum valueQsciScintillaBase
SCI_SETSCROLLWIDTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSCROLLWIDTHTRACKING enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSEARCHFLAGS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSEL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELALPHA enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELECTIONEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELECTIONMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELECTIONNANCHOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELECTIONNANCHORVIRTUALSPACE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELECTIONNCARET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELECTIONNCARETVIRTUALSPACE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELECTIONNEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELECTIONNSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELECTIONSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELEOLFILLED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSELFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSTATUS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSTYLEBITS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSTYLING enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETSTYLINGEX enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETTABINDENTS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETTABWIDTH enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETTARGETEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETTARGETRANGE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETTARGETSTART enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETTECHNOLOGY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETTEXT enum valueQsciScintillaBase
SCI_SETTWOPHASEDRAW enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETUNDOCOLLECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETUSETABS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETVIEWEOL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETVIEWWS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETVIRTUALSPACEOPTIONS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETVISIBLEPOLICY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETVSCROLLBAR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETWHITESPACEBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETWHITESPACECHARS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETWHITESPACEFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETWHITESPACESIZE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETWORDCHARS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETWRAPINDENTMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETWRAPMODE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETWRAPSTARTINDENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETWRAPVISUALFLAGS enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETWRAPVISUALFLAGSLOCATION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETXCARETPOLICY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETXOFFSET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETYCARETPOLICY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SETZOOM enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SHOWLINES enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_START enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STARTRECORD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STARTSTYLING enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STOPRECORD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STUTTEREDPAGEDOWN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STUTTEREDPAGEDOWNEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STUTTEREDPAGEUP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STUTTEREDPAGEUPEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLECLEARALL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETBOLD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETCASE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETCHANGEABLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETCHARACTERSET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETEOLFILLED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETFONT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETHOTSPOT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETITALIC enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETSIZE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETSIZEFRACTIONAL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETUNDERLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLEGETWEIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLERESETDEFAULT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETBACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETBOLD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETCASE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETCHANGEABLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETCHARACTERSET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETEOLFILLED enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETFONT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETFORE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETHOTSPOT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETITALIC enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETSIZE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETSIZEFRACTIONAL enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETUNDERLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_STYLESETWEIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_SWAPMAINANCHORCARET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_TAB enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_TARGETFROMSELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_TEXTHEIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_TEXTWIDTH enum valueQsciScintillaBase
SCI_TOGGLECARETSTICKY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_TOGGLEFOLD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_UNDO enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_UPPERCASE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_USEPOPUP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_USERLISTSHOW enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_VCHOME enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_VCHOMEDISPLAY enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_VCHOMEDISPLAYEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_VCHOMEEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_VCHOMERECTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_VCHOMEWRAP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_VCHOMEWRAPEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_VERTICALCENTRECARET enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_VISIBLEFROMDOCLINE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDENDPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDLEFT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDLEFTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDLEFTENDEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDLEFTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDPARTLEFT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDPARTLEFTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDPARTRIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDPARTRIGHTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDRIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDRIGHTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDRIGHTENDEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDRIGHTEXTEND enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WORDSTARTPOSITION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_WRAPCOUNT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ZOOMIN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCI_ZOOMOUT enum value (defined in QsciScintillaBase)QsciScintillaBase
ScintillaBytes typedef (defined in QsciScintillaBase)QsciScintillaBaseprotected
SCK_ADD enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_BACK enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_DELETE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_DIVIDE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_DOWN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_END enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_ESCAPE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_HOME enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_INSERT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_LEFT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_MENU enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_NEXT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_PRIOR enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_RETURN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_RIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_RWIN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_SUBTRACT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_TAB enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_UP enum value (defined in QsciScintillaBase)QsciScintillaBase
SCK_WIN enum value (defined in QsciScintillaBase)QsciScintillaBase
SCLEX_A68K enum valueQsciScintillaBase
SCLEX_ABAQUS enum valueQsciScintillaBase
SCLEX_ADA enum valueQsciScintillaBase
SCLEX_APDL enum valueQsciScintillaBase
SCLEX_AS enum valueQsciScintillaBase
SCLEX_ASM enum valueQsciScintillaBase
SCLEX_ASN1 enum valueQsciScintillaBase
SCLEX_ASP enum valueQsciScintillaBase
SCLEX_ASYMPTOTE enum valueQsciScintillaBase
SCLEX_AU3 enum valueQsciScintillaBase
SCLEX_AVE enum valueQsciScintillaBase
SCLEX_AVS enum valueQsciScintillaBase
SCLEX_BAAN enum valueQsciScintillaBase
SCLEX_BASH enum valueQsciScintillaBase
SCLEX_BATCH enum valueQsciScintillaBase
SCLEX_BIBTEX enum valueQsciScintillaBase
SCLEX_BLITZBASIC enum valueQsciScintillaBase
SCLEX_BULLANT enum valueQsciScintillaBase
SCLEX_CAML enum valueQsciScintillaBase
SCLEX_CLW enum valueQsciScintillaBase
SCLEX_CLWNOCASE enum valueQsciScintillaBase
SCLEX_CMAKE enum valueQsciScintillaBase
SCLEX_COBOL enum valueQsciScintillaBase
SCLEX_COFFEESCRIPT enum valueQsciScintillaBase
SCLEX_CONF enum valueQsciScintillaBase
SCLEX_CONTAINER enum valueQsciScintillaBase
SCLEX_CPP enum valueQsciScintillaBase
SCLEX_CPPNOCASE enum valueQsciScintillaBase
SCLEX_CSOUND enum valueQsciScintillaBase
SCLEX_CSS enum valueQsciScintillaBase
SCLEX_D enum valueQsciScintillaBase
SCLEX_DIFF enum valueQsciScintillaBase
SCLEX_DMAP enum valueQsciScintillaBase
SCLEX_DMIS enum valueQsciScintillaBase
SCLEX_ECL enum valueQsciScintillaBase
SCLEX_EIFFEL enum valueQsciScintillaBase
SCLEX_EIFFELKW enum valueQsciScintillaBase
SCLEX_ERLANG enum valueQsciScintillaBase
SCLEX_ERRORLIST enum valueQsciScintillaBase
SCLEX_ESCRIPT enum valueQsciScintillaBase
SCLEX_F77 enum valueQsciScintillaBase
SCLEX_FLAGSHIP enum valueQsciScintillaBase
SCLEX_FORTH enum valueQsciScintillaBase
SCLEX_FORTRAN enum valueQsciScintillaBase
SCLEX_FREEBASIC enum valueQsciScintillaBase
SCLEX_GAP enum valueQsciScintillaBase
SCLEX_GUI4CLI enum valueQsciScintillaBase
SCLEX_HASKELL enum valueQsciScintillaBase
SCLEX_HTML enum valueQsciScintillaBase
SCLEX_IHEX enum valueQsciScintillaBase
SCLEX_INNOSETUP enum valueQsciScintillaBase
SCLEX_KIX enum valueQsciScintillaBase
SCLEX_KVIRC enum valueQsciScintillaBase
SCLEX_LATEX enum valueQsciScintillaBase
SCLEX_LISP enum valueQsciScintillaBase
SCLEX_LITERATEHASKELL enum valueQsciScintillaBase
SCLEX_LOT enum valueQsciScintillaBase
SCLEX_LOUT enum valueQsciScintillaBase
SCLEX_LUA enum valueQsciScintillaBase
SCLEX_MAGIK enum valueQsciScintillaBase
SCLEX_MAKEFILE enum valueQsciScintillaBase
SCLEX_MARKDOWN enum valueQsciScintillaBase
SCLEX_MATLAB enum valueQsciScintillaBase
SCLEX_METAPOST enum valueQsciScintillaBase
SCLEX_MMIXAL enum valueQsciScintillaBase
SCLEX_MODULA enum valueQsciScintillaBase
SCLEX_MSSQL enum valueQsciScintillaBase
SCLEX_MYSQL enum valueQsciScintillaBase
SCLEX_NIMROD enum valueQsciScintillaBase
SCLEX_NNCRONTAB enum valueQsciScintillaBase
SCLEX_NSIS enum valueQsciScintillaBase
SCLEX_NULL enum valueQsciScintillaBase
SCLEX_OCTAVE enum valueQsciScintillaBase
SCLEX_OPAL enum valueQsciScintillaBase
SCLEX_OSCRIPT enum valueQsciScintillaBase
SCLEX_PASCAL enum valueQsciScintillaBase
SCLEX_PERL enum valueQsciScintillaBase
SCLEX_PHP enum valueQsciScintillaBase
SCLEX_PHPSCRIPT enum valueQsciScintillaBase
SCLEX_PLM enum valueQsciScintillaBase
SCLEX_PO enum valueQsciScintillaBase
SCLEX_POV enum valueQsciScintillaBase
SCLEX_POWERBASIC enum valueQsciScintillaBase
SCLEX_POWERPRO enum valueQsciScintillaBase
SCLEX_POWERSHELL enum valueQsciScintillaBase
SCLEX_PROGRESS enum valueQsciScintillaBase
SCLEX_PROPERTIES enum valueQsciScintillaBase
SCLEX_PS enum valueQsciScintillaBase
SCLEX_PUREBASIC enum valueQsciScintillaBase
SCLEX_PYTHON enum valueQsciScintillaBase
SCLEX_R enum valueQsciScintillaBase
SCLEX_REBOL enum valueQsciScintillaBase
SCLEX_REGISTRY enum valueQsciScintillaBase
SCLEX_RUBY enum valueQsciScintillaBase
SCLEX_RUST enum valueQsciScintillaBase
SCLEX_SCRIPTOL enum valueQsciScintillaBase
SCLEX_SMALLTALK enum valueQsciScintillaBase
SCLEX_SML enum valueQsciScintillaBase
SCLEX_SORCUS enum valueQsciScintillaBase
SCLEX_SPECMAN enum valueQsciScintillaBase
SCLEX_SPICE enum valueQsciScintillaBase
SCLEX_SQL enum valueQsciScintillaBase
SCLEX_SREC enum valueQsciScintillaBase
SCLEX_STTXT enum valueQsciScintillaBase
SCLEX_TACL enum valueQsciScintillaBase
SCLEX_TADS3 enum valueQsciScintillaBase
SCLEX_TAL enum valueQsciScintillaBase
SCLEX_TCL enum valueQsciScintillaBase
SCLEX_TCMD enum valueQsciScintillaBase
SCLEX_TEHEX enum valueQsciScintillaBase
SCLEX_TEX enum valueQsciScintillaBase
SCLEX_TXT2TAGS enum valueQsciScintillaBase
SCLEX_VB enum valueQsciScintillaBase
SCLEX_VBSCRIPT enum valueQsciScintillaBase
SCLEX_VERILOG enum valueQsciScintillaBase
SCLEX_VHDL enum valueQsciScintillaBase
SCLEX_VISUALPROLOG enum valueQsciScintillaBase
SCLEX_XML enum valueQsciScintillaBase
SCLEX_YAML enum valueQsciScintillaBase
SCMOD_ALT enum valueQsciScintillaBase
SCMOD_CTRL enum valueQsciScintillaBase
SCMOD_META enum valueQsciScintillaBase
SCMOD_NORM enum valueQsciScintillaBase
SCMOD_SHIFT enum valueQsciScintillaBase
SCMOD_SUPER enum valueQsciScintillaBase
SCN_AUTOCCANCELLED()QsciScintillaBasesignal
SCN_AUTOCCHARDELETED()QsciScintillaBasesignal
SCN_AUTOCSELECTION(const char *selection, int position)QsciScintillaBasesignal
SCN_CALLTIPCLICK(int direction)QsciScintillaBasesignal
SCN_CHARADDED(int charadded)QsciScintillaBasesignal
SCN_DOUBLECLICK(int position, int line, int modifiers)QsciScintillaBasesignal
SCN_DWELLEND(int, int, int) (defined in QsciScintillaBase)QsciScintillaBasesignal
SCN_DWELLSTART(int, int, int) (defined in QsciScintillaBase)QsciScintillaBasesignal
SCN_FOCUSIN()QsciScintillaBasesignal
SCN_FOCUSOUT()QsciScintillaBasesignal
SCN_HOTSPOTCLICK(int position, int modifiers)QsciScintillaBasesignal
SCN_HOTSPOTDOUBLECLICK(int position, int modifiers)QsciScintillaBasesignal
SCN_HOTSPOTRELEASECLICK(int position, int modifiers)QsciScintillaBasesignal
SCN_INDICATORCLICK(int position, int modifiers)QsciScintillaBasesignal
SCN_INDICATORRELEASE(int position, int modifiers)QsciScintillaBasesignal
SCN_MACRORECORD(unsigned int, unsigned long, void *)QsciScintillaBasesignal
SCN_MARGINCLICK(int position, int modifiers, int margin)QsciScintillaBasesignal
SCN_MODIFIED(int, int, const char *, int, int, int, int, int, int, int) (defined in QsciScintillaBase)QsciScintillaBasesignal
SCN_MODIFYATTEMPTRO()QsciScintillaBasesignal
SCN_NEEDSHOWN(int, int) (defined in QsciScintillaBase)QsciScintillaBasesignal
SCN_PAINTED()QsciScintillaBasesignal
SCN_SAVEPOINTLEFT()QsciScintillaBasesignal
SCN_SAVEPOINTREACHED()QsciScintillaBasesignal
SCN_STYLENEEDED(int position)QsciScintillaBasesignal
SCN_UPDATEUI(int updated)QsciScintillaBasesignal
SCN_USERLISTSELECTION(const char *, int) (defined in QsciScintillaBase)QsciScintillaBasesignal
SCN_ZOOM() (defined in QsciScintillaBase)QsciScintillaBasesignal
scrollContentsBy(int dx, int dy) (defined in QsciScintillaBase)QsciScintillaBaseprotectedvirtual
SCVS_NONE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCVS_RECTANGULARSELECTION enum value (defined in QsciScintillaBase)QsciScintillaBase
SCVS_USERACCESSIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCWS_INVISIBLE enum value (defined in QsciScintillaBase)QsciScintillaBase
SCWS_VISIBLEAFTERINDENT enum value (defined in QsciScintillaBase)QsciScintillaBase
SCWS_VISIBLEALWAYS enum value (defined in QsciScintillaBase)QsciScintillaBase
selectAll(bool select=true)QsciScintillavirtualslot
selectedText() const QsciScintilla
selectionChanged()QsciScintillasignal
selectionToEol() const QsciScintilla
selectToMatchingBrace()QsciScintillavirtualslot
SendScintilla(unsigned int msg, unsigned long wParam=0, long lParam=0) const QsciScintillaBase
SendScintilla(unsigned int msg, unsigned long wParam, void *lParam) const QsciScintillaBase
SendScintilla(unsigned int msg, unsigned long wParam, const char *lParam) const QsciScintillaBase
SendScintilla(unsigned int msg, const char *lParam) const QsciScintillaBase
SendScintilla(unsigned int msg, const char *wParam, const char *lParam) const QsciScintillaBase
SendScintilla(unsigned int msg, long wParam) const QsciScintillaBase
SendScintilla(unsigned int msg, int wParam) const QsciScintillaBase
SendScintilla(unsigned int msg, long cpMin, long cpMax, char *lpstrText) const QsciScintillaBase
SendScintilla(unsigned int msg, unsigned long wParam, const QColor &col) const QsciScintillaBase
SendScintilla(unsigned int msg, const QColor &col) const QsciScintillaBase
SendScintilla(unsigned int msg, unsigned long wParam, QPainter *hdc, const QRect &rc, long cpMin, long cpMax) const QsciScintillaBase
SendScintilla(unsigned int msg, unsigned long wParam, const QPixmap &lParam) const QsciScintillaBase
SendScintilla(unsigned int msg, unsigned long wParam, const QImage &lParam) const QsciScintillaBase
SendScintillaPtrResult(unsigned int msg) const QsciScintillaBase
setAnnotationDisplay(AnnotationDisplay display)QsciScintilla
setAutoCompletionCaseSensitivity(bool cs)QsciScintillavirtualslot
setAutoCompletionFillups(const char *fillups)QsciScintilla
setAutoCompletionFillupsEnabled(bool enabled)QsciScintilla
setAutoCompletionReplaceWord(bool replace)QsciScintillavirtualslot
setAutoCompletionShowSingle(bool single)QsciScintillavirtualslot
setAutoCompletionSource(AutoCompletionSource source)QsciScintillavirtualslot
setAutoCompletionThreshold(int thresh)QsciScintillavirtualslot
setAutoCompletionUseSingle(AutoCompletionUseSingle single)QsciScintillavirtualslot
setAutoCompletionWordSeparators(const QStringList &separators)QsciScintilla
setAutoIndent(bool autoindent)QsciScintillavirtualslot
setBackspaceUnindents(bool unindent)QsciScintillavirtualslot
setBraceMatching(BraceMatch bm)QsciScintillavirtualslot
setCallTipsBackgroundColor(const QColor &col)QsciScintilla
setCallTipsForegroundColor(const QColor &col)QsciScintilla
setCallTipsHighlightColor(const QColor &col)QsciScintilla
setCallTipsPosition(CallTipsPosition position)QsciScintilla
setCallTipsStyle(CallTipsStyle style)QsciScintilla
setCallTipsVisible(int nr)QsciScintilla
setCaretForegroundColor(const QColor &col)QsciScintillavirtualslot
setCaretLineBackgroundColor(const QColor &col)QsciScintillavirtualslot
setCaretLineVisible(bool enable)QsciScintillavirtualslot
setCaretWidth(int width)QsciScintillavirtualslot
setColor(const QColor &c)QsciScintillavirtualslot
setContractedFolds(const QList< int > &folds)QsciScintilla
setCursorPosition(int line, int index)QsciScintillavirtualslot
setDocument(const QsciDocument &document)QsciScintilla
setEdgeColor(const QColor &col)QsciScintilla
setEdgeColumn(int colnr)QsciScintilla
setEdgeMode(EdgeMode mode)QsciScintilla
setEolMode(EolMode mode)QsciScintillavirtualslot
setEolVisibility(bool visible)QsciScintillavirtualslot
setExtraAscent(int extra)QsciScintilla
setExtraDescent(int extra)QsciScintilla
setFirstVisibleLine(int linenr)QsciScintilla
setFolding(FoldStyle fold, int margin=2)QsciScintillavirtualslot
setFoldMarginColors(const QColor &fore, const QColor &back)QsciScintilla
setFont(const QFont &f)QsciScintilla
setHotspotBackgroundColor(const QColor &col)QsciScintilla
setHotspotForegroundColor(const QColor &col)QsciScintilla
setHotspotUnderline(bool enable)QsciScintilla
setHotspotWrap(bool enable)QsciScintilla
setIndentation(int line, int indentation)QsciScintillavirtualslot
setIndentationGuides(bool enable)QsciScintillavirtualslot
setIndentationGuidesBackgroundColor(const QColor &col)QsciScintillavirtualslot
setIndentationGuidesForegroundColor(const QColor &col)QsciScintillavirtualslot
setIndentationsUseTabs(bool tabs)QsciScintillavirtualslot
setIndentationWidth(int width)QsciScintillavirtualslot
setIndicatorDrawUnder(bool under, int indicatorNumber=-1)QsciScintilla
setIndicatorForegroundColor(const QColor &col, int indicatorNumber=-1)QsciScintilla
setIndicatorHoverForegroundColor(const QColor &col, int indicatorNumber=-1)QsciScintilla
setIndicatorHoverStyle(IndicatorStyle style, int indicatorNumber=-1)QsciScintilla
setIndicatorOutlineColor(const QColor &col, int indicatorNumber=-1)QsciScintilla
setLexer(QsciLexer *lexer=0)QsciScintillavirtualslot
setMarginLineNumbers(int margin, bool lnrs)QsciScintillavirtualslot
setMarginMarkerMask(int margin, int mask)QsciScintillavirtualslot
setMarginOptions(int options)QsciScintilla
setMarginsBackgroundColor(const QColor &col)QsciScintillavirtualslot
setMarginSensitivity(int margin, bool sens)QsciScintillavirtualslot
setMarginsFont(const QFont &f)QsciScintillavirtualslot
setMarginsForegroundColor(const QColor &col)QsciScintillavirtualslot
setMarginText(int line, const QString &text, int style)QsciScintilla
setMarginText(int line, const QString &text, const QsciStyle &style)QsciScintilla
setMarginText(int line, const QsciStyledText &text)QsciScintilla
setMarginText(int line, const QList< QsciStyledText > &text)QsciScintilla
setMarginType(int margin, MarginType type)QsciScintilla
setMarginWidth(int margin, int width)QsciScintillavirtualslot
setMarginWidth(int margin, const QString &s)QsciScintillavirtualslot
setMarkerBackgroundColor(const QColor &col, int markerNumber=-1)QsciScintilla
setMarkerForegroundColor(const QColor &col, int markerNumber=-1)QsciScintilla
setMatchedBraceBackgroundColor(const QColor &col)QsciScintilla
setMatchedBraceForegroundColor(const QColor &col)QsciScintilla
setMatchedBraceIndicator(int indicatorNumber)QsciScintilla
setModified(bool m)QsciScintillavirtualslot
setOverwriteMode(bool overwrite)QsciScintilla
setPaper(const QColor &c)QsciScintillavirtualslot
setReadOnly(bool ro)QsciScintillavirtualslot
setScrollBars() (defined in QsciScintillaBase)QsciScintillaBaseprotected
setSelection(int lineFrom, int indexFrom, int lineTo, int indexTo)QsciScintillavirtualslot
setSelectionBackgroundColor(const QColor &col)QsciScintillavirtualslot
setSelectionForegroundColor(const QColor &col)QsciScintillavirtualslot
setSelectionToEol(bool filled)QsciScintilla
setTabIndents(bool indent)QsciScintillavirtualslot
setTabWidth(int width)QsciScintillavirtualslot
setText(const QString &text)QsciScintillavirtualslot
setUnmatchedBraceBackgroundColor(const QColor &col)QsciScintilla
setUnmatchedBraceForegroundColor(const QColor &col)QsciScintilla
setUnmatchedBraceIndicator(int indicatorNumber)QsciScintilla
setUtf8(bool cp)QsciScintillavirtualslot
setWhitespaceBackgroundColor(const QColor &col)QsciScintilla
setWhitespaceForegroundColor(const QColor &col)QsciScintilla
setWhitespaceSize(int size)QsciScintilla
setWhitespaceVisibility(WhitespaceVisibility mode)QsciScintillavirtualslot
setWrapIndentMode(WrapIndentMode mode)QsciScintilla
setWrapMode(WrapMode mode)QsciScintillavirtualslot
setWrapVisualFlags(WrapVisualFlag endFlag, WrapVisualFlag startFlag=WrapFlagNone, int indent=0)QsciScintilla
showUserList(int id, const QStringList &list)QsciScintilla
SloppyBraceMatch enum valueQsciScintilla
SmallRectangle enum valueQsciScintilla
SquiggleIndicator enum valueQsciScintilla
SquiggleLowIndicator enum valueQsciScintilla
SquigglePixmapIndicator enum valueQsciScintilla
standardCommands() const QsciScintillainline
StraightBoxIndicator enum valueQsciScintilla
StrictBraceMatch enum valueQsciScintilla
StrikeIndicator enum valueQsciScintilla
STYLE_BRACEBAD enum value (defined in QsciScintillaBase)QsciScintillaBase
STYLE_BRACELIGHT enum value (defined in QsciScintillaBase)QsciScintillaBase
STYLE_CALLTIP enum value (defined in QsciScintillaBase)QsciScintillaBase
STYLE_CONTROLCHAR enum value (defined in QsciScintillaBase)QsciScintillaBase
STYLE_DEFAULT enum value (defined in QsciScintillaBase)QsciScintillaBase
STYLE_INDENTGUIDE enum value (defined in QsciScintillaBase)QsciScintillaBase
STYLE_LASTPREDEFINED enum value (defined in QsciScintillaBase)QsciScintillaBase
STYLE_LINENUMBER enum value (defined in QsciScintillaBase)QsciScintillaBase
STYLE_MAX enum value (defined in QsciScintillaBase)QsciScintillaBase
SymbolMargin enum valueQsciScintilla
SymbolMarginDefaultBackgroundColor enum valueQsciScintilla
SymbolMarginDefaultForegroundColor enum valueQsciScintilla
tabIndents() const QsciScintilla
tabWidth() const QsciScintilla
text() const QsciScintilla
text(int line) const QsciScintilla
textAsBytes(const QString &text) const (defined in QsciScintillaBase)QsciScintillaBaseprotected
textChanged()QsciScintillasignal
TextColorIndicator enum valueQsciScintilla
textHeight(int linenr) const QsciScintilla
TextMargin enum valueQsciScintilla
TextMarginRightJustified enum valueQsciScintilla
ThickCompositionIndicator enum valueQsciScintilla
ThinCompositionIndicator enum valueQsciScintilla
ThreeDots enum valueQsciScintilla
ThreeRightArrows enum valueQsciScintilla
toMimeData(const QByteArray &text, bool rectangular) const QsciScintillaBaseprotectedvirtual
TTIndicator enum valueQsciScintilla
Underline enum valueQsciScintilla
undo()QsciScintillavirtualslot
UNDO_MAY_COALESCE enum value (defined in QsciScintillaBase)QsciScintillaBase
unindent(int line)QsciScintillavirtualslot
userListActivated(int id, const QString &string)QsciScintillasignal
VerticalLine enum valueQsciScintilla
VISIBLE_SLOP enum value (defined in QsciScintillaBase)QsciScintillaBase
VISIBLE_STRICT enum value (defined in QsciScintillaBase)QsciScintillaBase
whitespaceSize() const QsciScintilla
WhitespaceVisibility enum nameQsciScintilla
whitespaceVisibility() const QsciScintilla
wordAtLineIndex(int line, int index) const QsciScintilla
wordAtPoint(const QPoint &point) const QsciScintilla
wordCharacters() const QsciScintilla
WrapCharacter enum valueQsciScintilla
WrapFlagByBorder enum valueQsciScintilla
WrapFlagByText enum valueQsciScintilla
WrapFlagInMargin enum valueQsciScintilla
WrapFlagNone enum valueQsciScintilla
WrapIndentFixed enum valueQsciScintilla
WrapIndentIndented enum valueQsciScintilla
WrapIndentMode enum nameQsciScintilla
wrapIndentMode() const QsciScintilla
WrapIndentSame enum valueQsciScintilla
wrapMode() const QsciScintilla
WrapMode enum nameQsciScintilla
WrapNone enum valueQsciScintilla
WrapVisualFlag enum nameQsciScintilla
WrapWhitespace enum valueQsciScintilla
WrapWord enum valueQsciScintilla
write(QIODevice *io) const QsciScintilla
WsInvisible enum valueQsciScintilla
WsVisible enum valueQsciScintilla
WsVisibleAfterIndent enum valueQsciScintilla
zoomIn(int range)QsciScintillavirtualslot
zoomIn()QsciScintillavirtualslot
zoomOut(int range)QsciScintillavirtualslot
zoomOut()QsciScintillavirtualslot
zoomTo(int size)QsciScintillavirtualslot
~QsciScintilla()QsciScintillavirtual
~QsciScintillaBase()QsciScintillaBasevirtual
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciStyledText.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciStyledText.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciStyledText.html 2014-09-11 17:15:21.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciStyledText.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciStyledText Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciStyledText Class Reference
- +
- -

#include <qscistyledtext.h>

-

List of all members.

-

-Public Member Functions

- -

Detailed Description

+

#include <qscistyledtext.h>

+ + + + + + + + + + + + +

+Public Member Functions

 QsciStyledText (const QString &text, int style)
 
 QsciStyledText (const QString &text, const QsciStyle &style)
 
+void apply (QsciScintillaBase *sci) const
 
+const QString & text () const
 
+int style () const
 
+

Detailed Description

The QsciStyledText class is a container for a piece of text and the style used to display the text.

-

Constructor & Destructor Documentation

- +

Constructor & Destructor Documentation

+
@@ -98,13 +93,12 @@
-
-
+

Constructs a QsciStyledText instance for text text and style number style.

- +
@@ -126,20 +120,17 @@
-
-
+

Constructs a QsciStyledText instance for text text and style style.

- - - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciStyledText-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciStyledText-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciStyledText-members.html 2014-09-11 17:15:21.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciStyledText-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciStyledText Member List
-
+
-This is the complete list of members for QsciStyledText, including all inherited members. - - - - - -
apply(QsciScintillaBase *sci) const (defined in QsciStyledText)QsciStyledText
QsciStyledText(const QString &text, int style)QsciStyledText
QsciStyledText(const QString &text, const QsciStyle &style)QsciStyledText
style() const QsciStyledText
text() const QsciStyledText [inline]
- +

This is the complete list of members for QsciStyledText, including all inherited members.

+ + + + + + +
apply(QsciScintillaBase *sci) const (defined in QsciStyledText)QsciStyledText
QsciStyledText(const QString &text, int style)QsciStyledText
QsciStyledText(const QString &text, const QsciStyle &style)QsciStyledText
style() const QsciStyledText
text() const QsciStyledTextinline
+ - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciStyle.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciStyle.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciStyle.html 2014-09-11 17:15:21.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciStyle.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: QsciStyle Class Reference - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciStyle Class Reference
- +
- -

#include <qscistyle.h>

-

List of all members.

-

-Public Types

- -

-Public Member Functions

- -

Detailed Description

-

The QsciStyle class encapsulates all the attributes of a style.

+

#include <qscistyle.h>

+ + + + +

+Public Types

enum  TextCase { OriginalCase = 0, +UpperCase = 1, +LowerCase = 2 + }
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 QsciStyle (int style=-1)
 
 QsciStyle (int style, const QString &description, const QColor &color, const QColor &paper, const QFont &font, bool eolFill=false)
 
+void apply (QsciScintillaBase *sci) const
 
+int style () const
 
void setDescription (const QString &description)
 
QString description () const
 
void setColor (const QColor &color)
 
QColor color () const
 
void setPaper (const QColor &paper)
 
QColor paper () const
 
void setFont (const QFont &font)
 
QFont font () const
 
void setEolFill (bool fill)
 
bool eolFill () const
 
void setTextCase (TextCase text_case)
 
TextCase textCase () const
 
void setVisible (bool visible)
 
bool visible () const
 
void setChangeable (bool changeable)
 
bool changeable () const
 
void setHotspot (bool hotspot)
 
bool hotspot () const
 
+void refresh ()
 
+

Detailed Description

+

The QsciStyle class encapsulates all the attributes of a style.

Each character of a document has an associated style which determines how the character is displayed, e.g. its font and color. A style is identified by a number. Lexers define styles for each of the language's features so that they are displayed differently. Some style numbers have hard-coded meanings, e.g. the style used for call tips.

-

Member Enumeration Documentation

- +

Member Enumeration Documentation

+
@@ -112,27 +125,24 @@
enum QsciStyle::TextCase
-
-
+

This enum defines the different ways the displayed case of the text can be changed.

-
Enumerator:
-
OriginalCase  + + - -
Enumerator
OriginalCase 

The text is displayed as its original case.

UpperCase  +
UpperCase 

The text is displayed as upper case.

LowerCase  +
LowerCase 

The text is displayed as lower case.

- - -

Constructor & Destructor Documentation

- +

Constructor & Destructor Documentation

+
@@ -144,13 +154,12 @@
-
-
-

Constructs a QsciStyle instance for style number style. If style is negative then a new style number is automatically allocated.

+
+

Constructs a QsciStyle instance for style number style. If style is negative then a new style number is automatically allocated.

- +
@@ -196,51 +205,64 @@
-
-
-

Constructs a QsciStyle instance for style number style. If style is negative then a new style number is automatically allocated. The styles description, color, paper color, font and end-of-line fill are set to description, color, paper, font and eolFill respectively.

+
+

Constructs a QsciStyle instance for style number style. If style is negative then a new style number is automatically allocated. The styles description, color, paper color, font and end-of-line fill are set to description, color, paper, font and eolFill respectively.

-

Member Function Documentation

- +

Member Function Documentation

+
+ + + + + +
- +
void QsciStyle::setDescription ( const QString &  description) [inline]
- -
+
+inline
+

The style's description is set to description.

-
See also:
description()
+
See also
description()
- +
+ + + + + +
- +
QString QsciStyle::description ( ) const [inline] const
- -
+
+inline
+

Returns the style's description.

-
See also:
setDescription()
+
See also
setDescription()
- +
@@ -252,32 +274,38 @@
-
-
+

The style's foreground color is set to color. The default is taken from the application's default palette.

-
See also:
color()
+
See also
color()
- +
+ + + + + +
- +
QColor QsciStyle::color ( ) const [inline] const
- -
+
+inline
+

Returns the style's foreground color.

-
See also:
setColor()
+
See also
setColor()
- +
@@ -289,32 +317,38 @@
-
-
+

The style's background color is set to paper. The default is taken from the application's default palette.

-
See also:
paper()
+
See also
paper()
- +
+ + + + + +
- +
QColor QsciStyle::paper ( ) const [inline] const
- -
+
+inline
+

Returns the style's background color.

-
See also:
setPaper()
+
See also
setPaper()
- +
@@ -326,32 +360,38 @@
-
-
+

The style's font is set to font. The default is the application's default font.

-
See also:
font()
+
See also
font()
- +
+ + + + + +
- +
QFont QsciStyle::font ( ) const [inline] const
- -
+
+inline
+

Returns the style's font.

-
See also:
setFont()
+
See also
setFont()
- +
@@ -363,32 +403,38 @@
-
-
+

The style's end-of-line fill is set to fill. The default is false.

-
See also:
eolFill()
+
See also
eolFill()
- +
+ + + + + +
- +
bool QsciStyle::eolFill ( ) const [inline] const
- -
+
+inline
+

Returns the style's end-of-line fill.

-
See also:
setEolFill()
+
See also
setEolFill()
- +
@@ -400,32 +446,38 @@
-
-
+

The style's text case is set to text_case. The default is OriginalCase.

-
See also:
textCase()
+
See also
textCase()
- +
+ + + + + +
- +
TextCase QsciStyle::textCase ( ) const [inline] const
- -
+
+inline
+

Returns the style's text case.

-
See also:
setTextCase()
+
See also
setTextCase()
- +
@@ -437,32 +489,38 @@
-
-
+

The style's visibility is set to visible. The default is true.

-
See also:
visible()
+
See also
visible()
- +
+ + + + + +
- +
bool QsciStyle::visible ( ) const [inline] const
- -
+
+inline
+

Returns the style's visibility.

-
See also:
setVisible()
+
See also
setVisible()
- +
@@ -474,32 +532,38 @@
-
-
+

The style's changeability is set to changeable. The default is true.

-
See also:
changeable()
+
See also
changeable()
- +
+ + + + + +
- +
bool QsciStyle::changeable ( ) const [inline] const
- -
+
+inline
+

Returns the style's changeability.

-
See also:
setChangeable()
+
See also
setChangeable()
- +
@@ -511,39 +575,43 @@
-
-
+

The style's sensitivity to mouse clicks is set to hotspot. The default is false.

-
See also:
hotspot()
+
See also
hotspot()
- +
+ + + + + +
- +
bool QsciStyle::hotspot ( ) const [inline] const
- -
+
+inline
+

Returns the style's sensitivity to mouse clicks.

-
See also:
setHotspot()
+
See also
setHotspot()
- - - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciStyle-members.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciStyle-members.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/classQsciStyle-members.html 2014-09-11 17:15:21.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/classQsciStyle-members.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Member List - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
QsciStyle Member List
-
+
-This is the complete list of members for QsciStyle, including all inherited members. - - - - - - - - - - - - - - - - - - - - - - - - - - - -
apply(QsciScintillaBase *sci) const (defined in QsciStyle)QsciStyle
changeable() const QsciStyle [inline]
color() const QsciStyle [inline]
description() const QsciStyle [inline]
eolFill() const QsciStyle [inline]
font() const QsciStyle [inline]
hotspot() const QsciStyle [inline]
LowerCase enum valueQsciStyle
OriginalCase enum valueQsciStyle
paper() const QsciStyle [inline]
QsciStyle(int style=-1)QsciStyle
QsciStyle(int style, const QString &description, const QColor &color, const QColor &paper, const QFont &font, bool eolFill=false)QsciStyle
refresh()QsciStyle
setChangeable(bool changeable)QsciStyle
setColor(const QColor &color)QsciStyle
setDescription(const QString &description)QsciStyle [inline]
setEolFill(bool fill)QsciStyle
setFont(const QFont &font)QsciStyle
setHotspot(bool hotspot)QsciStyle
setPaper(const QColor &paper)QsciStyle
setTextCase(TextCase text_case)QsciStyle
setVisible(bool visible)QsciStyle
style() const QsciStyle [inline]
TextCase enum nameQsciStyle
textCase() const QsciStyle [inline]
UpperCase enum valueQsciStyle
visible() const QsciStyle [inline]
- +

This is the complete list of members for QsciStyle, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + +
apply(QsciScintillaBase *sci) const (defined in QsciStyle)QsciStyle
changeable() const QsciStyleinline
color() const QsciStyleinline
description() const QsciStyleinline
eolFill() const QsciStyleinline
font() const QsciStyleinline
hotspot() const QsciStyleinline
LowerCase enum valueQsciStyle
OriginalCase enum valueQsciStyle
paper() const QsciStyleinline
QsciStyle(int style=-1)QsciStyle
QsciStyle(int style, const QString &description, const QColor &color, const QColor &paper, const QFont &font, bool eolFill=false)QsciStyle
refresh()QsciStyle
setChangeable(bool changeable)QsciStyle
setColor(const QColor &color)QsciStyle
setDescription(const QString &description)QsciStyleinline
setEolFill(bool fill)QsciStyle
setFont(const QFont &font)QsciStyle
setHotspot(bool hotspot)QsciStyle
setPaper(const QColor &paper)QsciStyle
setTextCase(TextCase text_case)QsciStyle
setVisible(bool visible)QsciStyle
style() const QsciStyleinline
TextCase enum nameQsciStyle
textCase() const QsciStyleinline
UpperCase enum valueQsciStyle
visible() const QsciStyleinline
+ - Binary files /tmp/7AlgZry3DG/qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/closed.png and /tmp/ENOspktJtZ/qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/closed.png differ diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/dir_323cc71d1ff40353d88845668e7ef2a3.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/dir_323cc71d1ff40353d88845668e7ef2a3.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/dir_323cc71d1ff40353d88845668e7ef2a3.html 2014-09-11 17:15:21.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/dir_323cc71d1ff40353d88845668e7ef2a3.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,71 +0,0 @@ - - - - -QScintilla: /Users/phil/hg/QScintilla2/QScintilla-gpl-2.8.4/Qt4Qt5/ Directory Reference - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - -
-
-
-
Qt4Qt5 Directory Reference
-
-
-

-Directories

- -
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/dir_7748dc2bc19d24806c9f0ac63a9f96c8.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/dir_7748dc2bc19d24806c9f0ac63a9f96c8.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/dir_7748dc2bc19d24806c9f0ac63a9f96c8.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/dir_7748dc2bc19d24806c9f0ac63a9f96c8.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,158 @@ + + + + + + +QScintilla: Qsci Directory Reference + + + + + + +
+
+ + + + + + +
+
QScintilla +  2.9 +
+
+
+ + + + +
+
+
+
Qsci Directory Reference
+
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Files

file  qsciabstractapis.h
 
file  qsciapis.h
 
file  qscicommand.h
 
file  qscicommandset.h
 
file  qscidocument.h
 
file  qsciglobal.h
 
file  qscilexer.h
 
file  qscilexeravs.h
 
file  qscilexerbash.h
 
file  qscilexerbatch.h
 
file  qscilexercmake.h
 
file  qscilexercoffeescript.h
 
file  qscilexercpp.h
 
file  qscilexercsharp.h
 
file  qscilexercss.h
 
file  qscilexercustom.h
 
file  qscilexerd.h
 
file  qscilexerdiff.h
 
file  qscilexerfortran.h
 
file  qscilexerfortran77.h
 
file  qscilexerhtml.h
 
file  qscilexeridl.h
 
file  qscilexerjava.h
 
file  qscilexerjavascript.h
 
file  qscilexerlua.h
 
file  qscilexermakefile.h
 
file  qscilexermatlab.h
 
file  qscilexeroctave.h
 
file  qscilexerpascal.h
 
file  qscilexerperl.h
 
file  qscilexerpo.h
 
file  qscilexerpostscript.h
 
file  qscilexerpov.h
 
file  qscilexerproperties.h
 
file  qscilexerpython.h
 
file  qscilexerruby.h
 
file  qscilexerspice.h
 
file  qscilexersql.h
 
file  qscilexertcl.h
 
file  qscilexertex.h
 
file  qscilexerverilog.h
 
file  qscilexervhdl.h
 
file  qscilexerxml.h
 
file  qscilexeryaml.h
 
file  qscimacro.h
 
file  qsciprinter.h
 
file  qsciscintilla.h
 
file  qsciscintillabase.h
 
file  qscistyle.h
 
file  qscistyledtext.h
 
+
+ + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/dir_a8871a339b4996dff2a2bca902b97660.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/dir_a8871a339b4996dff2a2bca902b97660.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/dir_a8871a339b4996dff2a2bca902b97660.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/dir_a8871a339b4996dff2a2bca902b97660.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,60 @@ + + + + + + +QScintilla: Qt4Qt5 Directory Reference + + + + + + +
+
+ + + + + + +
+
QScintilla +  2.9 +
+
+
+ + + + +
+
+
+
Qt4Qt5 Directory Reference
+
+
+ + + + +

+Directories

directory  Qsci
 
+
+ + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/dir_f9222a1fccc2f15158792f848cf34349.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/dir_f9222a1fccc2f15158792f848cf34349.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/dir_f9222a1fccc2f15158792f848cf34349.html 2014-09-11 17:15:21.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/dir_f9222a1fccc2f15158792f848cf34349.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,121 +0,0 @@ - - - - -QScintilla: /Users/phil/hg/QScintilla2/QScintilla-gpl-2.8.4/Qt4Qt5/Qsci/ Directory Reference - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - -
-
-
-
Qsci Directory Reference
-
-
-

-Files

-
    -
  • file qsciabstractapis.h -
  • file qsciapis.h -
  • file qscicommand.h -
  • file qscicommandset.h -
  • file qscidocument.h -
  • file qsciglobal.h -
  • file qscilexer.h -
  • file qscilexeravs.h -
  • file qscilexerbash.h -
  • file qscilexerbatch.h -
  • file qscilexercmake.h -
  • file qscilexercoffeescript.h -
  • file qscilexercpp.h -
  • file qscilexercsharp.h -
  • file qscilexercss.h -
  • file qscilexercustom.h -
  • file qscilexerd.h -
  • file qscilexerdiff.h -
  • file qscilexerfortran.h -
  • file qscilexerfortran77.h -
  • file qscilexerhtml.h -
  • file qscilexeridl.h -
  • file qscilexerjava.h -
  • file qscilexerjavascript.h -
  • file qscilexerlua.h -
  • file qscilexermakefile.h -
  • file qscilexermatlab.h -
  • file qscilexeroctave.h -
  • file qscilexerpascal.h -
  • file qscilexerperl.h -
  • file qscilexerpo.h -
  • file qscilexerpostscript.h -
  • file qscilexerpov.h -
  • file qscilexerproperties.h -
  • file qscilexerpython.h -
  • file qscilexerruby.h -
  • file qscilexerspice.h -
  • file qscilexersql.h -
  • file qscilexertcl.h -
  • file qscilexertex.h -
  • file qscilexerverilog.h -
  • file qscilexervhdl.h -
  • file qscilexerxml.h -
  • file qscilexeryaml.h -
  • file qscimacro.h -
  • file qsciprinter.h -
  • file qsciscintilla.h -
  • file qsciscintillabase.h -
  • file qscistyle.h -
  • file qscistyledtext.h -
-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/dirs.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/dirs.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/dirs.html 2014-09-11 17:15:21.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/dirs.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,67 +0,0 @@ - - - - -QScintilla: Directories - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - -
-
-
-
Directories
-
-
-
This directory hierarchy is sorted roughly, but not completely, alphabetically:
-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/doxygen.css qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/doxygen.css --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/doxygen.css 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/doxygen.css 2015-04-20 14:38:24.000000000 +0000 @@ -1,30 +1,50 @@ -/* The standard CSS for doxygen */ +/* The standard CSS for doxygen 1.8.8 */ body, table, div, p, dl { - font-family: Lucida Grande, Verdana, Geneva, Arial, sans-serif; - font-size: 12px; + font: 400 14px/22px Roboto,sans-serif; } /* @group Heading Levels */ -h1 { +h1.groupheader { font-size: 150%; } .title { + font: 400 14px/28px Roboto,sans-serif; font-size: 150%; font-weight: bold; margin: 10px 2px; } -h2 { - font-size: 120%; +h2.groupheader { + border-bottom: 1px solid #879ECB; + color: #354C7B; + font-size: 150%; + font-weight: normal; + margin-top: 1.75em; + padding-top: 8px; + padding-bottom: 4px; + width: 100%; } -h3 { +h3.groupheader { font-size: 100%; } +h1, h2, h3, h4, h5, h6 { + -webkit-transition: text-shadow 0.5s linear; + -moz-transition: text-shadow 0.5s linear; + -ms-transition: text-shadow 0.5s linear; + -o-transition: text-shadow 0.5s linear; + transition: text-shadow 0.5s linear; + margin-right: 15px; +} + +h1.glow, h2.glow, h3.glow, h4.glow, h5.glow, h6.glow { + text-shadow: 0 0 15px cyan; +} + dt { font-weight: bold; } @@ -36,10 +56,14 @@ -webkit-column-count: 3; } -p.startli, p.startdd, p.starttd { +p.startli, p.startdd { margin-top: 2px; } +p.starttd { + margin-top: 0px; +} + p.endli { margin-bottom: 0px; } @@ -121,12 +145,12 @@ a.elRef { } -a.code { - color: #4665A2; +a.code, a.code:visited, a.line, a.line:visited { + color: #4665A2; } -a.codeRef { - color: #4665A2; +a.codeRef, a.codeRef:visited, a.lineRef, a.lineRef:visited { + color: #4665A2; } /* @end */ @@ -135,20 +159,72 @@ margin-left: -1cm; } -.fragment { - font-family: monospace, fixed; - font-size: 105%; +pre.fragment { + border: 1px solid #C4CFE5; + background-color: #FBFCFD; + padding: 4px 6px; + margin: 4px 8px 4px 2px; + overflow: auto; + word-wrap: break-word; + font-size: 9pt; + line-height: 125%; + font-family: monospace, fixed; + font-size: 105%; } -pre.fragment { - border: 1px solid #C4CFE5; +div.fragment { + padding: 4px 6px; + margin: 4px 8px 4px 2px; background-color: #FBFCFD; - padding: 4px 6px; - margin: 4px 8px 4px 2px; - overflow: auto; - word-wrap: break-word; - font-size: 9pt; - line-height: 125%; + border: 1px solid #C4CFE5; +} + +div.line { + font-family: monospace, fixed; + font-size: 13px; + min-height: 13px; + line-height: 1.0; + text-wrap: unrestricted; + white-space: -moz-pre-wrap; /* Moz */ + white-space: -pre-wrap; /* Opera 4-6 */ + white-space: -o-pre-wrap; /* Opera 7 */ + white-space: pre-wrap; /* CSS3 */ + word-wrap: break-word; /* IE 5.5+ */ + text-indent: -53px; + padding-left: 53px; + padding-bottom: 0px; + margin: 0px; + -webkit-transition-property: background-color, box-shadow; + -webkit-transition-duration: 0.5s; + -moz-transition-property: background-color, box-shadow; + -moz-transition-duration: 0.5s; + -ms-transition-property: background-color, box-shadow; + -ms-transition-duration: 0.5s; + -o-transition-property: background-color, box-shadow; + -o-transition-duration: 0.5s; + transition-property: background-color, box-shadow; + transition-duration: 0.5s; +} + +div.line.glow { + background-color: cyan; + box-shadow: 0 0 10px cyan; +} + + +span.lineno { + padding-right: 4px; + text-align: right; + border-right: 2px solid #0F0; + background-color: #E8E8E8; + white-space: pre; +} +span.lineno a { + background-color: #D8D8D8; +} + +span.lineno a:hover { + background-color: #C8C8C8; } div.ah { @@ -188,7 +264,7 @@ div.contents { margin-top: 10px; - margin-left: 8px; + margin-left: 12px; margin-right: 8px; } @@ -198,6 +274,8 @@ border: 1px solid #C4CFE5; margin: 2px 0px 2px 0; padding: 2px 10px; + white-space: nowrap; + vertical-align: top; } td.indexvalue { @@ -290,6 +368,13 @@ color: #ff0000 } +blockquote { + background-color: #F7F8FB; + border-left: 2px solid #9CAFD4; + margin: 0 24px 0 4px; + padding: 0 12px 0 16px; +} + /* @end */ /* @@ -343,6 +428,24 @@ padding: 0px; } +.memberdecls td, .fieldtable tr { + -webkit-transition-property: background-color, box-shadow; + -webkit-transition-duration: 0.5s; + -moz-transition-property: background-color, box-shadow; + -moz-transition-duration: 0.5s; + -ms-transition-property: background-color, box-shadow; + -ms-transition-duration: 0.5s; + -o-transition-property: background-color, box-shadow; + -o-transition-duration: 0.5s; + transition-property: background-color, box-shadow; + transition-duration: 0.5s; +} + +.memberdecls td.glow, .fieldtable tr.glow { + background-color: cyan; + box-shadow: 0 0 15px cyan; +} + .mdescLeft, .mdescRight, .memItemLeft, .memItemRight, .memTemplItemLeft, .memTemplItemRight, .memTemplParams { @@ -357,8 +460,11 @@ color: #555; } -.memItemLeft, .memItemRight, .memTemplParams { - border-top: 1px solid #C4CFE5; +.memSeparator { + border-bottom: 1px solid #DEE4F0; + line-height: 1px; + margin: 0px; + padding: 0px; } .memItemLeft, .memTemplItemLeft { @@ -372,6 +478,7 @@ .memTemplParams { color: #4665A2; white-space: nowrap; + font-size: 80%; } /* @end */ @@ -404,14 +511,28 @@ padding: 0; margin-bottom: 10px; margin-right: 5px; + -webkit-transition: box-shadow 0.5s linear; + -moz-transition: box-shadow 0.5s linear; + -ms-transition: box-shadow 0.5s linear; + -o-transition: box-shadow 0.5s linear; + transition: box-shadow 0.5s linear; + display: table !important; + width: 100%; +} + +.memitem.glow { + box-shadow: 0 0 15px cyan; } .memname { - white-space: nowrap; font-weight: bold; margin-left: 6px; } +.memname td { + vertical-align: bottom; +} + .memproto, dl.reflist dt { border-top: 1px solid #A8B8D9; border-left: 1px solid #A8B8D9; @@ -420,21 +541,21 @@ color: #253555; font-weight: bold; text-shadow: 0px 1px 1px rgba(255, 255, 255, 0.9); + background-image:url('nav_f.png'); + background-repeat:repeat-x; + background-color: #E2E8F2; /* opera specific markup */ box-shadow: 5px 5px 5px rgba(0, 0, 0, 0.15); - border-top-right-radius: 8px; - border-top-left-radius: 8px; + border-top-right-radius: 4px; + border-top-left-radius: 4px; /* firefox specific markup */ -moz-box-shadow: rgba(0, 0, 0, 0.15) 5px 5px 5px; - -moz-border-radius-topright: 8px; - -moz-border-radius-topleft: 8px; + -moz-border-radius-topright: 4px; + -moz-border-radius-topleft: 4px; /* webkit specific markup */ -webkit-box-shadow: 5px 5px 5px rgba(0, 0, 0, 0.15); - -webkit-border-top-right-radius: 8px; - -webkit-border-top-left-radius: 8px; - background-image:url('nav_f.png'); - background-repeat:repeat-x; - background-color: #E2E8F2; + -webkit-border-top-right-radius: 4px; + -webkit-border-top-left-radius: 4px; } @@ -442,23 +563,24 @@ border-bottom: 1px solid #A8B8D9; border-left: 1px solid #A8B8D9; border-right: 1px solid #A8B8D9; - padding: 2px 5px; + padding: 6px 10px 2px 10px; background-color: #FBFCFD; border-top-width: 0; + background-image:url('nav_g.png'); + background-repeat:repeat-x; + background-color: #FFFFFF; /* opera specific markup */ - border-bottom-left-radius: 8px; - border-bottom-right-radius: 8px; + border-bottom-left-radius: 4px; + border-bottom-right-radius: 4px; box-shadow: 5px 5px 5px rgba(0, 0, 0, 0.15); /* firefox specific markup */ - -moz-border-radius-bottomleft: 8px; - -moz-border-radius-bottomright: 8px; + -moz-border-radius-bottomleft: 4px; + -moz-border-radius-bottomright: 4px; -moz-box-shadow: rgba(0, 0, 0, 0.15) 5px 5px 5px; - background-image: -moz-linear-gradient(center top, #FFFFFF 0%, #FFFFFF 60%, #F7F8FB 95%, #EEF1F7); /* webkit specific markup */ - -webkit-border-bottom-left-radius: 8px; - -webkit-border-bottom-right-radius: 8px; + -webkit-border-bottom-left-radius: 4px; + -webkit-border-bottom-right-radius: 4px; -webkit-box-shadow: 5px 5px 5px rgba(0, 0, 0, 0.15); - background-image: -webkit-gradient(linear,center top,center bottom,from(#FFFFFF), color-stop(0.6,#FFFFFF), color-stop(0.60,#FFFFFF), color-stop(0.95,#F7F8FB), to(#EEF1F7)); } dl.reflist dt { @@ -485,9 +607,13 @@ .paramname em { font-style: normal; } +.paramname code { + line-height: 14px; +} .params, .retval, .exception, .tparams { - border-spacing: 6px 2px; + margin-left: 0px; + padding-left: 0px; } .params .paramname, .retval .paramname { @@ -505,105 +631,191 @@ vertical-align: top; } +table.mlabels { + border-spacing: 0px; +} +td.mlabels-left { + width: 100%; + padding: 0px; +} +td.mlabels-right { + vertical-align: bottom; + padding: 0px; + white-space: nowrap; +} -/* @end */ - -/* @group Directory (tree) */ - -/* for the tree view */ +span.mlabels { + margin-left: 8px; +} -.ftvtree { - font-family: sans-serif; - margin: 0px; +span.mlabel { + background-color: #728DC1; + border-top:1px solid #5373B4; + border-left:1px solid #5373B4; + border-right:1px solid #C4CFE5; + border-bottom:1px solid #C4CFE5; + text-shadow: none; + color: white; + margin-right: 4px; + padding: 2px 3px; + border-radius: 3px; + font-size: 7pt; + white-space: nowrap; + vertical-align: middle; } -/* these are for tree view when used as main index */ -.directory { - font-size: 9pt; - font-weight: bold; - margin: 5px; -} -.directory h3 { - margin: 0px; - margin-top: 1em; - font-size: 11pt; -} +/* @end */ -/* -The following two styles can be used to replace the root node title -with an image of your choice. Simply uncomment the next two styles, -specify the name of your image and be sure to set 'height' to the -proper pixel height of your image. -*/ +/* these are for tree view inside a (index) page */ -/* -.directory h3.swap { - height: 61px; - background-repeat: no-repeat; - background-image: url("yourimage.gif"); +div.directory { + margin: 10px 0px; + border-top: 1px solid #9CAFD4; + border-bottom: 1px solid #9CAFD4; + width: 100%; } -.directory h3.swap span { - display: none; + +.directory table { + border-collapse:collapse; } -*/ -.directory > h3 { - margin-top: 0; +.directory td { + margin: 0px; + padding: 0px; + vertical-align: top; } -.directory p { - margin: 0px; - white-space: nowrap; +.directory td.entry { + white-space: nowrap; + padding-right: 6px; + padding-top: 3px; } -.directory div { - display: none; - margin: 0px; +.directory td.entry a { + outline:none; } -.directory img { - vertical-align: -30%; +.directory td.entry a img { + border: none; } -/* these are for tree view when not used as main index */ +.directory td.desc { + width: 100%; + padding-left: 6px; + padding-right: 6px; + padding-top: 3px; + border-left: 1px solid rgba(0,0,0,0.05); +} -.directory-alt { - font-size: 100%; - font-weight: bold; +.directory tr.even { + padding-left: 6px; + background-color: #F7F8FB; } -.directory-alt h3 { - margin: 0px; - margin-top: 1em; - font-size: 11pt; +.directory img { + vertical-align: -30%; } -.directory-alt > h3 { - margin-top: 0; +.directory .levels { + white-space: nowrap; + width: 100%; + text-align: right; + font-size: 9pt; } -.directory-alt p { - margin: 0px; - white-space: nowrap; +.directory .levels span { + cursor: pointer; + padding-left: 2px; + padding-right: 2px; + color: #3D578C; } -.directory-alt div { - display: none; - margin: 0px; +.arrow { + color: #9CAFD4; + -webkit-user-select: none; + -khtml-user-select: none; + -moz-user-select: none; + -ms-user-select: none; + user-select: none; + cursor: pointer; + font-size: 80%; + display: inline-block; + width: 16px; + height: 22px; +} + +.icon { + font-family: Arial, Helvetica; + font-weight: bold; + font-size: 12px; + height: 14px; + width: 16px; + display: inline-block; + background-color: #728DC1; + color: white; + text-align: center; + border-radius: 4px; + margin-left: 2px; + margin-right: 2px; +} + +.icona { + width: 24px; + height: 22px; + display: inline-block; +} + +.iconfopen { + width: 24px; + height: 18px; + margin-bottom: 4px; + background-image:url('ftv2folderopen.png'); + background-position: 0px -4px; + background-repeat: repeat-y; + vertical-align:top; + display: inline-block; +} + +.iconfclosed { + width: 24px; + height: 18px; + margin-bottom: 4px; + background-image:url('ftv2folderclosed.png'); + background-position: 0px -4px; + background-repeat: repeat-y; + vertical-align:top; + display: inline-block; +} + +.icondoc { + width: 24px; + height: 18px; + margin-bottom: 4px; + background-image:url('ftv2doc.png'); + background-position: 0px -4px; + background-repeat: repeat-y; + vertical-align:top; + display: inline-block; } -.directory-alt img { - vertical-align: -30%; +table.directory { + font: 400 14px Roboto,sans-serif; } /* @end */ div.dynheader { margin-top: 8px; + -webkit-touch-callout: none; + -webkit-user-select: none; + -khtml-user-select: none; + -moz-user-select: none; + -ms-user-select: none; + user-select: none; } address { @@ -613,6 +825,8 @@ table.doxtable { border-collapse:collapse; + margin-top: 4px; + margin-bottom: 4px; } table.doxtable td, table.doxtable th { @@ -626,11 +840,10 @@ font-size: 110%; padding-bottom: 4px; padding-top: 5px; - text-align:left; } table.fieldtable { - width: 100%; + /*width: 100%;*/ margin-bottom: 10px; border: 1px solid #A8B8D9; border-spacing: 0px; @@ -653,9 +866,21 @@ vertical-align: top; } +.fieldtable td.fieldname { + padding-top: 3px; +} + .fieldtable td.fielddoc { border-bottom: 1px solid #A8B8D9; - width: 100%; + /*width: 100%;*/ +} + +.fieldtable td.fielddoc p:first-child { + margin-top: 0px; +} + +.fieldtable td.fielddoc p:last-child { + margin-bottom: 2px; } .fieldtable tr:last-child td { @@ -696,6 +921,7 @@ font-size: 11px; background-image:url('tab_b.png'); background-repeat:repeat-x; + background-position: 0 -5px; height:30px; line-height:30px; color:#8AA0CC; @@ -723,6 +949,10 @@ display:block; text-decoration: none; outline: none; + color: #283A5D; + font-family: 'Lucida Grande',Geneva,Helvetica,Arial,sans-serif; + text-shadow: 0px 1px 1px rgba(255, 255, 255, 0.9); + text-decoration: none; } .navpath li.navelem a:hover @@ -760,9 +990,7 @@ div.ingroups { - margin-left: 5px; font-size: 8pt; - padding-left: 5px; width: 50%; text-align: left; } @@ -783,7 +1011,7 @@ div.headertitle { - padding: 5px 5px 5px 7px; + padding: 5px 5px 5px 10px; } dl @@ -791,47 +1019,74 @@ padding: 0 0 0 10px; } -dl.note, dl.warning, dl.attention, dl.pre, dl.post, dl.invariant, dl.deprecated, dl.todo, dl.test, dl.bug +/* dl.note, dl.warning, dl.attention, dl.pre, dl.post, dl.invariant, dl.deprecated, dl.todo, dl.test, dl.bug */ +dl.section { - border-left:4px solid; - padding: 0 0 0 6px; + margin-left: 0px; + padding-left: 0px; } dl.note { + margin-left:-7px; + padding-left: 3px; + border-left:4px solid; border-color: #D0C000; } dl.warning, dl.attention { + margin-left:-7px; + padding-left: 3px; + border-left:4px solid; border-color: #FF0000; } dl.pre, dl.post, dl.invariant { + margin-left:-7px; + padding-left: 3px; + border-left:4px solid; border-color: #00D000; } dl.deprecated { + margin-left:-7px; + padding-left: 3px; + border-left:4px solid; border-color: #505050; } dl.todo { + margin-left:-7px; + padding-left: 3px; + border-left:4px solid; border-color: #00C0E0; } dl.test { + margin-left:-7px; + padding-left: 3px; + border-left:4px solid; border-color: #3030E0; } dl.bug { + margin-left:-7px; + padding-left: 3px; + border-left:4px solid; border-color: #C08050; } +dl.section dd { + margin-bottom: 6px; +} + + #projectlogo { text-align: center; @@ -888,6 +1143,11 @@ text-align: center; } +.diagraph +{ + text-align: center; +} + .caption { font-weight: bold; @@ -915,6 +1175,250 @@ padding:5px 0; } +div.toc { + padding: 14px 25px; + background-color: #F4F6FA; + border: 1px solid #D8DFEE; + border-radius: 7px 7px 7px 7px; + float: right; + height: auto; + margin: 0 20px 10px 10px; + width: 200px; +} + +div.toc li { + background: url("bdwn.png") no-repeat scroll 0 5px transparent; + font: 10px/1.2 Verdana,DejaVu Sans,Geneva,sans-serif; + margin-top: 5px; + padding-left: 10px; + padding-top: 2px; +} + +div.toc h3 { + font: bold 12px/1.2 Arial,FreeSans,sans-serif; + color: #4665A2; + border-bottom: 0 none; + margin: 0; +} + +div.toc ul { + list-style: none outside none; + border: medium none; + padding: 0px; +} + +div.toc li.level1 { + margin-left: 0px; +} + +div.toc li.level2 { + margin-left: 15px; +} + +div.toc li.level3 { + margin-left: 30px; +} + +div.toc li.level4 { + margin-left: 45px; +} + +.inherit_header { + font-weight: bold; + color: gray; + cursor: pointer; + -webkit-touch-callout: none; + -webkit-user-select: none; + -khtml-user-select: none; + -moz-user-select: none; + -ms-user-select: none; + user-select: none; +} + +.inherit_header td { + padding: 6px 0px 2px 5px; +} + +.inherit { + display: none; +} + +tr.heading h2 { + margin-top: 12px; + margin-bottom: 4px; +} + +/* tooltip related style info */ + +.ttc { + position: absolute; + display: none; +} + +#powerTip { + cursor: default; + white-space: nowrap; + background-color: white; + border: 1px solid gray; + border-radius: 4px 4px 4px 4px; + box-shadow: 1px 1px 7px gray; + display: none; + font-size: smaller; + max-width: 80%; + opacity: 0.9; + padding: 1ex 1em 1em; + position: absolute; + z-index: 2147483647; +} + +#powerTip div.ttdoc { + color: grey; + font-style: italic; +} + +#powerTip div.ttname a { + font-weight: bold; +} + +#powerTip div.ttname { + font-weight: bold; +} + +#powerTip div.ttdeci { + color: #006318; +} + +#powerTip div { + margin: 0px; + padding: 0px; + font: 12px/16px Roboto,sans-serif; +} + +#powerTip:before, #powerTip:after { + content: ""; + position: absolute; + margin: 0px; +} + +#powerTip.n:after, #powerTip.n:before, +#powerTip.s:after, #powerTip.s:before, +#powerTip.w:after, #powerTip.w:before, +#powerTip.e:after, #powerTip.e:before, +#powerTip.ne:after, #powerTip.ne:before, +#powerTip.se:after, #powerTip.se:before, +#powerTip.nw:after, #powerTip.nw:before, +#powerTip.sw:after, #powerTip.sw:before { + border: solid transparent; + content: " "; + height: 0; + width: 0; + position: absolute; +} + +#powerTip.n:after, #powerTip.s:after, +#powerTip.w:after, #powerTip.e:after, +#powerTip.nw:after, #powerTip.ne:after, +#powerTip.sw:after, #powerTip.se:after { + border-color: rgba(255, 255, 255, 0); +} + +#powerTip.n:before, #powerTip.s:before, +#powerTip.w:before, #powerTip.e:before, +#powerTip.nw:before, #powerTip.ne:before, +#powerTip.sw:before, #powerTip.se:before { + border-color: rgba(128, 128, 128, 0); +} + +#powerTip.n:after, #powerTip.n:before, +#powerTip.ne:after, #powerTip.ne:before, +#powerTip.nw:after, #powerTip.nw:before { + top: 100%; +} + +#powerTip.n:after, #powerTip.ne:after, #powerTip.nw:after { + border-top-color: #ffffff; + border-width: 10px; + margin: 0px -10px; +} +#powerTip.n:before { + border-top-color: #808080; + border-width: 11px; + margin: 0px -11px; +} +#powerTip.n:after, #powerTip.n:before { + left: 50%; +} + +#powerTip.nw:after, #powerTip.nw:before { + right: 14px; +} + +#powerTip.ne:after, #powerTip.ne:before { + left: 14px; +} + +#powerTip.s:after, #powerTip.s:before, +#powerTip.se:after, #powerTip.se:before, +#powerTip.sw:after, #powerTip.sw:before { + bottom: 100%; +} + +#powerTip.s:after, #powerTip.se:after, #powerTip.sw:after { + border-bottom-color: #ffffff; + border-width: 10px; + margin: 0px -10px; +} + +#powerTip.s:before, #powerTip.se:before, #powerTip.sw:before { + border-bottom-color: #808080; + border-width: 11px; + margin: 0px -11px; +} + +#powerTip.s:after, #powerTip.s:before { + left: 50%; +} + +#powerTip.sw:after, #powerTip.sw:before { + right: 14px; +} + +#powerTip.se:after, #powerTip.se:before { + left: 14px; +} + +#powerTip.e:after, #powerTip.e:before { + left: 100%; +} +#powerTip.e:after { + border-left-color: #ffffff; + border-width: 10px; + top: 50%; + margin-top: -10px; +} +#powerTip.e:before { + border-left-color: #808080; + border-width: 11px; + top: 50%; + margin-top: -11px; +} + +#powerTip.w:after, #powerTip.w:before { + right: 100%; +} +#powerTip.w:after { + border-right-color: #ffffff; + border-width: 10px; + top: 50%; + margin-top: -10px; +} +#powerTip.w:before { + border-right-color: #808080; + border-width: 11px; + top: 50%; + margin-top: -11px; +} + @media print { #top { display: none; } @@ -932,15 +1436,5 @@ overflow:inherit; display:inline; } - pre.fragment - { - overflow: visible; - text-wrap: unrestricted; - white-space: -moz-pre-wrap; /* Moz */ - white-space: -pre-wrap; /* Opera 4-6 */ - white-space: -o-pre-wrap; /* Opera 7 */ - white-space: pre-wrap; /* CSS3 */ - word-wrap: break-word; /* IE 5.5+ */ - } } Binary files /tmp/7AlgZry3DG/qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/doxygen.png and /tmp/ENOspktJtZ/qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/doxygen.png differ diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/dynsections.js qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/dynsections.js --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/dynsections.js 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/dynsections.js 2015-04-20 14:38:24.000000000 +0000 @@ -0,0 +1,97 @@ +function toggleVisibility(linkObj) +{ + var base = $(linkObj).attr('id'); + var summary = $('#'+base+'-summary'); + var content = $('#'+base+'-content'); + var trigger = $('#'+base+'-trigger'); + var src=$(trigger).attr('src'); + if (content.is(':visible')===true) { + content.hide(); + summary.show(); + $(linkObj).addClass('closed').removeClass('opened'); + $(trigger).attr('src',src.substring(0,src.length-8)+'closed.png'); + } else { + content.show(); + summary.hide(); + $(linkObj).removeClass('closed').addClass('opened'); + $(trigger).attr('src',src.substring(0,src.length-10)+'open.png'); + } + return false; +} + +function updateStripes() +{ + $('table.directory tr'). + removeClass('even').filter(':visible:even').addClass('even'); +} + +function toggleLevel(level) +{ + $('table.directory tr').each(function() { + var l = this.id.split('_').length-1; + var i = $('#img'+this.id.substring(3)); + var a = $('#arr'+this.id.substring(3)); + if (l - - - -QScintilla: Class Members - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-
Here is a list of all documented class members with links to the class documentation for each member:
- -

- b -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x63.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x63.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x63.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x63.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,399 +0,0 @@ - - - - -QScintilla: Class Members - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-
Here is a list of all documented class members with links to the class documentation for each member:
- -

- c -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x64.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x64.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x64.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x64.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,435 +0,0 @@ - - - - -QScintilla: Class Members - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-
Here is a list of all documented class members with links to the class documentation for each member:
- -

- d -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x65.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x65.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x65.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x65.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,209 +0,0 @@ - - - - -QScintilla: Class Members - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-
Here is a list of all documented class members with links to the class documentation for each member:
- -

- e -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x66.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x66.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x66.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x66.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,274 +0,0 @@ - - - - -QScintilla: Class Members - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-
Here is a list of all documented class members with links to the class documentation for each member:
- -

- f -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x67.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x67.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x67.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x67.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,122 +0,0 @@ - - - - -QScintilla: Class Members - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-
Here is a list of all documented class members with links to the class documentation for each member:
- -

- g -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x68.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x68.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x68.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x68.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,195 +0,0 @@ - - - - -QScintilla: Class Members - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-
Here is a list of all documented class members with links to the class documentation for each member:
- -

- h -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x69.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x69.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x69.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x69.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,229 +0,0 @@ - - - - -QScintilla: Class Members - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-
Here is a list of all documented class members with links to the class documentation for each member:
- -

- i -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x6a.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x6a.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x6a.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x6a.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,145 +0,0 @@ - - - - -QScintilla: Class Members - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-
Here is a list of all documented class members with links to the class documentation for each member:
- -

- j -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x6b.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x6b.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x6b.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x6b.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,214 +0,0 @@ - - - - -QScintilla: Class Members - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-
Here is a list of all documented class members with links to the class documentation for each member:
- -

- k -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x6c.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x6c.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x6c.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x6c.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,427 +0,0 @@ - - - - -QScintilla: Class Members - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-
Here is a list of all documented class members with links to the class documentation for each member:
- -

- l -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x6d.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x6d.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x6d.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x6d.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,241 +0,0 @@ - - - - -QScintilla: Class Members - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-
Here is a list of all documented class members with links to the class documentation for each member:
- -

- m -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x6e.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x6e.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x6e.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x6e.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,150 +0,0 @@ - - - - -QScintilla: Class Members - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-
Here is a list of all documented class members with links to the class documentation for each member:
- -

- n -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x6f.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x6f.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x6f.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x6f.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,141 +0,0 @@ - - - - -QScintilla: Class Members - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-
Here is a list of all documented class members with links to the class documentation for each member:
- -

- o -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x70.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x70.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x70.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x70.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,346 +0,0 @@ - - - - -QScintilla: Class Members - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-
Here is a list of all documented class members with links to the class documentation for each member:
- -

- p -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x71.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x71.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x71.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x71.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,145 +0,0 @@ - - - - -QScintilla: Class Members - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-
Here is a list of all documented class members with links to the class documentation for each member:
- -

- q -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x72.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x72.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x72.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x72.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,252 +0,0 @@ - - - - -QScintilla: Class Members - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-
Here is a list of all documented class members with links to the class documentation for each member:
- -

- r -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x73.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x73.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x73.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x73.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,1575 +0,0 @@ - - - - -QScintilla: Class Members - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-
Here is a list of all documented class members with links to the class documentation for each member:
- -

- s -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x74.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x74.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x74.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x74.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,205 +0,0 @@ - - - - -QScintilla: Class Members - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-
Here is a list of all documented class members with links to the class documentation for each member:
- -

- t -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x75.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x75.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x75.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x75.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,159 +0,0 @@ - - - - -QScintilla: Class Members - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-
Here is a list of all documented class members with links to the class documentation for each member:
- -

- u -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x76.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x76.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x76.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x76.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,180 +0,0 @@ - - - - -QScintilla: Class Members - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-
Here is a list of all documented class members with links to the class documentation for each member:
- -

- v -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x77.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x77.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x77.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x77.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,268 +0,0 @@ - - - - -QScintilla: Class Members - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-
Here is a list of all documented class members with links to the class documentation for each member:
- -

- w -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x78.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x78.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x78.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x78.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,115 +0,0 @@ - - - - -QScintilla: Class Members - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-
Here is a list of all documented class members with links to the class documentation for each member:
- -

- x -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x7a.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x7a.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x7a.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x7a.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,124 +0,0 @@ - - - - -QScintilla: Class Members - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-
Here is a list of all documented class members with links to the class documentation for each member:
- -

- z -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x7e.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x7e.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_0x7e.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_0x7e.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,238 +0,0 @@ - - - - -QScintilla: Class Members - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-
Here is a list of all documented class members with links to the class documentation for each member:
- -

- ~ -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_b.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_b.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_b.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_b.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,193 @@ + + + + + + +QScintilla: Class Members + + + + + + +
+
+ + + + + + +
+
QScintilla +  2.9 +
+
+
+ + + + + + +
+
+
Here is a list of all documented class members with links to the class documentation for each member:
+ +

- b -

+
+ + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_c.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_c.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_c.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_c.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,392 @@ + + + + + + +QScintilla: Class Members + + + + + + +
+
+ + + + + + +
+
QScintilla +  2.9 +
+
+
+ + + + + + +
+
+
Here is a list of all documented class members with links to the class documentation for each member:
+ +

- c -

+
+ + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_d.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_d.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_d.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_d.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,432 @@ + + + + + + +QScintilla: Class Members + + + + + + +
+
+ + + + + + +
+
QScintilla +  2.9 +
+
+
+ + + + + + +
+
+
Here is a list of all documented class members with links to the class documentation for each member:
+ +

- d -

+
+ + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_e.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_e.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_e.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_e.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,201 @@ + + + + + + +QScintilla: Class Members + + + + + + +
+
+ + + + + + +
+
QScintilla +  2.9 +
+
+
+ + + + + + +
+
+
Here is a list of all documented class members with links to the class documentation for each member:
+ +

- e -

+
+ + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_enum.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_enum.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_enum.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_enum.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Class Members - Enumerations - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + -
+
  -
- - + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x62.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x62.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x62.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x62.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,185 +0,0 @@ - - - - -QScintilla: Class Members - Enumerator - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-  - -

- b -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x63.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x63.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x63.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x63.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,289 +0,0 @@ - - - - -QScintilla: Class Members - Enumerator - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-  - -

- c -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x64.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x64.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x64.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x64.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,242 +0,0 @@ - - - - -QScintilla: Class Members - Enumerator - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-  - -

- d -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x65.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x65.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x65.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x65.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,153 +0,0 @@ - - - - -QScintilla: Class Members - Enumerator - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-  - -

- e -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x66.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x66.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x66.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x66.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,135 +0,0 @@ - - - - -QScintilla: Class Members - Enumerator - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-  - -

- f -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x67.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x67.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x67.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x67.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,115 +0,0 @@ - - - - -QScintilla: Class Members - Enumerator - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-  - -

- g -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x68.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x68.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x68.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x68.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,173 +0,0 @@ - - - - -QScintilla: Class Members - Enumerator - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-  - -

- h -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x69.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x69.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x69.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x69.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,150 +0,0 @@ - - - - -QScintilla: Class Members - Enumerator - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-  - -

- i -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x6a.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x6a.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x6a.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x6a.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,144 +0,0 @@ - - - - -QScintilla: Class Members - Enumerator - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-  - -

- j -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x6b.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x6b.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x6b.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x6b.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,172 +0,0 @@ - - - - -QScintilla: Class Members - Enumerator - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
- - - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x6c.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x6c.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x6c.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x6c.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,202 +0,0 @@ - - - - -QScintilla: Class Members - Enumerator - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-  - -

- l -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x6d.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x6d.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x6d.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x6d.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,156 +0,0 @@ - - - - -QScintilla: Class Members - Enumerator - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-  - -

- m -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x6e.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x6e.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x6e.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x6e.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,149 +0,0 @@ - - - - -QScintilla: Class Members - Enumerator - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
- - - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x6f.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x6f.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x6f.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x6f.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,137 +0,0 @@ - - - - -QScintilla: Class Members - Enumerator - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
- - - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x70.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x70.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x70.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x70.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,302 +0,0 @@ - - - - -QScintilla: Class Members - Enumerator - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-  - -

- p -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x71.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x71.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x71.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x71.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,138 +0,0 @@ - - - - -QScintilla: Class Members - Enumerator - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-  - -

- q -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x72.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x72.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x72.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x72.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,140 +0,0 @@ - - - - -QScintilla: Class Members - Enumerator - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-  - -

- r -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x73.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x73.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x73.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x73.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,935 +0,0 @@ - - - - -QScintilla: Class Members - Enumerator - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-  - -

- s -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x74.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x74.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x74.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x74.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,176 +0,0 @@ - - - - -QScintilla: Class Members - Enumerator - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-  - -

- t -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x75.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x75.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x75.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x75.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,145 +0,0 @@ - - - - -QScintilla: Class Members - Enumerator - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-  - -

- u -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x76.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x76.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x76.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x76.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,164 +0,0 @@ - - - - -QScintilla: Class Members - Enumerator - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-  - -

- v -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x77.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x77.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x77.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x77.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,180 +0,0 @@ - - - - -QScintilla: Class Members - Enumerator - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-  - -

- w -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x78.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x78.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x78.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x78.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,114 +0,0 @@ - - - - -QScintilla: Class Members - Enumerator - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-  - -

- x -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x7a.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x7a.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_0x7a.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_0x7a.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,111 +0,0 @@ - - - - -QScintilla: Class Members - Enumerator - - - - - - - - -
- - -
- - - - - - - - - - - -
-
QScintilla -  2.8.4 -
- -
-
- - - - - - -
-
-  - -

- z -

-
- - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_b.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_b.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_b.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_b.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,177 @@ + + + + + + +QScintilla: Class Members - Enumerator + + + + + + +
+
+ + + + + + +
+
QScintilla +  2.9 +
+
+
+ + + + + + +
+
+  + +

- b -

+
+ + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_c.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_c.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_c.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_c.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,282 @@ + + + + + + +QScintilla: Class Members - Enumerator + + + + + + +
+
+ + + + + + +
+
QScintilla +  2.9 +
+
+
+ + + + + + +
+
+  + +

- c -

+
+ + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_d.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_d.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_d.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_d.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,240 @@ + + + + + + +QScintilla: Class Members - Enumerator + + + + + + +
+
+ + + + + + +
+
QScintilla +  2.9 +
+
+
+ + + + + + +
+
+  + +

- d -

+
+ + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_e.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_e.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_e.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_e.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,145 @@ + + + + + + +QScintilla: Class Members - Enumerator + + + + + + +
+
+ + + + + + +
+
QScintilla +  2.9 +
+
+
+ + + + + + +
+
+  + +

- e -

+
+ + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_f.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_f.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_f.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_f.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,127 @@ + + + + + + +QScintilla: Class Members - Enumerator + + + + + + +
+
+ + + + + + +
+
QScintilla +  2.9 +
+
+
+ + + + + + +
+
+  + +

- f -

+
+ + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_g.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_g.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_g.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_g.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,104 @@ + + + + + + +QScintilla: Class Members - Enumerator + + + + + + +
+
+ + + + + + +
+
QScintilla +  2.9 +
+
+
+ + + + + + +
+
+  + +

- g -

+
+ + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_h.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_h.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_h.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_h.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,162 @@ + + + + + + +QScintilla: Class Members - Enumerator + + + + + + +
+
+ + + + + + +
+
QScintilla +  2.9 +
+
+
+ + + + + + +
+
+  + +

- h -

+
+ + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Class Members - Enumerator - + + - - - -
- - +
- - - - -
QScintilla -  2.8.4 +  2.9
-
- - + + +
  @@ -127,6 +118,9 @@
  • AnnotationHidden : QsciScintilla
  • +
  • AnnotationIndented +: QsciScintilla +
  • AnnotationStandard : QsciScintilla
  • @@ -262,14 +256,12 @@ , QsciLexerVHDL -
    - - +
    + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_i.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_i.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_i.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_i.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,139 @@ + + + + + + +QScintilla: Class Members - Enumerator + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +  + +

    - i -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_j.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_j.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_j.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_j.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,133 @@ + + + + + + +QScintilla: Class Members - Enumerator + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +  + +

    - j -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_k.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_k.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_k.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_k.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,161 @@ + + + + + + +QScintilla: Class Members - Enumerator + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    + + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_l.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_l.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_l.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_l.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,191 @@ + + + + + + +QScintilla: Class Members - Enumerator + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +  + +

    - l -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_m.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_m.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_m.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_m.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,145 @@ + + + + + + +QScintilla: Class Members - Enumerator + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +  + +

    - m -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_n.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_n.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_n.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_n.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,138 @@ + + + + + + +QScintilla: Class Members - Enumerator + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    + + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_o.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_o.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_o.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_o.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,126 @@ + + + + + + +QScintilla: Class Members - Enumerator + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    + + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_p.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_p.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_p.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_p.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,296 @@ + + + + + + +QScintilla: Class Members - Enumerator + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +  + +

    - p -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_q.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_q.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_q.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_q.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,130 @@ + + + + + + +QScintilla: Class Members - Enumerator + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +  + +

    - q -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_r.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_r.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_r.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_r.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,129 @@ + + + + + + +QScintilla: Class Members - Enumerator + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +  + +

    - r -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_s.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_s.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_s.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_s.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,951 @@ + + + + + + +QScintilla: Class Members - Enumerator + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +  + +

    - s -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_t.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_t.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_t.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_t.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,174 @@ + + + + + + +QScintilla: Class Members - Enumerator + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +  + +

    - t -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_u.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_u.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_u.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_u.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,137 @@ + + + + + + +QScintilla: Class Members - Enumerator + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +  + +

    - u -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_v.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_v.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_v.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_v.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,153 @@ + + + + + + +QScintilla: Class Members - Enumerator + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +  + +

    - v -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_w.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_w.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_w.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_w.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,172 @@ + + + + + + +QScintilla: Class Members - Enumerator + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +  + +

    - w -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_x.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_x.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_x.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_x.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,103 @@ + + + + + + +QScintilla: Class Members - Enumerator + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +  + +

    - x -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_z.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_z.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_eval_z.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_eval_z.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,100 @@ + + + + + + +QScintilla: Class Members - Enumerator + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +  + +

    - z -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_f.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_f.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_f.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_f.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,266 @@ + + + + + + +QScintilla: Class Members + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +
    Here is a list of all documented class members with links to the class documentation for each member:
    + +

    - f -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x62.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x62.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x62.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x62.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,115 +0,0 @@ - - - - -QScintilla: Class Members - Functions - - - - - - - - -
    - - -
    - - - - - - - - - - - -
    -
    QScintilla -  2.8.4 -
    - -
    -
    - - - - - - -
    -
    -  - -

    - b -

    -
    - - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x63.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x63.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x63.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x63.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,205 +0,0 @@ - - - - -QScintilla: Class Members - Functions - - - - - - - - -
    - - -
    - - - - - - - - - - - -
    -
    QScintilla -  2.8.4 -
    - -
    -
    - - - - - - -
    -
    -  - -

    - c -

    -
    - - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x64.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x64.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x64.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x64.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,294 +0,0 @@ - - - - -QScintilla: Class Members - Functions - - - - - - - - -
    - - -
    - - - - - - - - - - - -
    -
    QScintilla -  2.8.4 -
    - -
    -
    - - - - - - -
    -
    -  - -

    - d -

    -
    - - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x65.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x65.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x65.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x65.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,152 +0,0 @@ - - - - -QScintilla: Class Members - Functions - - - - - - - - -
    - - -
    - - - - - - - - - - - -
    -
    QScintilla -  2.8.4 -
    - -
    -
    - - - - - - -
    -
    -  - -

    - e -

    -
    - - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x66.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x66.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x66.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x66.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,238 +0,0 @@ - - - - -QScintilla: Class Members - Functions - - - - - - - - -
    - - -
    - - - - - - - - - - - -
    -
    QScintilla -  2.8.4 -
    - -
    -
    - - - - - - -
    -
    -  - -

    - f -

    -
    - - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x67.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x67.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x67.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x67.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,109 +0,0 @@ - - - - -QScintilla: Class Members - Functions - - - - - - - - -
    - - -
    - - - - - - - - - - - -
    -
    QScintilla -  2.8.4 -
    - -
    -
    - - - - - - -
    -
    -  - -

    - g -

    -
    - - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x68.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x68.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x68.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x68.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,124 +0,0 @@ - - - - -QScintilla: Class Members - Functions - - - - - - - - -
    - - -
    - - - - - - - - - - - -
    -
    QScintilla -  2.8.4 -
    - -
    -
    - - - - - - -
    -
    -  - -

    - h -

    -
    - - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x69.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x69.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x69.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x69.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,175 +0,0 @@ - - - - -QScintilla: Class Members - Functions - - - - - - - - -
    - - -
    - - - - - - - - - - - -
    -
    QScintilla -  2.8.4 -
    - -
    -
    - - - - - - -
    -
    -  - -

    - i -

    -
    - - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x6b.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x6b.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x6b.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x6b.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,144 +0,0 @@ - - - - -QScintilla: Class Members - Functions - - - - - - - - -
    - - -
    - - - - - - - - - - - -
    -
    QScintilla -  2.8.4 -
    - -
    -
    - - - - - - -
    - - - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x6c.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x6c.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x6c.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x6c.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,327 +0,0 @@ - - - - -QScintilla: Class Members - Functions - - - - - - - - -
    - - -
    - - - - - - - - - - - -
    -
    QScintilla -  2.8.4 -
    - -
    -
    - - - - - - -
    -
    -  - -

    - l -

    -
    - - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x6d.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x6d.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x6d.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x6d.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,181 +0,0 @@ - - - - -QScintilla: Class Members - Functions - - - - - - - - -
    - - -
    - - - - - - - - - - - -
    -
    QScintilla -  2.8.4 -
    - -
    -
    - - - - - - -
    -
    -  - -

    - m -

    -
    - - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x6f.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x6f.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x6f.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x6f.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,106 +0,0 @@ - - - - -QScintilla: Class Members - Functions - - - - - - - - -
    - - -
    - - - - - - - - - - - -
    -
    QScintilla -  2.8.4 -
    - -
    -
    - - - - - - -
    -
    -  - -

    - o -

    -
    - - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x70.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x70.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x70.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x70.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,144 +0,0 @@ - - - - -QScintilla: Class Members - Functions - - - - - - - - -
    - - -
    - - - - - - - - - - - -
    -
    QScintilla -  2.8.4 -
    - -
    -
    - - - - - - -
    -
    -  - -

    - p -

    -
    - - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x71.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x71.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x71.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x71.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,109 +0,0 @@ - - - - -QScintilla: Class Members - Functions - - - - - - - - -
    - - -
    - - - - - - - - - - - -
    -
    QScintilla -  2.8.4 -
    - -
    -
    - - - - - - -
    -
    -  - -

    - q -

    -
    - - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x72.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x72.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x72.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x72.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,214 +0,0 @@ - - - - -QScintilla: Class Members - Functions - - - - - - - - -
    - - -
    - - - - - - - - - - - -
    -
    QScintilla -  2.8.4 -
    - -
    -
    - - - - - - -
    -
    -  - -

    - r -

    -
    - - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x73.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x73.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x73.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x73.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,742 +0,0 @@ - - - - -QScintilla: Class Members - Functions - - - - - - - - -
    - - -
    - - - - - - - - - - - -
    -
    QScintilla -  2.8.4 -
    - -
    -
    - - - - - - -
    -
    -  - -

    - s -

    -
    - - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x74.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x74.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x74.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x74.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,129 +0,0 @@ - - - - -QScintilla: Class Members - Functions - - - - - - - - -
    - - -
    - - - - - - - - - - - -
    -
    QScintilla -  2.8.4 -
    - -
    -
    - - - - - - -
    -
    -  - -

    - t -

    -
    - - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x75.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x75.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x75.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x75.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,116 +0,0 @@ - - - - -QScintilla: Class Members - Functions - - - - - - - - -
    - - -
    - - - - - - - - - - - -
    -
    QScintilla -  2.8.4 -
    - -
    -
    - - - - - - -
    -
    -  - -

    - u -

    -
    - - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x76.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x76.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x76.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x76.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,118 +0,0 @@ - - - - -QScintilla: Class Members - Functions - - - - - - - - -
    - - -
    - - - - - - - - - - - -
    -
    QScintilla -  2.8.4 -
    - -
    -
    - - - - - - -
    -
    -  - -

    - v -

    -
    - - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x77.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x77.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x77.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x77.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,176 +0,0 @@ - - - - -QScintilla: Class Members - Functions - - - - - - - - -
    - - -
    - - - - - - - - - - - -
    -
    QScintilla -  2.8.4 -
    - -
    -
    - - - - - - -
    - - - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x7a.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x7a.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x7a.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x7a.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,112 +0,0 @@ - - - - -QScintilla: Class Members - Functions - - - - - - - - -
    - - -
    - - - - - - - - - - - -
    -
    QScintilla -  2.8.4 -
    - -
    -
    - - - - - - -
    -
    -  - -

    - z -

    -
    - - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x7e.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x7e.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_0x7e.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_0x7e.html 1970-01-01 00:00:00.000000000 +0000 @@ -1,235 +0,0 @@ - - - - -QScintilla: Class Members - Functions - - - - - - - - -
    - - -
    - - - - - - - - - - - -
    -
    QScintilla -  2.8.4 -
    - -
    -
    - - - - - - -
    -
    -  - -

    - ~ -

    -
    - - - - - - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_b.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_b.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_b.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_b.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,104 @@ + + + + + + +QScintilla: Class Members - Functions + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +  + +

    - b -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_c.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_c.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_c.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_c.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,194 @@ + + + + + + +QScintilla: Class Members - Functions + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +  + +

    - c -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_d.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_d.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_d.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_d.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,283 @@ + + + + + + +QScintilla: Class Members - Functions + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +  + +

    - d -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_e.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_e.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_e.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_e.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,141 @@ + + + + + + +QScintilla: Class Members - Functions + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +  + +

    - e -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_f.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_f.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_f.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_f.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,227 @@ + + + + + + +QScintilla: Class Members - Functions + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +  + +

    - f -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_g.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_g.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_g.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_g.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,98 @@ + + + + + + +QScintilla: Class Members - Functions + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +  + +

    - g -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_h.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_h.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_h.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_h.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,119 @@ + + + + + + +QScintilla: Class Members - Functions + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +  + +

    - h -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_~.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_~.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_~.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_~.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,224 @@ + + + + + + +QScintilla: Class Members - Functions + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +  + +

    - ~ -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Class Members - Functions - + + - - - -
    - - +
    - - - - -
    QScintilla -  2.8.4 +  2.9
    -
    - - + + +
      @@ -169,14 +160,12 @@ : QsciAPIs -
    - - +
    + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_i.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_i.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_i.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_i.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,164 @@ + + + + + + +QScintilla: Class Members - Functions + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +  + +

    - i -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_k.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_k.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_k.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_k.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,133 @@ + + + + + + +QScintilla: Class Members - Functions + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    + + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_l.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_l.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_l.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_l.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,316 @@ + + + + + + +QScintilla: Class Members - Functions + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +  + +

    - l -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_m.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_m.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_m.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_m.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,170 @@ + + + + + + +QScintilla: Class Members - Functions + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +  + +

    - m -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_o.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_o.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_o.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_o.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,95 @@ + + + + + + +QScintilla: Class Members - Functions + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +  + +

    - o -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_p.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_p.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_p.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_p.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,133 @@ + + + + + + +QScintilla: Class Members - Functions + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +  + +

    - p -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_q.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_q.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_q.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_q.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,98 @@ + + + + + + +QScintilla: Class Members - Functions + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +  + +

    - q -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_r.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_r.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_r.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_r.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,203 @@ + + + + + + +QScintilla: Class Members - Functions + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +  + +

    - r -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_s.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_s.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_s.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_s.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,746 @@ + + + + + + +QScintilla: Class Members - Functions + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +  + +

    - s -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_t.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_t.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_t.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_t.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,117 @@ + + + + + + +QScintilla: Class Members - Functions + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +  + +

    - t -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_u.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_u.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_u.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_u.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,105 @@ + + + + + + +QScintilla: Class Members - Functions + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +  + +

    - u -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_v.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_v.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_v.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_v.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,110 @@ + + + + + + +QScintilla: Class Members - Functions + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +  + +

    - v -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_w.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_w.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_w.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_w.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,165 @@ + + + + + + +QScintilla: Class Members - Functions + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    + + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_z.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_z.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_func_z.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_func_z.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,101 @@ + + + + + + +QScintilla: Class Members - Functions + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +  + +

    - z -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_g.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_g.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_g.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_g.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,111 @@ + + + + + + +QScintilla: Class Members + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +
    Here is a list of all documented class members with links to the class documentation for each member:
    + +

    - g -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_h.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_h.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_h.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_h.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,190 @@ + + + + + + +QScintilla: Class Members + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +
    Here is a list of all documented class members with links to the class documentation for each member:
    + +

    - h -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_~.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_~.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_~.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_~.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,227 @@ + + + + + + +QScintilla: Class Members + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +
    Here is a list of all documented class members with links to the class documentation for each member:
    + +

    - ~ -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Class Members - + + - - - -
    - - +
    - - - - -
    QScintilla -  2.8.4 +  2.9
    -
    - - + + +
    Here is a list of all documented class members with links to the class documentation for each member:
    @@ -146,6 +137,9 @@
  • AnnotationHidden : QsciScintilla
  • +
  • AnnotationIndented +: QsciScintilla +
  • AnnotationStandard : QsciScintilla
  • @@ -294,9 +288,9 @@ : QsciLexerCSS
  • Attribute -: QsciLexerHTML +: QsciLexerCSS +, QsciLexerHTML , QsciLexerVHDL -, QsciLexerCSS
  • autoCompleteFromAll() : QsciScintilla @@ -323,12 +317,12 @@
  • autoCompletionShowSingle() : QsciScintilla
  • -
  • autoCompletionSource() -: QsciScintilla -
  • AutoCompletionSource : QsciScintilla
  • +
  • autoCompletionSource() +: QsciScintilla +
  • autoCompletionThreshold() : QsciScintilla
  • @@ -351,14 +345,12 @@ : QsciAPIs -
    - - +
    + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_i.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_i.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_i.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_i.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,218 @@ + + + + + + +QScintilla: Class Members + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +
    Here is a list of all documented class members with links to the class documentation for each member:
    + +

    - i -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_j.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_j.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_j.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_j.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,134 @@ + + + + + + +QScintilla: Class Members + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +
    Here is a list of all documented class members with links to the class documentation for each member:
    + +

    - j -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_k.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_k.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_k.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_k.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,203 @@ + + + + + + +QScintilla: Class Members + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +
    Here is a list of all documented class members with links to the class documentation for each member:
    + +

    - k -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_l.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_l.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_l.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_l.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,416 @@ + + + + + + +QScintilla: Class Members + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +
    Here is a list of all documented class members with links to the class documentation for each member:
    + +

    - l -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_m.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_m.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_m.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_m.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,230 @@ + + + + + + +QScintilla: Class Members + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +
    Here is a list of all documented class members with links to the class documentation for each member:
    + +

    - m -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_n.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_n.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_n.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_n.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,139 @@ + + + + + + +QScintilla: Class Members + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +
    Here is a list of all documented class members with links to the class documentation for each member:
    + +

    - n -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_o.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_o.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_o.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_o.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,130 @@ + + + + + + +QScintilla: Class Members + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +
    Here is a list of all documented class members with links to the class documentation for each member:
    + +

    - o -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_p.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_p.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_p.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_p.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,338 @@ + + + + + + +QScintilla: Class Members + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +
    Here is a list of all documented class members with links to the class documentation for each member:
    + +

    - p -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_q.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_q.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_q.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_q.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,137 @@ + + + + + + +QScintilla: Class Members + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +
    Here is a list of all documented class members with links to the class documentation for each member:
    + +

    - q -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_r.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_r.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_r.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_r.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,241 @@ + + + + + + +QScintilla: Class Members + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +
    Here is a list of all documented class members with links to the class documentation for each member:
    + +

    - r -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_s.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_s.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_s.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_s.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,1606 @@ + + + + + + +QScintilla: Class Members + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +
    Here is a list of all documented class members with links to the class documentation for each member:
    + +

    - s -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_t.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_t.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_t.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_t.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,203 @@ + + + + + + +QScintilla: Class Members + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +
    Here is a list of all documented class members with links to the class documentation for each member:
    + +

    - t -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_u.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_u.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_u.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_u.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,151 @@ + + + + + + +QScintilla: Class Members + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +
    Here is a list of all documented class members with links to the class documentation for each member:
    + +

    - u -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_v.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_v.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_v.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_v.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,172 @@ + + + + + + +QScintilla: Class Members + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +
    Here is a list of all documented class members with links to the class documentation for each member:
    + +

    - v -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_w.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_w.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_w.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_w.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,260 @@ + + + + + + +QScintilla: Class Members + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +
    Here is a list of all documented class members with links to the class documentation for each member:
    + +

    - w -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_x.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_x.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_x.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_x.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,104 @@ + + + + + + +QScintilla: Class Members + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +
    Here is a list of all documented class members with links to the class documentation for each member:
    + +

    - x -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_z.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_z.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/functions_z.html 1970-01-01 00:00:00.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/functions_z.html 2015-04-20 14:38:25.000000000 +0000 @@ -0,0 +1,110 @@ + + + + + + +QScintilla: Class Members + + + + + + +
    +
    + + + + + + +
    +
    QScintilla +  2.9 +
    +
    +
    + + + + + + +
    +
    +
    Here is a list of all documented class members with links to the class documentation for each member:
    + +

    - z -

    +
    + + + + diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/hierarchy.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/hierarchy.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/hierarchy.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/hierarchy.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,44 +2,35 @@ + + QScintilla: Class Hierarchy - + + - - - -
    - - +
    - - - - -
    QScintilla -  2.8.4 +  2.9
    -
    - - + + -
    +
    Class Hierarchy
    -
    + - - +
    This inheritance list is sorted roughly, but not completely, alphabetically:
    +
    [detail level 1234]
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
     CQAbstractScrollArea
     CQsciScintillaBaseImplements the Scintilla editor widget and its low-level API
     CQsciScintillaImplements a higher level, more Qt-like, API to the Scintilla editor widget
     CQObject
     CQsciAbstractAPIsInterface to the textual API information used in call tips and for auto-completion. A sub-class will provide the actual implementation of the interface
     CQsciAPIsProvies an implementation of the textual API information used in call tips and for auto-completion
     CQsciLexerAbstract class used as a base for language lexers
     CQsciLexerAVSEncapsulates the Scintilla AVS lexer
     CQsciLexerBashEncapsulates the Scintilla Bash lexer
     CQsciLexerBatchEncapsulates the Scintilla batch file lexer
     CQsciLexerCMakeEncapsulates the Scintilla CMake lexer
     CQsciLexerCoffeeScriptEncapsulates the Scintilla CoffeeScript lexer
     CQsciLexerCPPEncapsulates the Scintilla C++ lexer
     CQsciLexerCSharpEncapsulates the Scintilla C# lexer
     CQsciLexerIDLEncapsulates the Scintilla IDL lexer
     CQsciLexerJavaEncapsulates the Scintilla Java lexer
     CQsciLexerJavaScriptEncapsulates the Scintilla JavaScript lexer
     CQsciLexerCSSEncapsulates the Scintilla CSS lexer
     CQsciLexerCustomAbstract class used as a base for new language lexers
     CQsciLexerDEncapsulates the Scintilla D lexer
     CQsciLexerDiffEncapsulates the Scintilla Diff lexer
     CQsciLexerFortran77Encapsulates the Scintilla Fortran77 lexer
     CQsciLexerFortranEncapsulates the Scintilla Fortran lexer
     CQsciLexerHTMLEncapsulates the Scintilla HTML lexer
     CQsciLexerXMLEncapsulates the Scintilla XML lexer
     CQsciLexerLuaEncapsulates the Scintilla Lua lexer
     CQsciLexerMakefileEncapsulates the Scintilla Makefile lexer
     CQsciLexerMatlabEncapsulates the Scintilla Matlab file lexer
     CQsciLexerOctaveEncapsulates the Scintilla Octave file lexer
     CQsciLexerPascalEncapsulates the Scintilla Pascal lexer
     CQsciLexerPerlEncapsulates the Scintilla Perl lexer
     CQsciLexerPOEncapsulates the Scintilla PO lexer
     CQsciLexerPostScriptEncapsulates the Scintilla PostScript lexer
     CQsciLexerPOVEncapsulates the Scintilla POV lexer
     CQsciLexerPropertiesEncapsulates the Scintilla Properties lexer
     CQsciLexerPythonEncapsulates the Scintilla Python lexer
     CQsciLexerRubyEncapsulates the Scintilla Ruby lexer
     CQsciLexerSpiceEncapsulates the Scintilla Spice lexer
     CQsciLexerSQLEncapsulates the Scintilla SQL lexer
     CQsciLexerTCLEncapsulates the Scintilla TCL lexer
     CQsciLexerTeXEncapsulates the Scintilla TeX lexer
     CQsciLexerVerilogEncapsulates the Scintilla Verilog lexer
     CQsciLexerVHDLEncapsulates the Scintilla VHDL lexer
     CQsciLexerYAMLEncapsulates the Scintilla YAML lexer
     CQsciMacroSequence of recordable editor commands
     CQPrinter
     CQsciPrinterSub-class of the Qt QPrinter class that is able to print the text of a Scintilla document
     CQsciCommandInternal editor command that may have one or two keys bound to it
     CQsciCommandSetSet of all internal editor commands that may have keys bound
     CQsciDocumentDocument to be edited
     CQsciStyleEncapsulates all the attributes of a style
     CQsciStyledTextContainer for a piece of text and the style used to display the text
    +
    + + - diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/index.html qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/index.html --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/index.html 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/index.html 2015-04-20 14:38:25.000000000 +0000 @@ -2,51 +2,42 @@ + + QScintilla: QScintilla - a Port to Qt v4 and Qt v5 of Scintilla - + + - - - -
    - - +
    - - - - -
    QScintilla -  2.8.4 +  2.9
    -
    - - + + -
    +
    QScintilla - a Port to Qt v4 and Qt v5 of Scintilla
    -
    +

    Introduction

    QScintilla is a port to Qt of the Scintilla editing component.

    @@ -71,16 +62,15 @@
  • printing.
  • -

    QScintilla is a port or Scintilla to the Qt GUI toolkit from Digia and runs on any operating system supported by Qt (eg. Windows, UNIX/Linux, MacOS/X). QScintilla works with Qt v3, v4 and v5.

    -

    This documentation describes QScintilla for Qt v4 and Qt v5. The QScintilla APIs for Qt v3 and Qt v4 and v5 are almost identical. The main difference is that the QsciScintillaBase class is derived from a different Qt class.

    +

    QScintilla is a port or Scintilla to the Qt GUI toolkit from The Qt Company and runs on any operating system supported by Qt (eg. Windows, Linux, OS X, iOS and Android). QScintilla works with Qt v4 and v5.

    QScintilla also includes language bindings for Python. These require that PyQt v4 or v5 is also installed.

    -

    This version of QScintilla is based on Scintilla v3.3.6.

    +

    This version of QScintilla is based on Scintilla v3.5.4.

    Licensing

    -

    QScintilla is available under the GNU General Public License v2, GNU General Public License v3 and a commercial license.

    -

    The commercial license allows closed source applications using QScintilla to be developed and distributed. At the moment the commercial version of QScintilla is bundled with the commercial version of PyQt.

    +

    QScintilla is available under the GNU General Public License v3 and the Riverbank Commercial License.

    +

    The commercial license allows closed source applications using QScintilla to be developed and distributed. At the moment the commercial version of QScintilla is bundled with, but packaged separately from, the commercial version of PyQt.

    The Scintilla code within QScintilla is released under the following license:

    - License for Scintilla and SciTE
     Copyright 1998-2003 by Neil Hodgson <neilh@scintilla.org>
     All Rights Reserved
     Permission to use, copy, modify, and distribute this software and its
    + License for Scintilla and SciTE
     Copyright 1998-2003 by Neil Hodgson neilh.nosp@m.@sci.nosp@m.ntill.nosp@m.a.or.nosp@m.g
     All Rights Reserved
     Permission to use, copy, modify, and distribute this software and its
      documentation for any purpose and without fee is hereby granted,
      provided that the above copyright notice appear in all copies and that
      both that copyright notice and this permission notice appear in
    @@ -114,7 +104,7 @@
     

    Installation on MacOS/X

    On MacOS/X you may need to pass -spec macx-g++ in order to generate a Makefile, otherwise an XCode project file may be created. In particular the Qt Designer plugin will not load if you do not do this.

    Integration with qmake

    -

    To configure qmake to find your QScintilla installation, add the following line to your application's .pro file:

    +

    To configure qmake to find your QScintilla installation, add the following line to your application's .pro file:

         CONFIG += qscintilla2
     

    Example Application

    @@ -134,7 +124,7 @@ make make install

    On Windows (and depending on the compiler you are using) you may need to run nmake rather than make.

    -

    If you want to build the bindings for PyQt v5 then pass --pyqt=PyQt5 as an argument to configure.py.

    +

    If you want to build the bindings for PyQt v5 then pass –pyqt=PyQt5 as an argument to configure.py.

    configure.py supports a number of other arguments. Pass -h to display a list of the supported arguments.

    configure.py was re-written for QScintilla v2.7.1 to make use of new features of PyQt v4.10. The old version is still provided as configure-old.py. The new configure.py will automatically invoke configure-old.py if it detects a version of PyQt earlier than v4.10. You may of course explicitly run configure-old.py.

    Qt Designer Plugin

    @@ -146,14 +136,12 @@ make make install

    On Windows (and depending on the compiler you are using) you may need to run nmake rather than make.

    -
    - - + + - Binary files /tmp/7AlgZry3DG/qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/nav_f.png and /tmp/ENOspktJtZ/qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/nav_f.png differ Binary files /tmp/7AlgZry3DG/qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/nav_g.png and /tmp/ENOspktJtZ/qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/nav_g.png differ Binary files /tmp/7AlgZry3DG/qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/nav_h.png and /tmp/ENOspktJtZ/qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/nav_h.png differ Binary files /tmp/7AlgZry3DG/qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/open.png and /tmp/ENOspktJtZ/qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/open.png differ Binary files /tmp/7AlgZry3DG/qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/sync_off.png and /tmp/ENOspktJtZ/qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/sync_off.png differ Binary files /tmp/7AlgZry3DG/qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/sync_on.png and /tmp/ENOspktJtZ/qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/sync_on.png differ Binary files /tmp/7AlgZry3DG/qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/tab_a.png and /tmp/ENOspktJtZ/qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/tab_a.png differ Binary files /tmp/7AlgZry3DG/qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/tab_b.png and /tmp/ENOspktJtZ/qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/tab_b.png differ Binary files /tmp/7AlgZry3DG/qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/tab_h.png and /tmp/ENOspktJtZ/qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/tab_h.png differ diff -Nru qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/tabs.css qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/tabs.css --- qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/tabs.css 2014-09-11 17:15:20.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/tabs.css 2015-04-20 14:38:24.000000000 +0000 @@ -3,6 +3,7 @@ width: 100%; z-index: 101; font-size: 13px; + font-family: 'Lucida Grande',Geneva,Helvetica,Arial,sans-serif; } .tabs2 { Binary files /tmp/7AlgZry3DG/qscintilla2-2.8.4+dfsg/doc/html-Qt4Qt5/tab_s.png and /tmp/ENOspktJtZ/qscintilla2-2.9+dfsg/doc/html-Qt4Qt5/tab_s.png differ diff -Nru qscintilla2-2.8.4+dfsg/doc/qscintilla-Qt3.dxy qscintilla2-2.9+dfsg/doc/qscintilla-Qt3.dxy --- qscintilla2-2.8.4+dfsg/doc/qscintilla-Qt3.dxy 2014-09-11 17:15:18.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/qscintilla-Qt3.dxy 1970-01-01 00:00:00.000000000 +0000 @@ -1,1514 +0,0 @@ -# Doxyfile 1.6.1 - -# This file describes the settings to be used by the documentation system -# doxygen (www.doxygen.org) for a project -# -# All text after a hash (#) is considered a comment and will be ignored -# The format is: -# TAG = value [value, ...] -# For lists items can also be appended using: -# TAG += value [value, ...] -# Values that contain spaces should be placed between quotes (" ") - -#--------------------------------------------------------------------------- -# Project related configuration options -#--------------------------------------------------------------------------- - -# This tag specifies the encoding used for all characters in the config file -# that follow. The default is UTF-8 which is also the encoding used for all -# text before the first occurrence of this tag. Doxygen uses libiconv (or the -# iconv built into libc) for the transcoding. See -# http://www.gnu.org/software/libiconv for the list of possible encodings. - -DOXYFILE_ENCODING = UTF-8 - -# The PROJECT_NAME tag is a single word (or a sequence of words surrounded -# by quotes) that should identify the project. - -PROJECT_NAME = QScintilla - -# The PROJECT_NUMBER tag can be used to enter a project or revision number. -# This could be handy for archiving the generated documentation or -# if some version control system is used. - -PROJECT_NUMBER = "2.8.4" - -# The OUTPUT_DIRECTORY tag is used to specify the (relative or absolute) -# base path where the generated documentation will be put. -# If a relative path is entered, it will be relative to the location -# where doxygen was started. If left blank the current directory will be used. - -OUTPUT_DIRECTORY = - -# If the CREATE_SUBDIRS tag is set to YES, then doxygen will create -# 4096 sub-directories (in 2 levels) under the output directory of each output -# format and will distribute the generated files over these directories. -# Enabling this option can be useful when feeding doxygen a huge amount of -# source files, where putting all generated files in the same directory would -# otherwise cause performance problems for the file system. - -CREATE_SUBDIRS = NO - -# The OUTPUT_LANGUAGE tag is used to specify the language in which all -# documentation generated by doxygen is written. Doxygen will use this -# information to generate all constant output in the proper language. -# The default language is English, other supported languages are: -# Afrikaans, Arabic, Brazilian, Catalan, Chinese, Chinese-Traditional, -# Croatian, Czech, Danish, Dutch, Esperanto, Farsi, Finnish, French, German, -# Greek, Hungarian, Italian, Japanese, Japanese-en (Japanese with English -# messages), Korean, Korean-en, Lithuanian, Norwegian, Macedonian, Persian, -# Polish, Portuguese, Romanian, Russian, Serbian, Serbian-Cyrilic, Slovak, -# Slovene, Spanish, Swedish, Ukrainian, and Vietnamese. - -OUTPUT_LANGUAGE = English - -# If the BRIEF_MEMBER_DESC tag is set to YES (the default) Doxygen will -# include brief member descriptions after the members that are listed in -# the file and class documentation (similar to JavaDoc). -# Set to NO to disable this. - -BRIEF_MEMBER_DESC = NO - -# If the REPEAT_BRIEF tag is set to YES (the default) Doxygen will prepend -# the brief description of a member or function before the detailed description. -# Note: if both HIDE_UNDOC_MEMBERS and BRIEF_MEMBER_DESC are set to NO, the -# brief descriptions will be completely suppressed. - -REPEAT_BRIEF = YES - -# This tag implements a quasi-intelligent brief description abbreviator -# that is used to form the text in various listings. Each string -# in this list, if found as the leading text of the brief description, will be -# stripped from the text and the result after processing the whole list, is -# used as the annotated text. Otherwise, the brief description is used as-is. -# If left blank, the following values are used ("$name" is automatically -# replaced with the name of the entity): "The $name class" "The $name widget" -# "The $name file" "is" "provides" "specifies" "contains" -# "represents" "a" "an" "the" - -ABBREVIATE_BRIEF = - -# If the ALWAYS_DETAILED_SEC and REPEAT_BRIEF tags are both set to YES then -# Doxygen will generate a detailed section even if there is only a brief -# description. - -ALWAYS_DETAILED_SEC = NO - -# If the INLINE_INHERITED_MEMB tag is set to YES, doxygen will show all -# inherited members of a class in the documentation of that class as if those -# members were ordinary class members. Constructors, destructors and assignment -# operators of the base classes will not be shown. - -INLINE_INHERITED_MEMB = NO - -# If the FULL_PATH_NAMES tag is set to YES then Doxygen will prepend the full -# path before files name in the file list and in the header files. If set -# to NO the shortest path that makes the file name unique will be used. - -FULL_PATH_NAMES = NO - -# If the FULL_PATH_NAMES tag is set to YES then the STRIP_FROM_PATH tag -# can be used to strip a user-defined part of the path. Stripping is -# only done if one of the specified strings matches the left-hand part of -# the path. The tag can be used to show relative paths in the file list. -# If left blank the directory from which doxygen is run is used as the -# path to strip. - -STRIP_FROM_PATH = - -# The STRIP_FROM_INC_PATH tag can be used to strip a user-defined part of -# the path mentioned in the documentation of a class, which tells -# the reader which header file to include in order to use a class. -# If left blank only the name of the header file containing the class -# definition is used. Otherwise one should specify the include paths that -# are normally passed to the compiler using the -I flag. - -STRIP_FROM_INC_PATH = - -# If the SHORT_NAMES tag is set to YES, doxygen will generate much shorter -# (but less readable) file names. This can be useful is your file systems -# doesn't support long names like on DOS, Mac, or CD-ROM. - -SHORT_NAMES = NO - -# If the JAVADOC_AUTOBRIEF tag is set to YES then Doxygen -# will interpret the first line (until the first dot) of a JavaDoc-style -# comment as the brief description. If set to NO, the JavaDoc -# comments will behave just like regular Qt-style comments -# (thus requiring an explicit @brief command for a brief description.) - -JAVADOC_AUTOBRIEF = NO - -# If the QT_AUTOBRIEF tag is set to YES then Doxygen will -# interpret the first line (until the first dot) of a Qt-style -# comment as the brief description. If set to NO, the comments -# will behave just like regular Qt-style comments (thus requiring -# an explicit \brief command for a brief description.) - -QT_AUTOBRIEF = NO - -# The MULTILINE_CPP_IS_BRIEF tag can be set to YES to make Doxygen -# treat a multi-line C++ special comment block (i.e. a block of //! or /// -# comments) as a brief description. This used to be the default behaviour. -# The new default is to treat a multi-line C++ comment block as a detailed -# description. Set this tag to YES if you prefer the old behaviour instead. - -MULTILINE_CPP_IS_BRIEF = NO - -# If the INHERIT_DOCS tag is set to YES (the default) then an undocumented -# member inherits the documentation from any documented member that it -# re-implements. - -INHERIT_DOCS = YES - -# If the SEPARATE_MEMBER_PAGES tag is set to YES, then doxygen will produce -# a new page for each member. If set to NO, the documentation of a member will -# be part of the file/class/namespace that contains it. - -SEPARATE_MEMBER_PAGES = NO - -# The TAB_SIZE tag can be used to set the number of spaces in a tab. -# Doxygen uses this value to replace tabs by spaces in code fragments. - -TAB_SIZE = 4 - -# This tag can be used to specify a number of aliases that acts -# as commands in the documentation. An alias has the form "name=value". -# For example adding "sideeffect=\par Side Effects:\n" will allow you to -# put the command \sideeffect (or @sideeffect) in the documentation, which -# will result in a user-defined paragraph with heading "Side Effects:". -# You can put \n's in the value part of an alias to insert newlines. - -ALIASES = - -# Set the OPTIMIZE_OUTPUT_FOR_C tag to YES if your project consists of C -# sources only. Doxygen will then generate output that is more tailored for C. -# For instance, some of the names that are used will be different. The list -# of all members will be omitted, etc. - -OPTIMIZE_OUTPUT_FOR_C = NO - -# Set the OPTIMIZE_OUTPUT_JAVA tag to YES if your project consists of Java -# sources only. Doxygen will then generate output that is more tailored for -# Java. For instance, namespaces will be presented as packages, qualified -# scopes will look different, etc. - -OPTIMIZE_OUTPUT_JAVA = NO - -# Set the OPTIMIZE_FOR_FORTRAN tag to YES if your project consists of Fortran -# sources only. Doxygen will then generate output that is more tailored for -# Fortran. - -OPTIMIZE_FOR_FORTRAN = NO - -# Set the OPTIMIZE_OUTPUT_VHDL tag to YES if your project consists of VHDL -# sources. Doxygen will then generate output that is tailored for -# VHDL. - -OPTIMIZE_OUTPUT_VHDL = NO - -# Doxygen selects the parser to use depending on the extension of the files it parses. -# With this tag you can assign which parser to use for a given extension. -# Doxygen has a built-in mapping, but you can override or extend it using this tag. -# The format is ext=language, where ext is a file extension, and language is one of -# the parsers supported by doxygen: IDL, Java, Javascript, C#, C, C++, D, PHP, -# Objective-C, Python, Fortran, VHDL, C, C++. For instance to make doxygen treat -# .inc files as Fortran files (default is PHP), and .f files as C (default is Fortran), -# use: inc=Fortran f=C. Note that for custom extensions you also need to set FILE_PATTERNS otherwise the files are not read by doxygen. - -EXTENSION_MAPPING = - -# If you use STL classes (i.e. std::string, std::vector, etc.) but do not want -# to include (a tag file for) the STL sources as input, then you should -# set this tag to YES in order to let doxygen match functions declarations and -# definitions whose arguments contain STL classes (e.g. func(std::string); v.s. -# func(std::string) {}). This also make the inheritance and collaboration -# diagrams that involve STL classes more complete and accurate. - -BUILTIN_STL_SUPPORT = NO - -# If you use Microsoft's C++/CLI language, you should set this option to YES to -# enable parsing support. - -CPP_CLI_SUPPORT = NO - -# Set the SIP_SUPPORT tag to YES if your project consists of sip sources only. -# Doxygen will parse them like normal C++ but will assume all classes use public -# instead of private inheritance when no explicit protection keyword is present. - -SIP_SUPPORT = NO - -# For Microsoft's IDL there are propget and propput attributes to indicate getter -# and setter methods for a property. Setting this option to YES (the default) -# will make doxygen to replace the get and set methods by a property in the -# documentation. This will only work if the methods are indeed getting or -# setting a simple type. If this is not the case, or you want to show the -# methods anyway, you should set this option to NO. - -IDL_PROPERTY_SUPPORT = YES - -# If member grouping is used in the documentation and the DISTRIBUTE_GROUP_DOC -# tag is set to YES, then doxygen will reuse the documentation of the first -# member in the group (if any) for the other members of the group. By default -# all members of a group must be documented explicitly. - -DISTRIBUTE_GROUP_DOC = NO - -# Set the SUBGROUPING tag to YES (the default) to allow class member groups of -# the same type (for instance a group of public functions) to be put as a -# subgroup of that type (e.g. under the Public Functions section). Set it to -# NO to prevent subgrouping. Alternatively, this can be done per class using -# the \nosubgrouping command. - -SUBGROUPING = YES - -# When TYPEDEF_HIDES_STRUCT is enabled, a typedef of a struct, union, or enum -# is documented as struct, union, or enum with the name of the typedef. So -# typedef struct TypeS {} TypeT, will appear in the documentation as a struct -# with name TypeT. When disabled the typedef will appear as a member of a file, -# namespace, or class. And the struct will be named TypeS. This can typically -# be useful for C code in case the coding convention dictates that all compound -# types are typedef'ed and only the typedef is referenced, never the tag name. - -TYPEDEF_HIDES_STRUCT = NO - -# The SYMBOL_CACHE_SIZE determines the size of the internal cache use to -# determine which symbols to keep in memory and which to flush to disk. -# When the cache is full, less often used symbols will be written to disk. -# For small to medium size projects (<1000 input files) the default value is -# probably good enough. For larger projects a too small cache size can cause -# doxygen to be busy swapping symbols to and from disk most of the time -# causing a significant performance penality. -# If the system has enough physical memory increasing the cache will improve the -# performance by keeping more symbols in memory. Note that the value works on -# a logarithmic scale so increasing the size by one will rougly double the -# memory usage. The cache size is given by this formula: -# 2^(16+SYMBOL_CACHE_SIZE). The valid range is 0..9, the default is 0, -# corresponding to a cache size of 2^16 = 65536 symbols - -SYMBOL_CACHE_SIZE = 0 - -#--------------------------------------------------------------------------- -# Build related configuration options -#--------------------------------------------------------------------------- - -# If the EXTRACT_ALL tag is set to YES doxygen will assume all entities in -# documentation are documented, even if no documentation was available. -# Private class members and static file members will be hidden unless -# the EXTRACT_PRIVATE and EXTRACT_STATIC tags are set to YES - -EXTRACT_ALL = NO - -# If the EXTRACT_PRIVATE tag is set to YES all private members of a class -# will be included in the documentation. - -EXTRACT_PRIVATE = NO - -# If the EXTRACT_STATIC tag is set to YES all static members of a file -# will be included in the documentation. - -EXTRACT_STATIC = NO - -# If the EXTRACT_LOCAL_CLASSES tag is set to YES classes (and structs) -# defined locally in source files will be included in the documentation. -# If set to NO only classes defined in header files are included. - -EXTRACT_LOCAL_CLASSES = NO - -# This flag is only useful for Objective-C code. When set to YES local -# methods, which are defined in the implementation section but not in -# the interface are included in the documentation. -# If set to NO (the default) only methods in the interface are included. - -EXTRACT_LOCAL_METHODS = NO - -# If this flag is set to YES, the members of anonymous namespaces will be -# extracted and appear in the documentation as a namespace called -# 'anonymous_namespace{file}', where file will be replaced with the base -# name of the file that contains the anonymous namespace. By default -# anonymous namespace are hidden. - -EXTRACT_ANON_NSPACES = NO - -# If the HIDE_UNDOC_MEMBERS tag is set to YES, Doxygen will hide all -# undocumented members of documented classes, files or namespaces. -# If set to NO (the default) these members will be included in the -# various overviews, but no documentation section is generated. -# This option has no effect if EXTRACT_ALL is enabled. - -HIDE_UNDOC_MEMBERS = NO - -# If the HIDE_UNDOC_CLASSES tag is set to YES, Doxygen will hide all -# undocumented classes that are normally visible in the class hierarchy. -# If set to NO (the default) these classes will be included in the various -# overviews. This option has no effect if EXTRACT_ALL is enabled. - -HIDE_UNDOC_CLASSES = NO - -# If the HIDE_FRIEND_COMPOUNDS tag is set to YES, Doxygen will hide all -# friend (class|struct|union) declarations. -# If set to NO (the default) these declarations will be included in the -# documentation. - -HIDE_FRIEND_COMPOUNDS = YES - -# If the HIDE_IN_BODY_DOCS tag is set to YES, Doxygen will hide any -# documentation blocks found inside the body of a function. -# If set to NO (the default) these blocks will be appended to the -# function's detailed documentation block. - -HIDE_IN_BODY_DOCS = NO - -# The INTERNAL_DOCS tag determines if documentation -# that is typed after a \internal command is included. If the tag is set -# to NO (the default) then the documentation will be excluded. -# Set it to YES to include the internal documentation. - -INTERNAL_DOCS = NO - -# If the CASE_SENSE_NAMES tag is set to NO then Doxygen will only generate -# file names in lower-case letters. If set to YES upper-case letters are also -# allowed. This is useful if you have classes or files whose names only differ -# in case and if your file system supports case sensitive file names. Windows -# and Mac users are advised to set this option to NO. - -CASE_SENSE_NAMES = YES - -# If the HIDE_SCOPE_NAMES tag is set to NO (the default) then Doxygen -# will show members with their full class and namespace scopes in the -# documentation. If set to YES the scope will be hidden. - -HIDE_SCOPE_NAMES = NO - -# If the SHOW_INCLUDE_FILES tag is set to YES (the default) then Doxygen -# will put a list of the files that are included by a file in the documentation -# of that file. - -SHOW_INCLUDE_FILES = YES - -# If the INLINE_INFO tag is set to YES (the default) then a tag [inline] -# is inserted in the documentation for inline members. - -INLINE_INFO = YES - -# If the SORT_MEMBER_DOCS tag is set to YES (the default) then doxygen -# will sort the (detailed) documentation of file and class members -# alphabetically by member name. If set to NO the members will appear in -# declaration order. - -SORT_MEMBER_DOCS = NO - -# If the SORT_BRIEF_DOCS tag is set to YES then doxygen will sort the -# brief documentation of file, namespace and class members alphabetically -# by member name. If set to NO (the default) the members will appear in -# declaration order. - -SORT_BRIEF_DOCS = NO - -# If the SORT_MEMBERS_CTORS_1ST tag is set to YES then doxygen will sort the (brief and detailed) documentation of class members so that constructors and destructors are listed first. If set to NO (the default) the constructors will appear in the respective orders defined by SORT_MEMBER_DOCS and SORT_BRIEF_DOCS. This tag will be ignored for brief docs if SORT_BRIEF_DOCS is set to NO and ignored for detailed docs if SORT_MEMBER_DOCS is set to NO. - -SORT_MEMBERS_CTORS_1ST = NO - -# If the SORT_GROUP_NAMES tag is set to YES then doxygen will sort the -# hierarchy of group names into alphabetical order. If set to NO (the default) -# the group names will appear in their defined order. - -SORT_GROUP_NAMES = NO - -# If the SORT_BY_SCOPE_NAME tag is set to YES, the class list will be -# sorted by fully-qualified names, including namespaces. If set to -# NO (the default), the class list will be sorted only by class name, -# not including the namespace part. -# Note: This option is not very useful if HIDE_SCOPE_NAMES is set to YES. -# Note: This option applies only to the class list, not to the -# alphabetical list. - -SORT_BY_SCOPE_NAME = NO - -# The GENERATE_TODOLIST tag can be used to enable (YES) or -# disable (NO) the todo list. This list is created by putting \todo -# commands in the documentation. - -GENERATE_TODOLIST = YES - -# The GENERATE_TESTLIST tag can be used to enable (YES) or -# disable (NO) the test list. This list is created by putting \test -# commands in the documentation. - -GENERATE_TESTLIST = YES - -# The GENERATE_BUGLIST tag can be used to enable (YES) or -# disable (NO) the bug list. This list is created by putting \bug -# commands in the documentation. - -GENERATE_BUGLIST = YES - -# The GENERATE_DEPRECATEDLIST tag can be used to enable (YES) or -# disable (NO) the deprecated list. This list is created by putting -# \deprecated commands in the documentation. - -GENERATE_DEPRECATEDLIST= YES - -# The ENABLED_SECTIONS tag can be used to enable conditional -# documentation sections, marked by \if sectionname ... \endif. - -ENABLED_SECTIONS = - -# The MAX_INITIALIZER_LINES tag determines the maximum number of lines -# the initial value of a variable or define consists of for it to appear in -# the documentation. If the initializer consists of more lines than specified -# here it will be hidden. Use a value of 0 to hide initializers completely. -# The appearance of the initializer of individual variables and defines in the -# documentation can be controlled using \showinitializer or \hideinitializer -# command in the documentation regardless of this setting. - -MAX_INITIALIZER_LINES = 30 - -# Set the SHOW_USED_FILES tag to NO to disable the list of files generated -# at the bottom of the documentation of classes and structs. If set to YES the -# list will mention the files that were used to generate the documentation. - -SHOW_USED_FILES = NO - -# If the sources in your project are distributed over multiple directories -# then setting the SHOW_DIRECTORIES tag to YES will show the directory hierarchy -# in the documentation. The default is NO. - -SHOW_DIRECTORIES = YES - -# Set the SHOW_FILES tag to NO to disable the generation of the Files page. -# This will remove the Files entry from the Quick Index and from the -# Folder Tree View (if specified). The default is YES. - -SHOW_FILES = YES - -# Set the SHOW_NAMESPACES tag to NO to disable the generation of the -# Namespaces page. -# This will remove the Namespaces entry from the Quick Index -# and from the Folder Tree View (if specified). The default is YES. - -SHOW_NAMESPACES = YES - -# The FILE_VERSION_FILTER tag can be used to specify a program or script that -# doxygen should invoke to get the current version for each file (typically from -# the version control system). Doxygen will invoke the program by executing (via -# popen()) the command , where is the value of -# the FILE_VERSION_FILTER tag, and is the name of an input file -# provided by doxygen. Whatever the program writes to standard output -# is used as the file version. See the manual for examples. - -FILE_VERSION_FILTER = - -# The LAYOUT_FILE tag can be used to specify a layout file which will be parsed by -# doxygen. The layout file controls the global structure of the generated output files -# in an output format independent way. The create the layout file that represents -# doxygen's defaults, run doxygen with the -l option. You can optionally specify a -# file name after the option, if omitted DoxygenLayout.xml will be used as the name -# of the layout file. - -LAYOUT_FILE = - -#--------------------------------------------------------------------------- -# configuration options related to warning and progress messages -#--------------------------------------------------------------------------- - -# The QUIET tag can be used to turn on/off the messages that are generated -# by doxygen. Possible values are YES and NO. If left blank NO is used. - -QUIET = NO - -# The WARNINGS tag can be used to turn on/off the warning messages that are -# generated by doxygen. Possible values are YES and NO. If left blank -# NO is used. - -WARNINGS = YES - -# If WARN_IF_UNDOCUMENTED is set to YES, then doxygen will generate warnings -# for undocumented members. If EXTRACT_ALL is set to YES then this flag will -# automatically be disabled. - -WARN_IF_UNDOCUMENTED = YES - -# If WARN_IF_DOC_ERROR is set to YES, doxygen will generate warnings for -# potential errors in the documentation, such as not documenting some -# parameters in a documented function, or documenting parameters that -# don't exist or using markup commands wrongly. - -WARN_IF_DOC_ERROR = YES - -# This WARN_NO_PARAMDOC option can be abled to get warnings for -# functions that are documented, but have no documentation for their parameters -# or return value. If set to NO (the default) doxygen will only warn about -# wrong or incomplete parameter documentation, but not about the absence of -# documentation. - -WARN_NO_PARAMDOC = NO - -# The WARN_FORMAT tag determines the format of the warning messages that -# doxygen can produce. The string should contain the $file, $line, and $text -# tags, which will be replaced by the file and line number from which the -# warning originated and the warning text. Optionally the format may contain -# $version, which will be replaced by the version of the file (if it could -# be obtained via FILE_VERSION_FILTER) - -WARN_FORMAT = "$file:$line: $text " - -# The WARN_LOGFILE tag can be used to specify a file to which warning -# and error messages should be written. If left blank the output is written -# to stderr. - -WARN_LOGFILE = - -#--------------------------------------------------------------------------- -# configuration options related to the input files -#--------------------------------------------------------------------------- - -# The INPUT tag can be used to specify the files and/or directories that contain -# documented source files. You may enter file names like "myfile.cpp" or -# directories like "/usr/src/myproject". Separate the files or directories -# with spaces. - -INPUT = README-Qt3.doc ../Qt3/Qsci - -# This tag can be used to specify the character encoding of the source files -# that doxygen parses. Internally doxygen uses the UTF-8 encoding, which is -# also the default input encoding. Doxygen uses libiconv (or the iconv built -# into libc) for the transcoding. See http://www.gnu.org/software/libiconv for -# the list of possible encodings. - -INPUT_ENCODING = UTF-8 - -# If the value of the INPUT tag contains directories, you can use the -# FILE_PATTERNS tag to specify one or more wildcard pattern (like *.cpp -# and *.h) to filter out the source-files in the directories. If left -# blank the following patterns are tested: -# *.c *.cc *.cxx *.cpp *.c++ *.java *.ii *.ixx *.ipp *.i++ *.inl *.h *.hh *.hxx -# *.hpp *.h++ *.idl *.odl *.cs *.php *.php3 *.inc *.m *.mm *.py *.f90 - -FILE_PATTERNS = qsci*.h - -# The RECURSIVE tag can be used to turn specify whether or not subdirectories -# should be searched for input files as well. Possible values are YES and NO. -# If left blank NO is used. - -RECURSIVE = NO - -# The EXCLUDE tag can be used to specify files and/or directories that should -# excluded from the INPUT source files. This way you can easily exclude a -# subdirectory from a directory tree whose root is specified with the INPUT tag. - -EXCLUDE = - -# The EXCLUDE_SYMLINKS tag can be used select whether or not files or -# directories that are symbolic links (a Unix filesystem feature) are excluded -# from the input. - -EXCLUDE_SYMLINKS = NO - -# If the value of the INPUT tag contains directories, you can use the -# EXCLUDE_PATTERNS tag to specify one or more wildcard patterns to exclude -# certain files from those directories. Note that the wildcards are matched -# against the file with absolute path, so to exclude all test directories -# for example use the pattern */test/* - -EXCLUDE_PATTERNS = - -# The EXCLUDE_SYMBOLS tag can be used to specify one or more symbol names -# (namespaces, classes, functions, etc.) that should be excluded from the -# output. The symbol name can be a fully qualified name, a word, or if the -# wildcard * is used, a substring. Examples: ANamespace, AClass, -# AClass::ANamespace, ANamespace::*Test - -EXCLUDE_SYMBOLS = - -# The EXAMPLE_PATH tag can be used to specify one or more files or -# directories that contain example code fragments that are included (see -# the \include command). - -EXAMPLE_PATH = - -# If the value of the EXAMPLE_PATH tag contains directories, you can use the -# EXAMPLE_PATTERNS tag to specify one or more wildcard pattern (like *.cpp -# and *.h) to filter out the source-files in the directories. If left -# blank all files are included. - -EXAMPLE_PATTERNS = - -# If the EXAMPLE_RECURSIVE tag is set to YES then subdirectories will be -# searched for input files to be used with the \include or \dontinclude -# commands irrespective of the value of the RECURSIVE tag. -# Possible values are YES and NO. If left blank NO is used. - -EXAMPLE_RECURSIVE = NO - -# The IMAGE_PATH tag can be used to specify one or more files or -# directories that contain image that are included in the documentation (see -# the \image command). - -IMAGE_PATH = - -# The INPUT_FILTER tag can be used to specify a program that doxygen should -# invoke to filter for each input file. Doxygen will invoke the filter program -# by executing (via popen()) the command , where -# is the value of the INPUT_FILTER tag, and is the name of an -# input file. Doxygen will then use the output that the filter program writes -# to standard output. -# If FILTER_PATTERNS is specified, this tag will be -# ignored. - -INPUT_FILTER = - -# The FILTER_PATTERNS tag can be used to specify filters on a per file pattern -# basis. -# Doxygen will compare the file name with each pattern and apply the -# filter if there is a match. -# The filters are a list of the form: -# pattern=filter (like *.cpp=my_cpp_filter). See INPUT_FILTER for further -# info on how filters are used. If FILTER_PATTERNS is empty, INPUT_FILTER -# is applied to all files. - -FILTER_PATTERNS = - -# If the FILTER_SOURCE_FILES tag is set to YES, the input filter (if set using -# INPUT_FILTER) will be used to filter the input files when producing source -# files to browse (i.e. when SOURCE_BROWSER is set to YES). - -FILTER_SOURCE_FILES = NO - -#--------------------------------------------------------------------------- -# configuration options related to source browsing -#--------------------------------------------------------------------------- - -# If the SOURCE_BROWSER tag is set to YES then a list of source files will -# be generated. Documented entities will be cross-referenced with these sources. -# Note: To get rid of all source code in the generated output, make sure also -# VERBATIM_HEADERS is set to NO. - -SOURCE_BROWSER = NO - -# Setting the INLINE_SOURCES tag to YES will include the body -# of functions and classes directly in the documentation. - -INLINE_SOURCES = NO - -# Setting the STRIP_CODE_COMMENTS tag to YES (the default) will instruct -# doxygen to hide any special comment blocks from generated source code -# fragments. Normal C and C++ comments will always remain visible. - -STRIP_CODE_COMMENTS = YES - -# If the REFERENCED_BY_RELATION tag is set to YES -# then for each documented function all documented -# functions referencing it will be listed. - -REFERENCED_BY_RELATION = YES - -# If the REFERENCES_RELATION tag is set to YES -# then for each documented function all documented entities -# called/used by that function will be listed. - -REFERENCES_RELATION = YES - -# If the REFERENCES_LINK_SOURCE tag is set to YES (the default) -# and SOURCE_BROWSER tag is set to YES, then the hyperlinks from -# functions in REFERENCES_RELATION and REFERENCED_BY_RELATION lists will -# link to the source code. -# Otherwise they will link to the documentation. - -REFERENCES_LINK_SOURCE = YES - -# If the USE_HTAGS tag is set to YES then the references to source code -# will point to the HTML generated by the htags(1) tool instead of doxygen -# built-in source browser. The htags tool is part of GNU's global source -# tagging system (see http://www.gnu.org/software/global/global.html). You -# will need version 4.8.6 or higher. - -USE_HTAGS = NO - -# If the VERBATIM_HEADERS tag is set to YES (the default) then Doxygen -# will generate a verbatim copy of the header file for each class for -# which an include is specified. Set to NO to disable this. - -VERBATIM_HEADERS = NO - -#--------------------------------------------------------------------------- -# configuration options related to the alphabetical class index -#--------------------------------------------------------------------------- - -# If the ALPHABETICAL_INDEX tag is set to YES, an alphabetical index -# of all compounds will be generated. Enable this if the project -# contains a lot of classes, structs, unions or interfaces. - -ALPHABETICAL_INDEX = NO - -# If the alphabetical index is enabled (see ALPHABETICAL_INDEX) then -# the COLS_IN_ALPHA_INDEX tag can be used to specify the number of columns -# in which this list will be split (can be a number in the range [1..20]) - -COLS_IN_ALPHA_INDEX = 5 - -# In case all classes in a project start with a common prefix, all -# classes will be put under the same header in the alphabetical index. -# The IGNORE_PREFIX tag can be used to specify one or more prefixes that -# should be ignored while generating the index headers. - -IGNORE_PREFIX = Qsci - -#--------------------------------------------------------------------------- -# configuration options related to the HTML output -#--------------------------------------------------------------------------- - -# If the GENERATE_HTML tag is set to YES (the default) Doxygen will -# generate HTML output. - -GENERATE_HTML = YES - -# The HTML_OUTPUT tag is used to specify where the HTML docs will be put. -# If a relative path is entered the value of OUTPUT_DIRECTORY will be -# put in front of it. If left blank `html' will be used as the default path. - -HTML_OUTPUT = html-Qt3 - -# The HTML_FILE_EXTENSION tag can be used to specify the file extension for -# each generated HTML page (for example: .htm,.php,.asp). If it is left blank -# doxygen will generate files with .html extension. - -HTML_FILE_EXTENSION = .html - -# The HTML_HEADER tag can be used to specify a personal HTML header for -# each generated HTML page. If it is left blank doxygen will generate a -# standard header. - -HTML_HEADER = - -# The HTML_FOOTER tag can be used to specify a personal HTML footer for -# each generated HTML page. If it is left blank doxygen will generate a -# standard footer. - -HTML_FOOTER = - -# The HTML_STYLESHEET tag can be used to specify a user-defined cascading -# style sheet that is used by each HTML page. It can be used to -# fine-tune the look of the HTML output. If the tag is left blank doxygen -# will generate a default style sheet. Note that doxygen will try to copy -# the style sheet file to the HTML output directory, so don't put your own -# stylesheet in the HTML output directory as well, or it will be erased! - -HTML_STYLESHEET = - -# If the HTML_ALIGN_MEMBERS tag is set to YES, the members of classes, -# files or namespaces will be aligned in HTML using tables. If set to -# NO a bullet list will be used. - -HTML_ALIGN_MEMBERS = NO - -# If the HTML_DYNAMIC_SECTIONS tag is set to YES then the generated HTML -# documentation will contain sections that can be hidden and shown after the -# page has loaded. For this to work a browser that supports -# JavaScript and DHTML is required (for instance Mozilla 1.0+, Firefox -# Netscape 6.0+, Internet explorer 5.0+, Konqueror, or Safari). - -HTML_DYNAMIC_SECTIONS = NO - -# If the GENERATE_DOCSET tag is set to YES, additional index files -# will be generated that can be used as input for Apple's Xcode 3 -# integrated development environment, introduced with OSX 10.5 (Leopard). -# To create a documentation set, doxygen will generate a Makefile in the -# HTML output directory. Running make will produce the docset in that -# directory and running "make install" will install the docset in -# ~/Library/Developer/Shared/Documentation/DocSets so that Xcode will find -# it at startup. -# See http://developer.apple.com/tools/creatingdocsetswithdoxygen.html for more information. - -GENERATE_DOCSET = NO - -# When GENERATE_DOCSET tag is set to YES, this tag determines the name of the -# feed. A documentation feed provides an umbrella under which multiple -# documentation sets from a single provider (such as a company or product suite) -# can be grouped. - -DOCSET_FEEDNAME = "Doxygen generated docs" - -# When GENERATE_DOCSET tag is set to YES, this tag specifies a string that -# should uniquely identify the documentation set bundle. This should be a -# reverse domain-name style string, e.g. com.mycompany.MyDocSet. Doxygen -# will append .docset to the name. - -DOCSET_BUNDLE_ID = org.doxygen.Project - -# If the GENERATE_HTMLHELP tag is set to YES, additional index files -# will be generated that can be used as input for tools like the -# Microsoft HTML help workshop to generate a compiled HTML help file (.chm) -# of the generated HTML documentation. - -GENERATE_HTMLHELP = NO - -# If the GENERATE_HTMLHELP tag is set to YES, the CHM_FILE tag can -# be used to specify the file name of the resulting .chm file. You -# can add a path in front of the file if the result should not be -# written to the html output directory. - -CHM_FILE = - -# If the GENERATE_HTMLHELP tag is set to YES, the HHC_LOCATION tag can -# be used to specify the location (absolute path including file name) of -# the HTML help compiler (hhc.exe). If non-empty doxygen will try to run -# the HTML help compiler on the generated index.hhp. - -HHC_LOCATION = - -# If the GENERATE_HTMLHELP tag is set to YES, the GENERATE_CHI flag -# controls if a separate .chi index file is generated (YES) or that -# it should be included in the master .chm file (NO). - -GENERATE_CHI = NO - -# If the GENERATE_HTMLHELP tag is set to YES, the CHM_INDEX_ENCODING -# is used to encode HtmlHelp index (hhk), content (hhc) and project file -# content. - -CHM_INDEX_ENCODING = - -# If the GENERATE_HTMLHELP tag is set to YES, the BINARY_TOC flag -# controls whether a binary table of contents is generated (YES) or a -# normal table of contents (NO) in the .chm file. - -BINARY_TOC = NO - -# The TOC_EXPAND flag can be set to YES to add extra items for group members -# to the contents of the HTML help documentation and to the tree view. - -TOC_EXPAND = NO - -# If the GENERATE_QHP tag is set to YES and both QHP_NAMESPACE and QHP_VIRTUAL_FOLDER -# are set, an additional index file will be generated that can be used as input for -# Qt's qhelpgenerator to generate a Qt Compressed Help (.qch) of the generated -# HTML documentation. - -GENERATE_QHP = NO - -# If the QHG_LOCATION tag is specified, the QCH_FILE tag can -# be used to specify the file name of the resulting .qch file. -# The path specified is relative to the HTML output folder. - -QCH_FILE = - -# The QHP_NAMESPACE tag specifies the namespace to use when generating -# Qt Help Project output. For more information please see -# http://doc.trolltech.com/qthelpproject.html#namespace - -QHP_NAMESPACE = - -# The QHP_VIRTUAL_FOLDER tag specifies the namespace to use when generating -# Qt Help Project output. For more information please see -# http://doc.trolltech.com/qthelpproject.html#virtual-folders - -QHP_VIRTUAL_FOLDER = doc - -# If QHP_CUST_FILTER_NAME is set, it specifies the name of a custom filter to add. -# For more information please see -# http://doc.trolltech.com/qthelpproject.html#custom-filters - -QHP_CUST_FILTER_NAME = - -# The QHP_CUST_FILT_ATTRS tag specifies the list of the attributes of the custom filter to add.For more information please see -# Qt Help Project / Custom Filters. - -QHP_CUST_FILTER_ATTRS = - -# The QHP_SECT_FILTER_ATTRS tag specifies the list of the attributes this project's -# filter section matches. -# Qt Help Project / Filter Attributes. - -QHP_SECT_FILTER_ATTRS = - -# If the GENERATE_QHP tag is set to YES, the QHG_LOCATION tag can -# be used to specify the location of Qt's qhelpgenerator. -# If non-empty doxygen will try to run qhelpgenerator on the generated -# .qhp file. - -QHG_LOCATION = - -# The DISABLE_INDEX tag can be used to turn on/off the condensed index at -# top of each HTML page. The value NO (the default) enables the index and -# the value YES disables it. - -DISABLE_INDEX = NO - -# This tag can be used to set the number of enum values (range [1..20]) -# that doxygen will group on one line in the generated HTML documentation. - -ENUM_VALUES_PER_LINE = 3 - -# The GENERATE_TREEVIEW tag is used to specify whether a tree-like index -# structure should be generated to display hierarchical information. -# If the tag value is set to YES, a side panel will be generated -# containing a tree-like index structure (just like the one that -# is generated for HTML Help). For this to work a browser that supports -# JavaScript, DHTML, CSS and frames is required (i.e. any modern browser). -# Windows users are probably better off using the HTML help feature. - -GENERATE_TREEVIEW = NO - -# By enabling USE_INLINE_TREES, doxygen will generate the Groups, Directories, -# and Class Hierarchy pages using a tree view instead of an ordered list. - -USE_INLINE_TREES = NO - -# If the treeview is enabled (see GENERATE_TREEVIEW) then this tag can be -# used to set the initial width (in pixels) of the frame in which the tree -# is shown. - -TREEVIEW_WIDTH = 250 - -# Use this tag to change the font size of Latex formulas included -# as images in the HTML documentation. The default is 10. Note that -# when you change the font size after a successful doxygen run you need -# to manually remove any form_*.png images from the HTML output directory -# to force them to be regenerated. - -FORMULA_FONTSIZE = 10 - -# When the SEARCHENGINE tag is enable doxygen will generate a search box for the HTML output. The underlying search engine uses javascript -# and DHTML and should work on any modern browser. Note that when using HTML help (GENERATE_HTMLHELP) or Qt help (GENERATE_QHP) -# there is already a search function so this one should typically -# be disabled. - -SEARCHENGINE = NO - -#--------------------------------------------------------------------------- -# configuration options related to the LaTeX output -#--------------------------------------------------------------------------- - -# If the GENERATE_LATEX tag is set to YES (the default) Doxygen will -# generate Latex output. - -GENERATE_LATEX = NO - -# The LATEX_OUTPUT tag is used to specify where the LaTeX docs will be put. -# If a relative path is entered the value of OUTPUT_DIRECTORY will be -# put in front of it. If left blank `latex' will be used as the default path. - -LATEX_OUTPUT = latex - -# The LATEX_CMD_NAME tag can be used to specify the LaTeX command name to be -# invoked. If left blank `latex' will be used as the default command name. - -LATEX_CMD_NAME = latex - -# The MAKEINDEX_CMD_NAME tag can be used to specify the command name to -# generate index for LaTeX. If left blank `makeindex' will be used as the -# default command name. - -MAKEINDEX_CMD_NAME = makeindex - -# If the COMPACT_LATEX tag is set to YES Doxygen generates more compact -# LaTeX documents. This may be useful for small projects and may help to -# save some trees in general. - -COMPACT_LATEX = NO - -# The PAPER_TYPE tag can be used to set the paper type that is used -# by the printer. Possible values are: a4, a4wide, letter, legal and -# executive. If left blank a4wide will be used. - -PAPER_TYPE = a4wide - -# The EXTRA_PACKAGES tag can be to specify one or more names of LaTeX -# packages that should be included in the LaTeX output. - -EXTRA_PACKAGES = - -# The LATEX_HEADER tag can be used to specify a personal LaTeX header for -# the generated latex document. The header should contain everything until -# the first chapter. If it is left blank doxygen will generate a -# standard header. Notice: only use this tag if you know what you are doing! - -LATEX_HEADER = - -# If the PDF_HYPERLINKS tag is set to YES, the LaTeX that is generated -# is prepared for conversion to pdf (using ps2pdf). The pdf file will -# contain links (just like the HTML output) instead of page references -# This makes the output suitable for online browsing using a pdf viewer. - -PDF_HYPERLINKS = NO - -# If the USE_PDFLATEX tag is set to YES, pdflatex will be used instead of -# plain latex in the generated Makefile. Set this option to YES to get a -# higher quality PDF documentation. - -USE_PDFLATEX = NO - -# If the LATEX_BATCHMODE tag is set to YES, doxygen will add the \\batchmode. -# command to the generated LaTeX files. This will instruct LaTeX to keep -# running if errors occur, instead of asking the user for help. -# This option is also used when generating formulas in HTML. - -LATEX_BATCHMODE = NO - -# If LATEX_HIDE_INDICES is set to YES then doxygen will not -# include the index chapters (such as File Index, Compound Index, etc.) -# in the output. - -LATEX_HIDE_INDICES = NO - -# If LATEX_SOURCE_CODE is set to YES then doxygen will include source code with syntax highlighting in the LaTeX output. Note that which sources are shown also depends on other settings such as SOURCE_BROWSER. - -LATEX_SOURCE_CODE = NO - -#--------------------------------------------------------------------------- -# configuration options related to the RTF output -#--------------------------------------------------------------------------- - -# If the GENERATE_RTF tag is set to YES Doxygen will generate RTF output -# The RTF output is optimized for Word 97 and may not look very pretty with -# other RTF readers or editors. - -GENERATE_RTF = NO - -# The RTF_OUTPUT tag is used to specify where the RTF docs will be put. -# If a relative path is entered the value of OUTPUT_DIRECTORY will be -# put in front of it. If left blank `rtf' will be used as the default path. - -RTF_OUTPUT = rtf - -# If the COMPACT_RTF tag is set to YES Doxygen generates more compact -# RTF documents. This may be useful for small projects and may help to -# save some trees in general. - -COMPACT_RTF = NO - -# If the RTF_HYPERLINKS tag is set to YES, the RTF that is generated -# will contain hyperlink fields. The RTF file will -# contain links (just like the HTML output) instead of page references. -# This makes the output suitable for online browsing using WORD or other -# programs which support those fields. -# Note: wordpad (write) and others do not support links. - -RTF_HYPERLINKS = NO - -# Load stylesheet definitions from file. Syntax is similar to doxygen's -# config file, i.e. a series of assignments. You only have to provide -# replacements, missing definitions are set to their default value. - -RTF_STYLESHEET_FILE = - -# Set optional variables used in the generation of an rtf document. -# Syntax is similar to doxygen's config file. - -RTF_EXTENSIONS_FILE = - -#--------------------------------------------------------------------------- -# configuration options related to the man page output -#--------------------------------------------------------------------------- - -# If the GENERATE_MAN tag is set to YES (the default) Doxygen will -# generate man pages - -GENERATE_MAN = NO - -# The MAN_OUTPUT tag is used to specify where the man pages will be put. -# If a relative path is entered the value of OUTPUT_DIRECTORY will be -# put in front of it. If left blank `man' will be used as the default path. - -MAN_OUTPUT = man - -# The MAN_EXTENSION tag determines the extension that is added to -# the generated man pages (default is the subroutine's section .3) - -MAN_EXTENSION = .3 - -# If the MAN_LINKS tag is set to YES and Doxygen generates man output, -# then it will generate one additional man file for each entity -# documented in the real man page(s). These additional files -# only source the real man page, but without them the man command -# would be unable to find the correct page. The default is NO. - -MAN_LINKS = NO - -#--------------------------------------------------------------------------- -# configuration options related to the XML output -#--------------------------------------------------------------------------- - -# If the GENERATE_XML tag is set to YES Doxygen will -# generate an XML file that captures the structure of -# the code including all documentation. - -GENERATE_XML = NO - -# The XML_OUTPUT tag is used to specify where the XML pages will be put. -# If a relative path is entered the value of OUTPUT_DIRECTORY will be -# put in front of it. If left blank `xml' will be used as the default path. - -XML_OUTPUT = xml - -# The XML_SCHEMA tag can be used to specify an XML schema, -# which can be used by a validating XML parser to check the -# syntax of the XML files. - -XML_SCHEMA = - -# The XML_DTD tag can be used to specify an XML DTD, -# which can be used by a validating XML parser to check the -# syntax of the XML files. - -XML_DTD = - -# If the XML_PROGRAMLISTING tag is set to YES Doxygen will -# dump the program listings (including syntax highlighting -# and cross-referencing information) to the XML output. Note that -# enabling this will significantly increase the size of the XML output. - -XML_PROGRAMLISTING = YES - -#--------------------------------------------------------------------------- -# configuration options for the AutoGen Definitions output -#--------------------------------------------------------------------------- - -# If the GENERATE_AUTOGEN_DEF tag is set to YES Doxygen will -# generate an AutoGen Definitions (see autogen.sf.net) file -# that captures the structure of the code including all -# documentation. Note that this feature is still experimental -# and incomplete at the moment. - -GENERATE_AUTOGEN_DEF = NO - -#--------------------------------------------------------------------------- -# configuration options related to the Perl module output -#--------------------------------------------------------------------------- - -# If the GENERATE_PERLMOD tag is set to YES Doxygen will -# generate a Perl module file that captures the structure of -# the code including all documentation. Note that this -# feature is still experimental and incomplete at the -# moment. - -GENERATE_PERLMOD = NO - -# If the PERLMOD_LATEX tag is set to YES Doxygen will generate -# the necessary Makefile rules, Perl scripts and LaTeX code to be able -# to generate PDF and DVI output from the Perl module output. - -PERLMOD_LATEX = NO - -# If the PERLMOD_PRETTY tag is set to YES the Perl module output will be -# nicely formatted so it can be parsed by a human reader. -# This is useful -# if you want to understand what is going on. -# On the other hand, if this -# tag is set to NO the size of the Perl module output will be much smaller -# and Perl will parse it just the same. - -PERLMOD_PRETTY = YES - -# The names of the make variables in the generated doxyrules.make file -# are prefixed with the string contained in PERLMOD_MAKEVAR_PREFIX. -# This is useful so different doxyrules.make files included by the same -# Makefile don't overwrite each other's variables. - -PERLMOD_MAKEVAR_PREFIX = - -#--------------------------------------------------------------------------- -# Configuration options related to the preprocessor -#--------------------------------------------------------------------------- - -# If the ENABLE_PREPROCESSING tag is set to YES (the default) Doxygen will -# evaluate all C-preprocessor directives found in the sources and include -# files. - -ENABLE_PREPROCESSING = YES - -# If the MACRO_EXPANSION tag is set to YES Doxygen will expand all macro -# names in the source code. If set to NO (the default) only conditional -# compilation will be performed. Macro expansion can be done in a controlled -# way by setting EXPAND_ONLY_PREDEF to YES. - -MACRO_EXPANSION = NO - -# If the EXPAND_ONLY_PREDEF and MACRO_EXPANSION tags are both set to YES -# then the macro expansion is limited to the macros specified with the -# PREDEFINED and EXPAND_AS_DEFINED tags. - -EXPAND_ONLY_PREDEF = NO - -# If the SEARCH_INCLUDES tag is set to YES (the default) the includes files -# in the INCLUDE_PATH (see below) will be search if a #include is found. - -SEARCH_INCLUDES = YES - -# The INCLUDE_PATH tag can be used to specify one or more directories that -# contain include files that are not input files but should be processed by -# the preprocessor. - -INCLUDE_PATH = - -# You can use the INCLUDE_FILE_PATTERNS tag to specify one or more wildcard -# patterns (like *.h and *.hpp) to filter out the header-files in the -# directories. If left blank, the patterns specified with FILE_PATTERNS will -# be used. - -INCLUDE_FILE_PATTERNS = - -# The PREDEFINED tag can be used to specify one or more macro names that -# are defined before the preprocessor is started (similar to the -D option of -# gcc). The argument of the tag is a list of macros of the form: name -# or name=definition (no spaces). If the definition and the = are -# omitted =1 is assumed. To prevent a macro definition from being -# undefined via #undef or recursively expanded use the := operator -# instead of the = operator. - -PREDEFINED = QT_VERSION=0x040000 - -# If the MACRO_EXPANSION and EXPAND_ONLY_PREDEF tags are set to YES then -# this tag can be used to specify a list of macro names that should be expanded. -# The macro definition that is found in the sources will be used. -# Use the PREDEFINED tag if you want to use a different macro definition. - -EXPAND_AS_DEFINED = - -# If the SKIP_FUNCTION_MACROS tag is set to YES (the default) then -# doxygen's preprocessor will remove all function-like macros that are alone -# on a line, have an all uppercase name, and do not end with a semicolon. Such -# function macros are typically used for boiler-plate code, and will confuse -# the parser if not removed. - -SKIP_FUNCTION_MACROS = YES - -#--------------------------------------------------------------------------- -# Configuration::additions related to external references -#--------------------------------------------------------------------------- - -# The TAGFILES option can be used to specify one or more tagfiles. -# Optionally an initial location of the external documentation -# can be added for each tagfile. The format of a tag file without -# this location is as follows: -# -# TAGFILES = file1 file2 ... -# Adding location for the tag files is done as follows: -# -# TAGFILES = file1=loc1 "file2 = loc2" ... -# where "loc1" and "loc2" can be relative or absolute paths or -# URLs. If a location is present for each tag, the installdox tool -# does not have to be run to correct the links. -# Note that each tag file must have a unique name -# (where the name does NOT include the path) -# If a tag file is not located in the directory in which doxygen -# is run, you must also specify the path to the tagfile here. - -TAGFILES = - -# When a file name is specified after GENERATE_TAGFILE, doxygen will create -# a tag file that is based on the input files it reads. - -GENERATE_TAGFILE = - -# If the ALLEXTERNALS tag is set to YES all external classes will be listed -# in the class index. If set to NO only the inherited external classes -# will be listed. - -ALLEXTERNALS = NO - -# If the EXTERNAL_GROUPS tag is set to YES all external groups will be listed -# in the modules index. If set to NO, only the current project's groups will -# be listed. - -EXTERNAL_GROUPS = YES - -# The PERL_PATH should be the absolute path and name of the perl script -# interpreter (i.e. the result of `which perl'). - -PERL_PATH = /usr/bin/perl - -#--------------------------------------------------------------------------- -# Configuration options related to the dot tool -#--------------------------------------------------------------------------- - -# If the CLASS_DIAGRAMS tag is set to YES (the default) Doxygen will -# generate a inheritance diagram (in HTML, RTF and LaTeX) for classes with base -# or super classes. Setting the tag to NO turns the diagrams off. Note that -# this option is superseded by the HAVE_DOT option below. This is only a -# fallback. It is recommended to install and use dot, since it yields more -# powerful graphs. - -CLASS_DIAGRAMS = NO - -# You can define message sequence charts within doxygen comments using the \msc -# command. Doxygen will then run the mscgen tool (see -# http://www.mcternan.me.uk/mscgen/) to produce the chart and insert it in the -# documentation. The MSCGEN_PATH tag allows you to specify the directory where -# the mscgen tool resides. If left empty the tool is assumed to be found in the -# default search path. - -MSCGEN_PATH = - -# If set to YES, the inheritance and collaboration graphs will hide -# inheritance and usage relations if the target is undocumented -# or is not a class. - -HIDE_UNDOC_RELATIONS = YES - -# If you set the HAVE_DOT tag to YES then doxygen will assume the dot tool is -# available from the path. This tool is part of Graphviz, a graph visualization -# toolkit from AT&T and Lucent Bell Labs. The other options in this section -# have no effect if this option is set to NO (the default) - -HAVE_DOT = NO - -# By default doxygen will write a font called FreeSans.ttf to the output -# directory and reference it in all dot files that doxygen generates. This -# font does not include all possible unicode characters however, so when you need -# these (or just want a differently looking font) you can specify the font name -# using DOT_FONTNAME. You need need to make sure dot is able to find the font, -# which can be done by putting it in a standard location or by setting the -# DOTFONTPATH environment variable or by setting DOT_FONTPATH to the directory -# containing the font. - -DOT_FONTNAME = FreeSans - -# The DOT_FONTSIZE tag can be used to set the size of the font of dot graphs. -# The default size is 10pt. - -DOT_FONTSIZE = 10 - -# By default doxygen will tell dot to use the output directory to look for the -# FreeSans.ttf font (which doxygen will put there itself). If you specify a -# different font using DOT_FONTNAME you can set the path where dot -# can find it using this tag. - -DOT_FONTPATH = - -# If the CLASS_GRAPH and HAVE_DOT tags are set to YES then doxygen -# will generate a graph for each documented class showing the direct and -# indirect inheritance relations. Setting this tag to YES will force the -# the CLASS_DIAGRAMS tag to NO. - -CLASS_GRAPH = YES - -# If the COLLABORATION_GRAPH and HAVE_DOT tags are set to YES then doxygen -# will generate a graph for each documented class showing the direct and -# indirect implementation dependencies (inheritance, containment, and -# class references variables) of the class with other documented classes. - -COLLABORATION_GRAPH = YES - -# If the GROUP_GRAPHS and HAVE_DOT tags are set to YES then doxygen -# will generate a graph for groups, showing the direct groups dependencies - -GROUP_GRAPHS = YES - -# If the UML_LOOK tag is set to YES doxygen will generate inheritance and -# collaboration diagrams in a style similar to the OMG's Unified Modeling -# Language. - -UML_LOOK = NO - -# If set to YES, the inheritance and collaboration graphs will show the -# relations between templates and their instances. - -TEMPLATE_RELATIONS = YES - -# If the ENABLE_PREPROCESSING, SEARCH_INCLUDES, INCLUDE_GRAPH, and HAVE_DOT -# tags are set to YES then doxygen will generate a graph for each documented -# file showing the direct and indirect include dependencies of the file with -# other documented files. - -INCLUDE_GRAPH = YES - -# If the ENABLE_PREPROCESSING, SEARCH_INCLUDES, INCLUDED_BY_GRAPH, and -# HAVE_DOT tags are set to YES then doxygen will generate a graph for each -# documented header file showing the documented files that directly or -# indirectly include this file. - -INCLUDED_BY_GRAPH = YES - -# If the CALL_GRAPH and HAVE_DOT options are set to YES then -# doxygen will generate a call dependency graph for every global function -# or class method. Note that enabling this option will significantly increase -# the time of a run. So in most cases it will be better to enable call graphs -# for selected functions only using the \callgraph command. - -CALL_GRAPH = NO - -# If the CALLER_GRAPH and HAVE_DOT tags are set to YES then -# doxygen will generate a caller dependency graph for every global function -# or class method. Note that enabling this option will significantly increase -# the time of a run. So in most cases it will be better to enable caller -# graphs for selected functions only using the \callergraph command. - -CALLER_GRAPH = NO - -# If the GRAPHICAL_HIERARCHY and HAVE_DOT tags are set to YES then doxygen -# will graphical hierarchy of all classes instead of a textual one. - -GRAPHICAL_HIERARCHY = YES - -# If the DIRECTORY_GRAPH, SHOW_DIRECTORIES and HAVE_DOT tags are set to YES -# then doxygen will show the dependencies a directory has on other directories -# in a graphical way. The dependency relations are determined by the #include -# relations between the files in the directories. - -DIRECTORY_GRAPH = YES - -# The DOT_IMAGE_FORMAT tag can be used to set the image format of the images -# generated by dot. Possible values are png, jpg, or gif -# If left blank png will be used. - -DOT_IMAGE_FORMAT = png - -# The tag DOT_PATH can be used to specify the path where the dot tool can be -# found. If left blank, it is assumed the dot tool can be found in the path. - -DOT_PATH = - -# The DOTFILE_DIRS tag can be used to specify one or more directories that -# contain dot files that are included in the documentation (see the -# \dotfile command). - -DOTFILE_DIRS = - -# The DOT_GRAPH_MAX_NODES tag can be used to set the maximum number of -# nodes that will be shown in the graph. If the number of nodes in a graph -# becomes larger than this value, doxygen will truncate the graph, which is -# visualized by representing a node as a red box. Note that doxygen if the -# number of direct children of the root node in a graph is already larger than -# DOT_GRAPH_MAX_NODES then the graph will not be shown at all. Also note -# that the size of a graph can be further restricted by MAX_DOT_GRAPH_DEPTH. - -DOT_GRAPH_MAX_NODES = 50 - -# The MAX_DOT_GRAPH_DEPTH tag can be used to set the maximum depth of the -# graphs generated by dot. A depth value of 3 means that only nodes reachable -# from the root by following a path via at most 3 edges will be shown. Nodes -# that lay further from the root node will be omitted. Note that setting this -# option to 1 or 2 may greatly reduce the computation time needed for large -# code bases. Also note that the size of a graph can be further restricted by -# DOT_GRAPH_MAX_NODES. Using a depth of 0 means no depth restriction. - -MAX_DOT_GRAPH_DEPTH = 0 - -# Set the DOT_TRANSPARENT tag to YES to generate images with a transparent -# background. This is disabled by default, because dot on Windows does not -# seem to support this out of the box. Warning: Depending on the platform used, -# enabling this option may lead to badly anti-aliased labels on the edges of -# a graph (i.e. they become hard to read). - -DOT_TRANSPARENT = NO - -# Set the DOT_MULTI_TARGETS tag to YES allow dot to generate multiple output -# files in one run (i.e. multiple -o and -T options on the command line). This -# makes dot run faster, but since only newer versions of dot (>1.8.10) -# support this, this feature is disabled by default. - -DOT_MULTI_TARGETS = NO - -# If the GENERATE_LEGEND tag is set to YES (the default) Doxygen will -# generate a legend page explaining the meaning of the various boxes and -# arrows in the dot generated graphs. - -GENERATE_LEGEND = YES - -# If the DOT_CLEANUP tag is set to YES (the default) Doxygen will -# remove the intermediate dot files that are used to generate -# the various graphs. - -DOT_CLEANUP = YES diff -Nru qscintilla2-2.8.4+dfsg/doc/qscintilla-Qt4Qt5.dxy qscintilla2-2.9+dfsg/doc/qscintilla-Qt4Qt5.dxy --- qscintilla2-2.8.4+dfsg/doc/qscintilla-Qt4Qt5.dxy 2014-09-11 17:15:18.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/qscintilla-Qt4Qt5.dxy 2015-04-20 14:38:24.000000000 +0000 @@ -31,7 +31,7 @@ # This could be handy for archiving the generated documentation or # if some version control system is used. -PROJECT_NUMBER = "2.8.4" +PROJECT_NUMBER = "2.9" # The OUTPUT_DIRECTORY tag is used to specify the (relative or absolute) # base path where the generated documentation will be put. diff -Nru qscintilla2-2.8.4+dfsg/doc/README-Qt3.doc qscintilla2-2.9+dfsg/doc/README-Qt3.doc --- qscintilla2-2.8.4+dfsg/doc/README-Qt3.doc 2014-09-11 17:15:18.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/README-Qt3.doc 1970-01-01 00:00:00.000000000 +0000 @@ -1,211 +0,0 @@ -/*! \mainpage QScintilla - a Port to Qt v3 of Scintilla - -

    Introduction

    - -QScintilla -is a port to Qt of the Scintilla -editing component. - -As well as features found in standard text editing components, Scintilla -includes features especially useful when editing and debugging source code: - -
      -
    • syntax styling with support for over 70 languages -
    • error indicators -
    • code completion -
    • call tips -
    • code folding -
    • margins can contain markers like those used in debuggers to indicate - breakpoints and the current line. -
    • recordable macros -
    • multiple views -
    • printing. -
    - -QScintilla is a port or Scintilla to the Qt GUI toolkit from -Digia and runs on any operating system -supported by Qt (eg. Windows, UNIX/Linux, MacOS/X). QScintilla works with Qt -v3, v4 and v5. - -This documentation describes QScintilla for -Qt v3. -The QScintilla APIs for Qt v3 and Qt v4 and v5 are almost identical. The main -difference is that the QsciScintillaBase class is derived from a different Qt -class. - -QScintilla also includes language bindings for -Python. These require that -PyQt v4 or v5 is -also installed. - -This version of QScintilla is based on Scintilla v3.3.6. - - -

    Licensing

    - -QScintilla is available under the -GNU General Public License v2, -GNU General Public License v3 -and a commercial license. - -The commercial license allows closed source applications using QScintilla to be -developed and distributed. At the moment the commercial version of QScintilla -is bundled with the commercial version of -PyQt. - -The Scintilla code within QScintilla is released under the following license: - -
    - License for Scintilla and SciTE
    -
    - Copyright 1998-2003 by Neil Hodgson 
    -
    - All Rights Reserved
    -
    - Permission to use, copy, modify, and distribute this software and its
    - documentation for any purpose and without fee is hereby granted,
    - provided that the above copyright notice appear in all copies and that
    - both that copyright notice and this permission notice appear in
    - supporting documentation.
    -
    - NEIL HODGSON DISCLAIMS ALL WARRANTIES WITH REGARD TO THIS
    - SOFTWARE, INCLUDING ALL IMPLIED WARRANTIES OF MERCHANTABILITY
    - AND FITNESS, IN NO EVENT SHALL NEIL HODGSON BE LIABLE FOR ANY
    - SPECIAL, INDIRECT OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES
    - WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS,
    - WHETHER IN AN ACTION OF CONTRACT, NEGLIGENCE OR OTHER
    - TORTIOUS ACTION, ARISING OUT OF OR IN CONNECTION WITH THE USE
    - OR PERFORMANCE OF THIS SOFTWARE.
    -
    - - -

    Installation

    - -As supplied QScintilla will be built as a shared library/DLL and installed in -the same directories as the Qt libraries and include files. - -If you wish to build a static version of the library then pass -CONFIG+=staticlib on the qmake command line. - -If you want to make more significant changes to the configuration then edit the -file qscintilla.pro in the -Qt3 -directory. - - -See your qmake documentation for more details. - -To build and install QScintilla, run: - -
    -    cd Qt3
    -    qmake qscintilla.pro
    -    make
    -    make install
    -
    - -If you have multiple versions of Qt installed then make sure you use the -correct version of qmake. - - -

    Installation on Windows

    - -Before compiling QScintilla on Windows you should remove the Qsci -directory containing the QScintilla header files from any previous -installation. This is because the Makefile generated by -qmake will find these older header files instead of the new ones. - -Depending on the compiler you are using you may need to run nmake -rather than make. - -qmake on Windows does not support the installation of directory trees -and so you probably need to run: - -
    -    nmake "COPY_DIR=xcopy /s /q /y /i" install
    -
    - -qmake on Windows will not install the DLL and so you will probably -also want to run: - -
    -    copy Qt3\\qscintilla2.dll %%QTDIR%\\bin
    -
    - - -

    Installation on MacOS/X

    - -On MacOS/X you may need to pass -spec macx-g++ in order to generate a -Makefile, otherwise an XCode project file may be created. In particular the -Qt Designer plugin will not load if you do not do this. - - - - -

    Example Application

    - -The example application provided is a port of the standard Qt -application example with the QsciScintilla class being used instead of -Qt's QMultiLineEdit class. - -The example does not demonstrate all of the extra features of QScintilla. - -To build the example, run: - -
    -    cd example-Qt3
    -    qmake application.pro
    -    make
    -
    - -On Windows (and depending on the compiler you are using) you may need to run -nmake rather than make. - - -

    Python Bindings

    - -The Python bindings are in the Python directory. You must have either -PyQt v4 or v5 already installed. QScintilla must also already be built and -installed. - -The configure, build and install the bindings for PyQt v4, run: - -
    -    python configure.py
    -    make
    -    make install
    -
    - -On Windows (and depending on the compiler you are using) you may need to run -nmake rather than make. - -If you want to build the bindings for PyQt v5 then pass --pyqt=PyQt5 -as an argument to configure.py. - -configure.py supports a number of other arguments. Pass -h -to display a list of the supported arguments. - -configure.py was re-written for QScintilla v2.7.1 to make use of new -features of PyQt v4.10. The old version is still provided as -configure-old.py. The new configure.py will automatically -invoke configure-old.py if it detects a version of PyQt earlier than -v4.10. You may of course explicitly run configure-old.py. - - -

    Qt Designer Plugin

    - -QScintilla includes an optional plugin for Qt Designer that allows QScintilla -instances to be included in GUI designs just like any other Qt widget. - -To build the plugin on all platforms, make sure QScintilla is installed and -then run (as root or administrator): - -
    -    cd designer-Qt3
    -    qmake designer.pro
    -    make
    -
    - -On Windows (and depending on the compiler you are using) you may need to run -nmake rather than make. -*/ diff -Nru qscintilla2-2.8.4+dfsg/doc/README-Qt4Qt5.doc qscintilla2-2.9+dfsg/doc/README-Qt4Qt5.doc --- qscintilla2-2.8.4+dfsg/doc/README-Qt4Qt5.doc 2014-09-11 17:15:18.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/README-Qt4Qt5.doc 2015-04-20 14:38:24.000000000 +0000 @@ -23,34 +23,27 @@ QScintilla is a port or Scintilla to the Qt GUI toolkit from -Digia and runs on any operating system -supported by Qt (eg. Windows, UNIX/Linux, MacOS/X). QScintilla works with Qt -v3, v4 and v5. - -This documentation describes QScintilla for -Qt v4 and Qt v5. -The QScintilla APIs for Qt v3 and Qt v4 and v5 are almost identical. The main -difference is that the QsciScintillaBase class is derived from a different Qt -class. +The Qt Company and runs on any operating system +supported by Qt (eg. Windows, Linux, OS X, iOS and Android). QScintilla works +with Qt v4 and v5. QScintilla also includes language bindings for Python. These require that PyQt v4 or v5 is also installed. -This version of QScintilla is based on Scintilla v3.3.6. +This version of QScintilla is based on Scintilla v3.5.4.

    Licensing

    QScintilla is available under the -GNU General Public License v2, GNU General Public License v3 -and a commercial license. +and the Riverbank Commercial License. The commercial license allows closed source applications using QScintilla to be developed and distributed. At the moment the commercial version of QScintilla -is bundled with the commercial version of +is bundled with, but packaged separately from, the commercial version of PyQt. The Scintilla code within QScintilla is released under the following license: @@ -88,9 +81,7 @@ CONFIG+=staticlib on the qmake command line. If you want to make more significant changes to the configuration then edit the -file qscintilla.pro in the -Qt4Qt5 -directory. +file qscintilla.pro in the Qt4Qt5 directory. If you do make changes, specifically to the names of the installation directories or the name of the library, then you may also need to update the diff -Nru qscintilla2-2.8.4+dfsg/doc/Scintilla/index.html qscintilla2-2.9+dfsg/doc/Scintilla/index.html --- qscintilla2-2.8.4+dfsg/doc/Scintilla/index.html 2013-11-04 14:56:48.000000000 +0000 +++ qscintilla2-2.9+dfsg/doc/Scintilla/index.html 2015-03-26 18:10:15.000000000 +0000 @@ -9,7 +9,7 @@ - +