RUN: /usr/share/launchpad-buildd/slavebin/slave-prep Forking launchpad-buildd slave process... Kernel version: Linux bos02-s390x-020 4.4.0-104-generic #127-Ubuntu SMP Mon Dec 11 12:16:43 UTC 2017 s390x Buildd toolchain package versions: launchpad-buildd_157 python-lpbuildd_157 sbuild_0.67.0-2ubuntu7.1 bzr-builder_0.7.3+bzr174~ppa13~ubuntu14.10.1 bzr_2.7.0-2ubuntu3.1 git-build-recipe_0.3.4~git201611291343.dcee459~ubuntu16.04.1 git_1:2.7.4-0ubuntu1.3 dpkg-dev_1.18.4ubuntu1.3 python-debian_0.1.27ubuntu2. Syncing the system clock with the buildd NTP service... 24 Dec 01:26:03 ntpdate[1754]: adjust time server 10.211.37.1 offset -0.000004 sec RUN: /usr/share/launchpad-buildd/slavebin/in-target unpack-chroot --backend=chroot --series=bionic --arch=s390x PACKAGEBUILD-13916727 /home/buildd/filecache-default/c63540de4962374dad549ddd7685c5c502845718 Creating target for build PACKAGEBUILD-13916727 RUN: /usr/share/launchpad-buildd/slavebin/in-target mount-chroot --backend=chroot --series=bionic --arch=s390x PACKAGEBUILD-13916727 Starting target for build PACKAGEBUILD-13916727 RUN: /usr/share/launchpad-buildd/slavebin/in-target override-sources-list --backend=chroot --series=bionic --arch=s390x PACKAGEBUILD-13916727 'deb http://ftpmaster.internal/ubuntu bionic main restricted universe multiverse' 'deb http://ftpmaster.internal/ubuntu bionic-security main restricted universe multiverse' 'deb http://ftpmaster.internal/ubuntu bionic-updates main restricted universe multiverse' Overriding sources.list in build-PACKAGEBUILD-13916727 RUN: /usr/share/launchpad-buildd/slavebin/in-target update-debian-chroot --backend=chroot --series=bionic --arch=s390x PACKAGEBUILD-13916727 Updating target for build PACKAGEBUILD-13916727 Get:1 http://ftpmaster.internal/ubuntu bionic InRelease [235 kB] Get:2 http://ftpmaster.internal/ubuntu bionic-security InRelease [65.4 kB] Get:3 http://ftpmaster.internal/ubuntu bionic-updates InRelease [65.4 kB] Get:4 http://ftpmaster.internal/ubuntu bionic/main s390x Packages [943 kB] Get:5 http://ftpmaster.internal/ubuntu bionic/main Translation-en [517 kB] Get:6 http://ftpmaster.internal/ubuntu bionic/restricted Translation-en [2788 B] Get:7 http://ftpmaster.internal/ubuntu bionic/universe s390x Packages [8034 kB] Get:8 http://ftpmaster.internal/ubuntu bionic/universe Translation-en [4918 kB] Get:9 http://ftpmaster.internal/ubuntu bionic/multiverse s390x Packages [124 kB] Get:10 http://ftpmaster.internal/ubuntu bionic/multiverse Translation-en [109 kB] Fetched 15.0 MB in 2s (5095 kB/s) Reading package lists... Reading package lists... Building dependency tree... Reading state information... Calculating upgrade... The following packages were automatically installed and are no longer required: libasn1-8-heimdal libcurl3-gnutls libgssapi-krb5-2 libgssapi3-heimdal libhcrypto4-heimdal libheimbase1-heimdal libheimntlm0-heimdal libhx509-5-heimdal libidn2-0 libk5crypto3 libkeyutils1 libkrb5-26-heimdal libkrb5-3 libkrb5support0 libldap-2.4-2 libldap-common libnghttp2-14 libpsl5 libroken18-heimdal librtmp1 libsasl2-2 libsasl2-modules-db libunistring0 libwind0-heimdal Use 'sudo apt autoremove' to remove them. The following NEW packages will be installed: libnghttp2-14 The following packages will be upgraded: adduser apt apt-transport-https base-files base-passwd binutils binutils-common binutils-s390x-linux-gnu bsdutils build-essential coreutils cpp-7 debconf debianutils dpkg dpkg-dev e2fslibs e2fsprogs fdisk findutils g++-7 gcc-7 gcc-7-base gnupg gnupg-agent gpgv libapparmor1 libapt-pkg5.0 libasn1-8-heimdal libassuan0 libatomic1 libbinutils libblkid1 libc-bin libc-dev-bin libc6 libc6-dev libcap-ng0 libcap2 libcc1-0 libcomerr2 libcurl3-gnutls libdpkg-perl libfdisk1 libgcc-7-dev libgcc1 libgcrypt20 libgomp1 libgpg-error0 libgssapi3-heimdal libhcrypto4-heimdal libheimbase1-heimdal libheimntlm0-heimdal libhogweed4 libhx509-5-heimdal libidn11 libidn2-0 libip4tc0 libitm1 libkeyutils1 libkrb5-26-heimdal liblockfile-bin liblockfile1 libmount1 libnettle6 libnpth0 libp11-kit0 libpcre3 libperl5.26 libpng16-16 libpsl5 libroken18-heimdal libseccomp2 libselinux1 libsemanage-common libsemanage1 libsmartcols1 libsqlite3-0 libss2 libssl1.0.0 libstdc++-7-dev libstdc++6 libsystemd0 libtasn1-6 libudev1 libuuid1 libwind0-heimdal linux-libc-dev lsb-base mount multiarch-support openssl optipng perl perl-base perl-modules-5.26 pinentry-curses sensible-utils systemd systemd-sysv sysvinit-utils tzdata util-linux 103 upgraded, 1 newly installed, 0 to remove and 0 not upgraded. Need to get 51.7 MB of archives. After this operation, 845 kB of additional disk space will be used. Get:1 http://ftpmaster.internal/ubuntu bionic/main s390x base-files s390x 10ubuntu1 [55.9 kB] Get:2 http://ftpmaster.internal/ubuntu bionic/main s390x bsdutils s390x 1:2.30.2-0.1ubuntu1 [57.9 kB] Get:3 http://ftpmaster.internal/ubuntu bionic/main s390x coreutils s390x 8.26-3ubuntu4 [1197 kB] Get:4 http://ftpmaster.internal/ubuntu bionic/main s390x debianutils s390x 4.8.3 [85.4 kB] Get:5 http://ftpmaster.internal/ubuntu bionic/main s390x dpkg s390x 1.19.0.4ubuntu1 [1122 kB] Get:6 http://ftpmaster.internal/ubuntu bionic/main s390x libc6-dev s390x 2.26-0ubuntu2 [2022 kB] Get:7 http://ftpmaster.internal/ubuntu bionic/main s390x libc-dev-bin s390x 2.26-0ubuntu2 [62.8 kB] Get:8 http://ftpmaster.internal/ubuntu bionic/main s390x linux-libc-dev s390x 4.13.0-17.20 [957 kB] Get:9 http://ftpmaster.internal/ubuntu bionic/main s390x libgomp1 s390x 7.2.0-18ubuntu2 [70.0 kB] Get:10 http://ftpmaster.internal/ubuntu bionic/main s390x libitm1 s390x 7.2.0-18ubuntu2 [26.9 kB] Get:11 http://ftpmaster.internal/ubuntu bionic/main s390x gcc-7-base s390x 7.2.0-18ubuntu2 [19.1 kB] Get:12 http://ftpmaster.internal/ubuntu bionic/main s390x libgcc1 s390x 1:7.2.0-18ubuntu2 [25.2 kB] Get:13 http://ftpmaster.internal/ubuntu bionic/main s390x libatomic1 s390x 7.2.0-18ubuntu2 [8240 B] Get:14 http://ftpmaster.internal/ubuntu bionic/main s390x cpp-7 s390x 7.2.0-18ubuntu2 [5175 kB] Get:15 http://ftpmaster.internal/ubuntu bionic/main s390x libcc1-0 s390x 7.2.0-18ubuntu2 [37.7 kB] Get:16 http://ftpmaster.internal/ubuntu bionic/main s390x g++-7 s390x 7.2.0-18ubuntu2 [5971 kB] Get:17 http://ftpmaster.internal/ubuntu bionic/main s390x gcc-7 s390x 7.2.0-18ubuntu2 [5845 kB] Get:18 http://ftpmaster.internal/ubuntu bionic/main s390x libgcc-7-dev s390x 7.2.0-18ubuntu2 [279 kB] Get:19 http://ftpmaster.internal/ubuntu bionic/main s390x libstdc++-7-dev s390x 7.2.0-18ubuntu2 [1447 kB] Get:20 http://ftpmaster.internal/ubuntu bionic/main s390x libstdc++6 s390x 7.2.0-18ubuntu2 [398 kB] Get:21 http://ftpmaster.internal/ubuntu bionic/main s390x libbinutils s390x 2.29.1-12ubuntu1 [304 kB] Get:22 http://ftpmaster.internal/ubuntu bionic/main s390x binutils s390x 2.29.1-12ubuntu1 [3360 B] Get:23 http://ftpmaster.internal/ubuntu bionic/main s390x binutils-common s390x 2.29.1-12ubuntu1 [190 kB] Get:24 http://ftpmaster.internal/ubuntu bionic/main s390x binutils-s390x-linux-gnu s390x 2.29.1-12ubuntu1 [1696 kB] Get:25 http://ftpmaster.internal/ubuntu bionic/main s390x libc6 s390x 2.26-0ubuntu2 [2342 kB] Get:26 http://ftpmaster.internal/ubuntu bionic/main s390x e2fslibs s390x 1.43.7-1 [153 kB] Get:27 http://ftpmaster.internal/ubuntu bionic/main s390x e2fsprogs s390x 1.43.7-1 [477 kB] Get:28 http://ftpmaster.internal/ubuntu bionic/main s390x findutils s390x 4.6.0+git+20170828-2 [287 kB] Get:29 http://ftpmaster.internal/ubuntu bionic/main s390x perl-modules-5.26 all 5.26.1-3 [2761 kB] Get:30 http://ftpmaster.internal/ubuntu bionic/main s390x libperl5.26 s390x 5.26.1-3 [3372 kB] Get:31 http://ftpmaster.internal/ubuntu bionic/main s390x perl s390x 5.26.1-3 [201 kB] Get:32 http://ftpmaster.internal/ubuntu bionic/main s390x perl-base s390x 5.26.1-3 [1310 kB] Get:33 http://ftpmaster.internal/ubuntu bionic/main s390x libuuid1 s390x 2.30.2-0.1ubuntu1 [14.9 kB] Get:34 http://ftpmaster.internal/ubuntu bionic/main s390x libblkid1 s390x 2.30.2-0.1ubuntu1 [112 kB] Get:35 http://ftpmaster.internal/ubuntu bionic/main s390x libsmartcols1 s390x 2.30.2-0.1ubuntu1 [74.9 kB] Get:36 http://ftpmaster.internal/ubuntu bionic/main s390x libfdisk1 s390x 2.30.2-0.1ubuntu1 [145 kB] Get:37 http://ftpmaster.internal/ubuntu bionic/main s390x libpcre3 s390x 2:8.39-8 [154 kB] Get:38 http://ftpmaster.internal/ubuntu bionic/main s390x libselinux1 s390x 2.7-2 [64.0 kB] Get:39 http://ftpmaster.internal/ubuntu bionic/main s390x libmount1 s390x 2.30.2-0.1ubuntu1 [122 kB] Get:40 http://ftpmaster.internal/ubuntu bionic/main s390x fdisk s390x 2.30.2-0.1ubuntu1 [100 kB] Get:41 http://ftpmaster.internal/ubuntu bionic/main s390x util-linux s390x 2.30.2-0.1ubuntu1 [845 kB] Get:42 http://ftpmaster.internal/ubuntu bionic/main s390x base-passwd s390x 3.5.44 [46.2 kB] Get:43 http://ftpmaster.internal/ubuntu bionic/main s390x libc-bin s390x 2.26-0ubuntu2 [540 kB] Get:44 http://ftpmaster.internal/ubuntu bionic/main s390x sysvinit-utils s390x 2.88dsf-59.10ubuntu1 [18.2 kB] Get:45 http://ftpmaster.internal/ubuntu bionic/main s390x libudev1 s390x 235-3ubuntu2 [51.4 kB] Get:46 http://ftpmaster.internal/ubuntu bionic/main s390x libapt-pkg5.0 s390x 1.6~alpha5 [724 kB] Get:47 http://ftpmaster.internal/ubuntu bionic/main s390x debconf all 1.5.65 [124 kB] Get:48 http://ftpmaster.internal/ubuntu bionic/main s390x adduser all 3.116ubuntu1 [163 kB] Get:49 http://ftpmaster.internal/ubuntu bionic/main s390x libgpg-error0 s390x 1.27-5 [35.4 kB] Get:50 http://ftpmaster.internal/ubuntu bionic/main s390x libgcrypt20 s390x 1.8.1-4 [350 kB] Get:51 http://ftpmaster.internal/ubuntu bionic/main s390x gpgv s390x 2.1.15-1ubuntu8 [171 kB] Get:52 http://ftpmaster.internal/ubuntu bionic/main s390x libseccomp2 s390x 2.3.1-2.1ubuntu3 [40.8 kB] Get:53 http://ftpmaster.internal/ubuntu bionic/main s390x apt s390x 1.6~alpha5 [1111 kB] Get:54 http://ftpmaster.internal/ubuntu bionic/main s390x libapparmor1 s390x 2.11.0-2ubuntu18 [27.8 kB] Get:55 http://ftpmaster.internal/ubuntu bionic/main s390x libcap2 s390x 1:2.25-1.2 [13.2 kB] Get:56 http://ftpmaster.internal/ubuntu bionic/main s390x libidn11 s390x 1.33-2.1 [44.3 kB] Get:57 http://ftpmaster.internal/ubuntu bionic/main s390x libip4tc0 s390x 1.6.1-2ubuntu2 [19.3 kB] Get:58 http://ftpmaster.internal/ubuntu bionic/main s390x mount s390x 2.30.2-0.1ubuntu1 [106 kB] Get:59 http://ftpmaster.internal/ubuntu bionic/main s390x systemd s390x 235-3ubuntu2 [2569 kB] Get:60 http://ftpmaster.internal/ubuntu bionic/main s390x libsystemd0 s390x 235-3ubuntu2 [180 kB] Get:61 http://ftpmaster.internal/ubuntu bionic/main s390x systemd-sysv s390x 235-3ubuntu2 [13.1 kB] Get:62 http://ftpmaster.internal/ubuntu bionic/main s390x libcap-ng0 s390x 0.7.7-3.1 [10.7 kB] Get:63 http://ftpmaster.internal/ubuntu bionic/main s390x libcomerr2 s390x 1.43.7-1 [11.3 kB] Get:64 http://ftpmaster.internal/ubuntu bionic/main s390x libsemanage-common all 2.7-2 [6916 B] Get:65 http://ftpmaster.internal/ubuntu bionic/main s390x libsemanage1 s390x 2.7-2 [78.6 kB] Get:66 http://ftpmaster.internal/ubuntu bionic/main s390x libss2 s390x 1.43.7-1 [10.7 kB] Get:67 http://ftpmaster.internal/ubuntu bionic/main s390x libnettle6 s390x 3.3-2 [103 kB] Get:68 http://ftpmaster.internal/ubuntu bionic/main s390x libhogweed4 s390x 3.3-2 [134 kB] Get:69 http://ftpmaster.internal/ubuntu bionic/main s390x libp11-kit0 s390x 0.23.9-2 [188 kB] Get:70 http://ftpmaster.internal/ubuntu bionic/main s390x libtasn1-6 s390x 4.12-3 [34.2 kB] Get:71 http://ftpmaster.internal/ubuntu bionic/main s390x lsb-base all 9.20170808ubuntu1 [12.5 kB] Get:72 http://ftpmaster.internal/ubuntu bionic/main s390x sensible-utils all 0.0.11 [14.8 kB] Get:73 http://ftpmaster.internal/ubuntu bionic/main s390x libassuan0 s390x 2.5.1-1 [32.0 kB] Get:74 http://ftpmaster.internal/ubuntu bionic/main s390x pinentry-curses s390x 1.0.0-3 [33.3 kB] Get:75 http://ftpmaster.internal/ubuntu bionic/main s390x libnpth0 s390x 1.5-3 [7512 B] Get:76 http://ftpmaster.internal/ubuntu bionic/main s390x gnupg s390x 2.1.15-1ubuntu8 [733 kB] Get:77 http://ftpmaster.internal/ubuntu bionic/main s390x gnupg-agent s390x 2.1.15-1ubuntu8 [233 kB] Get:78 http://ftpmaster.internal/ubuntu bionic/main s390x libsqlite3-0 s390x 3.21.0-1 [460 kB] Get:79 http://ftpmaster.internal/ubuntu bionic/main s390x libroken18-heimdal s390x 7.5.0+dfsg-1 [39.4 kB] Get:80 http://ftpmaster.internal/ubuntu bionic/main s390x libasn1-8-heimdal s390x 7.5.0+dfsg-1 [147 kB] Get:81 http://ftpmaster.internal/ubuntu bionic/main s390x libheimbase1-heimdal s390x 7.5.0+dfsg-1 [27.5 kB] Get:82 http://ftpmaster.internal/ubuntu bionic/main s390x libhcrypto4-heimdal s390x 7.5.0+dfsg-1 [82.4 kB] Get:83 http://ftpmaster.internal/ubuntu bionic/main s390x libwind0-heimdal s390x 7.5.0+dfsg-1 [47.7 kB] Get:84 http://ftpmaster.internal/ubuntu bionic/main s390x libhx509-5-heimdal s390x 7.5.0+dfsg-1 [98.3 kB] Get:85 http://ftpmaster.internal/ubuntu bionic/main s390x libkrb5-26-heimdal s390x 7.5.0+dfsg-1 [190 kB] Get:86 http://ftpmaster.internal/ubuntu bionic/main s390x libheimntlm0-heimdal s390x 7.5.0+dfsg-1 [14.1 kB] Get:87 http://ftpmaster.internal/ubuntu bionic/main s390x libgssapi3-heimdal s390x 7.5.0+dfsg-1 [86.9 kB] Get:88 http://ftpmaster.internal/ubuntu bionic/main s390x libssl1.0.0 s390x 1.0.2g-1ubuntu14 [771 kB] Get:89 http://ftpmaster.internal/ubuntu bionic/main s390x multiarch-support s390x 2.26-0ubuntu2 [6832 B] Get:90 http://ftpmaster.internal/ubuntu bionic/main s390x openssl s390x 1.0.2g-1ubuntu14 [477 kB] Get:91 http://ftpmaster.internal/ubuntu bionic/main s390x tzdata all 2017c-1 [188 kB] Get:92 http://ftpmaster.internal/ubuntu bionic/main s390x libidn2-0 s390x 2.0.4-1 [91.2 kB] Get:93 http://ftpmaster.internal/ubuntu bionic/main s390x libkeyutils1 s390x 1.5.9-9.2ubuntu1 [9092 B] Get:94 http://ftpmaster.internal/ubuntu bionic/main s390x libpng16-16 s390x 1.6.34-1 [171 kB] Get:95 http://ftpmaster.internal/ubuntu bionic/universe s390x apt-transport-https all 1.6~alpha5 [1692 B] Get:96 http://ftpmaster.internal/ubuntu bionic/main s390x dpkg-dev all 1.19.0.4ubuntu1 [607 kB] Get:97 http://ftpmaster.internal/ubuntu bionic/main s390x libdpkg-perl all 1.19.0.4ubuntu1 [211 kB] Get:98 http://ftpmaster.internal/ubuntu bionic/main s390x build-essential s390x 12.4ubuntu1 [4754 B] Get:99 http://ftpmaster.internal/ubuntu bionic/main s390x libnghttp2-14 s390x 1.28.0-1 [74.1 kB] Get:100 http://ftpmaster.internal/ubuntu bionic/main s390x libpsl5 s390x 0.19.1-4 [40.9 kB] Get:101 http://ftpmaster.internal/ubuntu bionic/main s390x libcurl3-gnutls s390x 7.57.0-1ubuntu1 [193 kB] Get:102 http://ftpmaster.internal/ubuntu bionic/main s390x liblockfile-bin s390x 1.14-1.1 [11.8 kB] Get:103 http://ftpmaster.internal/ubuntu bionic/main s390x liblockfile1 s390x 1.14-1.1 [6532 B] Get:104 http://ftpmaster.internal/ubuntu bionic/main s390x optipng s390x 0.7.6-1.1 [82.0 kB] debconf: delaying package configuration, since apt-utils is not installed Fetched 51.7 MB in 0s (85.0 MB/s) (Reading database ... 12436 files and directories currently installed.) Preparing to unpack .../base-files_10ubuntu1_s390x.deb ... Unpacking base-files (10ubuntu1) over (9.6ubuntu101) ... Setting up base-files (10ubuntu1) ... Installing new version of config file /etc/debian_version ... Installing new version of config file /etc/issue ... Installing new version of config file /etc/issue.net ... Installing new version of config file /etc/lsb-release ... (Reading database ... 12436 files and directories currently installed.) Preparing to unpack .../bsdutils_1%3a2.30.2-0.1ubuntu1_s390x.deb ... Unpacking bsdutils (1:2.30.2-0.1ubuntu1) over (1:2.30.1-0ubuntu4) ... Setting up bsdutils (1:2.30.2-0.1ubuntu1) ... (Reading database ... 12436 files and directories currently installed.) Preparing to unpack .../coreutils_8.26-3ubuntu4_s390x.deb ... Unpacking coreutils (8.26-3ubuntu4) over (8.26-3ubuntu3) ... Setting up coreutils (8.26-3ubuntu4) ... (Reading database ... 12436 files and directories currently installed.) Preparing to unpack .../debianutils_4.8.3_s390x.deb ... Unpacking debianutils (4.8.3) over (4.8.2) ... Setting up debianutils (4.8.3) ... (Reading database ... 12436 files and directories currently installed.) Preparing to unpack .../dpkg_1.19.0.4ubuntu1_s390x.deb ... Unpacking dpkg (1.19.0.4ubuntu1) over (1.18.24ubuntu1) ... Setting up dpkg (1.19.0.4ubuntu1) ... Installing new version of config file /etc/alternatives/README ... Installing new version of config file /etc/cron.daily/dpkg ... Installing new version of config file /etc/logrotate.d/dpkg ... (Reading database ... 12438 files and directories currently installed.) Preparing to unpack .../0-libc6-dev_2.26-0ubuntu2_s390x.deb ... Unpacking libc6-dev:s390x (2.26-0ubuntu2) over (2.26-0ubuntu1) ... Preparing to unpack .../1-libc-dev-bin_2.26-0ubuntu2_s390x.deb ... Unpacking libc-dev-bin (2.26-0ubuntu2) over (2.26-0ubuntu1) ... Preparing to unpack .../2-linux-libc-dev_4.13.0-17.20_s390x.deb ... Unpacking linux-libc-dev:s390x (4.13.0-17.20) over (4.13.0-11.12) ... Preparing to unpack .../3-libgomp1_7.2.0-18ubuntu2_s390x.deb ... Unpacking libgomp1:s390x (7.2.0-18ubuntu2) over (7.2.0-6ubuntu1) ... Preparing to unpack .../4-libitm1_7.2.0-18ubuntu2_s390x.deb ... Unpacking libitm1:s390x (7.2.0-18ubuntu2) over (7.2.0-6ubuntu1) ... Preparing to unpack .../5-gcc-7-base_7.2.0-18ubuntu2_s390x.deb ... Unpacking gcc-7-base:s390x (7.2.0-18ubuntu2) over (7.2.0-6ubuntu1) ... Setting up gcc-7-base:s390x (7.2.0-18ubuntu2) ... (Reading database ... 12438 files and directories currently installed.) Preparing to unpack .../libgcc1_1%3a7.2.0-18ubuntu2_s390x.deb ... Unpacking libgcc1:s390x (1:7.2.0-18ubuntu2) over (1:7.2.0-6ubuntu1) ... Setting up libgcc1:s390x (1:7.2.0-18ubuntu2) ... (Reading database ... 12438 files and directories currently installed.) Preparing to unpack .../0-libatomic1_7.2.0-18ubuntu2_s390x.deb ... Unpacking libatomic1:s390x (7.2.0-18ubuntu2) over (7.2.0-6ubuntu1) ... Preparing to unpack .../1-cpp-7_7.2.0-18ubuntu2_s390x.deb ... Unpacking cpp-7 (7.2.0-18ubuntu2) over (7.2.0-6ubuntu1) ... Preparing to unpack .../2-libcc1-0_7.2.0-18ubuntu2_s390x.deb ... Unpacking libcc1-0:s390x (7.2.0-18ubuntu2) over (7.2.0-6ubuntu1) ... Preparing to unpack .../3-g++-7_7.2.0-18ubuntu2_s390x.deb ... Unpacking g++-7 (7.2.0-18ubuntu2) over (7.2.0-6ubuntu1) ... Preparing to unpack .../4-gcc-7_7.2.0-18ubuntu2_s390x.deb ... Unpacking gcc-7 (7.2.0-18ubuntu2) over (7.2.0-6ubuntu1) ... Preparing to unpack .../5-libgcc-7-dev_7.2.0-18ubuntu2_s390x.deb ... Unpacking libgcc-7-dev:s390x (7.2.0-18ubuntu2) over (7.2.0-6ubuntu1) ... Preparing to unpack .../6-libstdc++-7-dev_7.2.0-18ubuntu2_s390x.deb ... Unpacking libstdc++-7-dev:s390x (7.2.0-18ubuntu2) over (7.2.0-6ubuntu1) ... Preparing to unpack .../7-libstdc++6_7.2.0-18ubuntu2_s390x.deb ... Unpacking libstdc++6:s390x (7.2.0-18ubuntu2) over (7.2.0-6ubuntu1) ... Setting up libstdc++6:s390x (7.2.0-18ubuntu2) ... (Reading database ... 12442 files and directories currently installed.) Preparing to unpack .../libbinutils_2.29.1-12ubuntu1_s390x.deb ... Unpacking libbinutils:s390x (2.29.1-12ubuntu1) over (2.29.1-1ubuntu1) ... Preparing to unpack .../binutils_2.29.1-12ubuntu1_s390x.deb ... Unpacking binutils (2.29.1-12ubuntu1) over (2.29.1-1ubuntu1) ... Preparing to unpack .../binutils-common_2.29.1-12ubuntu1_s390x.deb ... Unpacking binutils-common:s390x (2.29.1-12ubuntu1) over (2.29.1-1ubuntu1) ... Preparing to unpack .../binutils-s390x-linux-gnu_2.29.1-12ubuntu1_s390x.deb ... Unpacking binutils-s390x-linux-gnu (2.29.1-12ubuntu1) over (2.29.1-1ubuntu1) ... Preparing to unpack .../libc6_2.26-0ubuntu2_s390x.deb ... Unpacking libc6:s390x (2.26-0ubuntu2) over (2.26-0ubuntu1) ... Setting up libc6:s390x (2.26-0ubuntu2) ... (Reading database ... 12442 files and directories currently installed.) Preparing to unpack .../e2fslibs_1.43.7-1_s390x.deb ... Unpacking e2fslibs:s390x (1.43.7-1) over (1.43.5-1) ... Setting up e2fslibs:s390x (1.43.7-1) ... (Reading database ... 12442 files and directories currently installed.) Preparing to unpack .../e2fsprogs_1.43.7-1_s390x.deb ... Unpacking e2fsprogs (1.43.7-1) over (1.43.5-1) ... Setting up e2fsprogs (1.43.7-1) ... Installing new version of config file /etc/mke2fs.conf ... (Reading database ... 12442 files and directories currently installed.) Preparing to unpack .../findutils_4.6.0+git+20170828-2_s390x.deb ... Unpacking findutils (4.6.0+git+20170828-2) over (4.6.0+git+20170729-2) ... Setting up findutils (4.6.0+git+20170828-2) ... (Reading database ... 12442 files and directories currently installed.) Preparing to unpack .../perl_5.26.1-3_s390x.deb ... Unpacking perl (5.26.1-3) over (5.26.0-8ubuntu1) ... Preparing to unpack .../perl-modules-5.26_5.26.1-3_all.deb ... Unpacking perl-modules-5.26 (5.26.1-3) over (5.26.0-8ubuntu1) ... Preparing to unpack .../libperl5.26_5.26.1-3_s390x.deb ... Unpacking libperl5.26:s390x (5.26.1-3) over (5.26.0-8ubuntu1) ... Preparing to unpack .../perl-base_5.26.1-3_s390x.deb ... Unpacking perl-base (5.26.1-3) over (5.26.0-8ubuntu1) ... Setting up perl-base (5.26.1-3) ... (Reading database ... 12442 files and directories currently installed.) Preparing to unpack .../libuuid1_2.30.2-0.1ubuntu1_s390x.deb ... Unpacking libuuid1:s390x (2.30.2-0.1ubuntu1) over (2.30.1-0ubuntu4) ... Setting up libuuid1:s390x (2.30.2-0.1ubuntu1) ... (Reading database ... 12442 files and directories currently installed.) Preparing to unpack .../libblkid1_2.30.2-0.1ubuntu1_s390x.deb ... Unpacking libblkid1:s390x (2.30.2-0.1ubuntu1) over (2.30.1-0ubuntu4) ... Setting up libblkid1:s390x (2.30.2-0.1ubuntu1) ... (Reading database ... 12442 files and directories currently installed.) Preparing to unpack .../libsmartcols1_2.30.2-0.1ubuntu1_s390x.deb ... Unpacking libsmartcols1:s390x (2.30.2-0.1ubuntu1) over (2.30.1-0ubuntu4) ... Setting up libsmartcols1:s390x (2.30.2-0.1ubuntu1) ... (Reading database ... 12442 files and directories currently installed.) Preparing to unpack .../libfdisk1_2.30.2-0.1ubuntu1_s390x.deb ... Unpacking libfdisk1:s390x (2.30.2-0.1ubuntu1) over (2.30.1-0ubuntu4) ... Setting up libfdisk1:s390x (2.30.2-0.1ubuntu1) ... (Reading database ... 12442 files and directories currently installed.) Preparing to unpack .../libpcre3_2%3a8.39-8_s390x.deb ... Unpacking libpcre3:s390x (2:8.39-8) over (2:8.39-4) ... Setting up libpcre3:s390x (2:8.39-8) ... (Reading database ... 12442 files and directories currently installed.) Preparing to unpack .../libselinux1_2.7-2_s390x.deb ... Unpacking libselinux1:s390x (2.7-2) over (2.7-1) ... Setting up libselinux1:s390x (2.7-2) ... (Reading database ... 12442 files and directories currently installed.) Preparing to unpack .../libmount1_2.30.2-0.1ubuntu1_s390x.deb ... Unpacking libmount1:s390x (2.30.2-0.1ubuntu1) over (2.30.1-0ubuntu4) ... Setting up libmount1:s390x (2.30.2-0.1ubuntu1) ... (Reading database ... 12442 files and directories currently installed.) Preparing to unpack .../fdisk_2.30.2-0.1ubuntu1_s390x.deb ... Unpacking fdisk (2.30.2-0.1ubuntu1) over (2.30.1-0ubuntu4) ... Setting up fdisk (2.30.2-0.1ubuntu1) ... (Reading database ... 12442 files and directories currently installed.) Preparing to unpack .../util-linux_2.30.2-0.1ubuntu1_s390x.deb ... Unpacking util-linux (2.30.2-0.1ubuntu1) over (2.30.1-0ubuntu4) ... Setting up util-linux (2.30.2-0.1ubuntu1) ... (Reading database ... 12443 files and directories currently installed.) Preparing to unpack .../base-passwd_3.5.44_s390x.deb ... Unpacking base-passwd (3.5.44) over (3.5.43) ... Setting up base-passwd (3.5.44) ... (Reading database ... 12443 files and directories currently installed.) Preparing to unpack .../libc-bin_2.26-0ubuntu2_s390x.deb ... Unpacking libc-bin (2.26-0ubuntu2) over (2.26-0ubuntu1) ... Setting up libc-bin (2.26-0ubuntu2) ... (Reading database ... 12443 files and directories currently installed.) Preparing to unpack .../sysvinit-utils_2.88dsf-59.10ubuntu1_s390x.deb ... Unpacking sysvinit-utils (2.88dsf-59.10ubuntu1) over (2.88dsf-59.8git1) ... Setting up sysvinit-utils (2.88dsf-59.10ubuntu1) ... (Reading database ... 12443 files and directories currently installed.) Preparing to unpack .../libudev1_235-3ubuntu2_s390x.deb ... Unpacking libudev1:s390x (235-3ubuntu2) over (234-2ubuntu10) ... Setting up libudev1:s390x (235-3ubuntu2) ... (Reading database ... 12443 files and directories currently installed.) Preparing to unpack .../libapt-pkg5.0_1.6~alpha5_s390x.deb ... Unpacking libapt-pkg5.0:s390x (1.6~alpha5) over (1.5~rc4) ... Setting up libapt-pkg5.0:s390x (1.6~alpha5) ... (Reading database ... 12443 files and directories currently installed.) Preparing to unpack .../debconf_1.5.65_all.deb ... Unpacking debconf (1.5.65) over (1.5.63) ... Setting up debconf (1.5.65) ... (Reading database ... 12437 files and directories currently installed.) Preparing to unpack .../adduser_3.116ubuntu1_all.deb ... Unpacking adduser (3.116ubuntu1) over (3.113+nmu3ubuntu5) ... Setting up adduser (3.116ubuntu1) ... (Reading database ... 12435 files and directories currently installed.) Preparing to unpack .../libgpg-error0_1.27-5_s390x.deb ... Unpacking libgpg-error0:s390x (1.27-5) over (1.27-3) ... Setting up libgpg-error0:s390x (1.27-5) ... (Reading database ... 12435 files and directories currently installed.) Preparing to unpack .../libgcrypt20_1.8.1-4_s390x.deb ... Unpacking libgcrypt20:s390x (1.8.1-4) over (1.7.8-2ubuntu1) ... Setting up libgcrypt20:s390x (1.8.1-4) ... (Reading database ... 12435 files and directories currently installed.) Preparing to unpack .../gpgv_2.1.15-1ubuntu8_s390x.deb ... Unpacking gpgv (2.1.15-1ubuntu8) over (2.1.15-1ubuntu7) ... Setting up gpgv (2.1.15-1ubuntu8) ... (Reading database ... 12435 files and directories currently installed.) Preparing to unpack .../libseccomp2_2.3.1-2.1ubuntu3_s390x.deb ... Unpacking libseccomp2:s390x (2.3.1-2.1ubuntu3) over (2.3.1-2.1ubuntu2) ... Setting up libseccomp2:s390x (2.3.1-2.1ubuntu3) ... (Reading database ... 12435 files and directories currently installed.) Preparing to unpack .../apt_1.6~alpha5_s390x.deb ... Unpacking apt (1.6~alpha5) over (1.5~rc4) ... Setting up apt (1.6~alpha5) ... (Reading database ... 12431 files and directories currently installed.) Preparing to unpack .../libapparmor1_2.11.0-2ubuntu18_s390x.deb ... Unpacking libapparmor1:s390x (2.11.0-2ubuntu18) over (2.11.0-2ubuntu17) ... Preparing to unpack .../libcap2_1%3a2.25-1.2_s390x.deb ... Unpacking libcap2:s390x (1:2.25-1.2) over (1:2.25-1) ... Preparing to unpack .../libidn11_1.33-2.1_s390x.deb ... Unpacking libidn11:s390x (1.33-2.1) over (1.33-2) ... Setting up libidn11:s390x (1.33-2.1) ... (Reading database ... 12431 files and directories currently installed.) Preparing to unpack .../libip4tc0_1.6.1-2ubuntu2_s390x.deb ... Unpacking libip4tc0:s390x (1.6.1-2ubuntu2) over (1.6.1-2ubuntu1) ... Preparing to unpack .../mount_2.30.2-0.1ubuntu1_s390x.deb ... Unpacking mount (2.30.2-0.1ubuntu1) over (2.30.1-0ubuntu4) ... Preparing to unpack .../systemd_235-3ubuntu2_s390x.deb ... Unpacking systemd (235-3ubuntu2) over (234-2ubuntu10) ... Preparing to unpack .../libsystemd0_235-3ubuntu2_s390x.deb ... Unpacking libsystemd0:s390x (235-3ubuntu2) over (234-2ubuntu10) ... Setting up libsystemd0:s390x (235-3ubuntu2) ... Setting up libapparmor1:s390x (2.11.0-2ubuntu18) ... Setting up libcap2:s390x (1:2.25-1.2) ... Setting up libip4tc0:s390x (1.6.1-2ubuntu2) ... Setting up mount (2.30.2-0.1ubuntu1) ... Setting up systemd (235-3ubuntu2) ... Installing new version of config file /etc/systemd/journald.conf ... Installing new version of config file /etc/systemd/system.conf ... Removing empty /etc/rc.local Removed /etc/systemd/system/network-online.target.wants/systemd-networkd-wait-online.service. Removing user `systemd-bus-proxy' ... Warning: group `systemd-bus-proxy' has no more members. Done. (Reading database ... 12437 files and directories currently installed.) Preparing to unpack .../systemd-sysv_235-3ubuntu2_s390x.deb ... Unpacking systemd-sysv (235-3ubuntu2) over (234-2ubuntu10) ... Preparing to unpack .../libcap-ng0_0.7.7-3.1_s390x.deb ... Unpacking libcap-ng0:s390x (0.7.7-3.1) over (0.7.7-3build1) ... Setting up libcap-ng0:s390x (0.7.7-3.1) ... (Reading database ... 12437 files and directories currently installed.) Preparing to unpack .../libcomerr2_1.43.7-1_s390x.deb ... Unpacking libcomerr2:s390x (1.43.7-1) over (1.43.5-1) ... Setting up libcomerr2:s390x (1.43.7-1) ... (Reading database ... 12437 files and directories currently installed.) Preparing to unpack .../libsemanage-common_2.7-2_all.deb ... Unpacking libsemanage-common (2.7-2) over (2.7-1) ... Setting up libsemanage-common (2.7-2) ... (Reading database ... 12437 files and directories currently installed.) Preparing to unpack .../libsemanage1_2.7-2_s390x.deb ... Unpacking libsemanage1:s390x (2.7-2) over (2.7-1) ... Setting up libsemanage1:s390x (2.7-2) ... (Reading database ... 12437 files and directories currently installed.) Preparing to unpack .../libss2_1.43.7-1_s390x.deb ... Unpacking libss2:s390x (1.43.7-1) over (1.43.5-1) ... Setting up libss2:s390x (1.43.7-1) ... (Reading database ... 12437 files and directories currently installed.) Preparing to unpack .../libnettle6_3.3-2_s390x.deb ... Unpacking libnettle6:s390x (3.3-2) over (3.3-1) ... Setting up libnettle6:s390x (3.3-2) ... (Reading database ... 12437 files and directories currently installed.) Preparing to unpack .../libhogweed4_3.3-2_s390x.deb ... Unpacking libhogweed4:s390x (3.3-2) over (3.3-1) ... Setting up libhogweed4:s390x (3.3-2) ... (Reading database ... 12437 files and directories currently installed.) Preparing to unpack .../libp11-kit0_0.23.9-2_s390x.deb ... Unpacking libp11-kit0:s390x (0.23.9-2) over (0.23.7-3) ... Setting up libp11-kit0:s390x (0.23.9-2) ... (Reading database ... 12437 files and directories currently installed.) Preparing to unpack .../libtasn1-6_4.12-3_s390x.deb ... Unpacking libtasn1-6:s390x (4.12-3) over (4.12-2.1) ... Setting up libtasn1-6:s390x (4.12-3) ... (Reading database ... 12437 files and directories currently installed.) Preparing to unpack .../00-lsb-base_9.20170808ubuntu1_all.deb ... Unpacking lsb-base (9.20170808ubuntu1) over (9.20160110ubuntu5) ... Preparing to unpack .../01-sensible-utils_0.0.11_all.deb ... Unpacking sensible-utils (0.0.11) over (0.0.10) ... Preparing to unpack .../02-libassuan0_2.5.1-1_s390x.deb ... Unpacking libassuan0:s390x (2.5.1-1) over (2.4.3-3) ... Preparing to unpack .../03-pinentry-curses_1.0.0-3_s390x.deb ... Unpacking pinentry-curses (1.0.0-3) over (1.0.0-2) ... Preparing to unpack .../04-libnpth0_1.5-3_s390x.deb ... Unpacking libnpth0:s390x (1.5-3) over (1.5-2) ... Preparing to unpack .../05-gnupg_2.1.15-1ubuntu8_s390x.deb ... Unpacking gnupg (2.1.15-1ubuntu8) over (2.1.15-1ubuntu7) ... Preparing to unpack .../06-gnupg-agent_2.1.15-1ubuntu8_s390x.deb ... Unpacking gnupg-agent (2.1.15-1ubuntu8) over (2.1.15-1ubuntu7) ... Preparing to unpack .../07-libsqlite3-0_3.21.0-1_s390x.deb ... Unpacking libsqlite3-0:s390x (3.21.0-1) over (3.19.3-3) ... Preparing to unpack .../08-libroken18-heimdal_7.5.0+dfsg-1_s390x.deb ... Unpacking libroken18-heimdal:s390x (7.5.0+dfsg-1) over (7.4.0.dfsg.1-2) ... Preparing to unpack .../09-libasn1-8-heimdal_7.5.0+dfsg-1_s390x.deb ... Unpacking libasn1-8-heimdal:s390x (7.5.0+dfsg-1) over (7.4.0.dfsg.1-2) ... Preparing to unpack .../10-libheimbase1-heimdal_7.5.0+dfsg-1_s390x.deb ... Unpacking libheimbase1-heimdal:s390x (7.5.0+dfsg-1) over (7.4.0.dfsg.1-2) ... Preparing to unpack .../11-libhcrypto4-heimdal_7.5.0+dfsg-1_s390x.deb ... Unpacking libhcrypto4-heimdal:s390x (7.5.0+dfsg-1) over (7.4.0.dfsg.1-2) ... Preparing to unpack .../12-libwind0-heimdal_7.5.0+dfsg-1_s390x.deb ... Unpacking libwind0-heimdal:s390x (7.5.0+dfsg-1) over (7.4.0.dfsg.1-2) ... Preparing to unpack .../13-libhx509-5-heimdal_7.5.0+dfsg-1_s390x.deb ... Unpacking libhx509-5-heimdal:s390x (7.5.0+dfsg-1) over (7.4.0.dfsg.1-2) ... Preparing to unpack .../14-libkrb5-26-heimdal_7.5.0+dfsg-1_s390x.deb ... Unpacking libkrb5-26-heimdal:s390x (7.5.0+dfsg-1) over (7.4.0.dfsg.1-2) ... Preparing to unpack .../15-libheimntlm0-heimdal_7.5.0+dfsg-1_s390x.deb ... Unpacking libheimntlm0-heimdal:s390x (7.5.0+dfsg-1) over (7.4.0.dfsg.1-2) ... Preparing to unpack .../16-libgssapi3-heimdal_7.5.0+dfsg-1_s390x.deb ... Unpacking libgssapi3-heimdal:s390x (7.5.0+dfsg-1) over (7.4.0.dfsg.1-2) ... Preparing to unpack .../17-libssl1.0.0_1.0.2g-1ubuntu14_s390x.deb ... Unpacking libssl1.0.0:s390x (1.0.2g-1ubuntu14) over (1.0.2g-1ubuntu13) ... Preparing to unpack .../18-multiarch-support_2.26-0ubuntu2_s390x.deb ... Unpacking multiarch-support (2.26-0ubuntu2) over (2.26-0ubuntu1) ... Preparing to unpack .../19-openssl_1.0.2g-1ubuntu14_s390x.deb ... Unpacking openssl (1.0.2g-1ubuntu14) over (1.0.2g-1ubuntu13) ... Preparing to unpack .../20-tzdata_2017c-1_all.deb ... Unpacking tzdata (2017c-1) over (2017b-2) ... Preparing to unpack .../21-libidn2-0_2.0.4-1_s390x.deb ... Unpacking libidn2-0:s390x (2.0.4-1) over (2.0.2-5) ... Preparing to unpack .../22-libkeyutils1_1.5.9-9.2ubuntu1_s390x.deb ... Unpacking libkeyutils1:s390x (1.5.9-9.2ubuntu1) over (1.5.9-9ubuntu1) ... Preparing to unpack .../23-libpng16-16_1.6.34-1_s390x.deb ... Unpacking libpng16-16:s390x (1.6.34-1) over (1.6.32-2) ... Preparing to unpack .../24-apt-transport-https_1.6~alpha5_all.deb ... Unpacking apt-transport-https (1.6~alpha5) over (1.5~rc4) ... Preparing to unpack .../25-dpkg-dev_1.19.0.4ubuntu1_all.deb ... Unpacking dpkg-dev (1.19.0.4ubuntu1) over (1.18.24ubuntu1) ... Preparing to unpack .../26-libdpkg-perl_1.19.0.4ubuntu1_all.deb ... Unpacking libdpkg-perl (1.19.0.4ubuntu1) over (1.18.24ubuntu1) ... Preparing to unpack .../27-build-essential_12.4ubuntu1_s390x.deb ... Unpacking build-essential (12.4ubuntu1) over (12.1ubuntu2) ... Selecting previously unselected package libnghttp2-14:s390x. Preparing to unpack .../28-libnghttp2-14_1.28.0-1_s390x.deb ... Unpacking libnghttp2-14:s390x (1.28.0-1) ... Preparing to unpack .../29-libpsl5_0.19.1-4_s390x.deb ... Unpacking libpsl5:s390x (0.19.1-4) over (0.18.0-2) ... Preparing to unpack .../30-libcurl3-gnutls_7.57.0-1ubuntu1_s390x.deb ... Unpacking libcurl3-gnutls:s390x (7.57.0-1ubuntu1) over (7.55.1-1ubuntu1) ... Preparing to unpack .../31-liblockfile-bin_1.14-1.1_s390x.deb ... Unpacking liblockfile-bin (1.14-1.1) over (1.14-1) ... Preparing to unpack .../32-liblockfile1_1.14-1.1_s390x.deb ... Unpacking liblockfile1:s390x (1.14-1.1) over (1.14-1) ... Preparing to unpack .../33-optipng_0.7.6-1.1_s390x.deb ... Unpacking optipng (0.7.6-1.1) over (0.7.6-1build1) ... Setting up libnpth0:s390x (1.5-3) ... Setting up libgomp1:s390x (7.2.0-18ubuntu2) ... Setting up libatomic1:s390x (7.2.0-18ubuntu2) ... Setting up liblockfile-bin (1.14-1.1) ... Setting up libcc1-0:s390x (7.2.0-18ubuntu2) ... Setting up apt-transport-https (1.6~alpha5) ... Setting up libidn2-0:s390x (2.0.4-1) ... Setting up libssl1.0.0:s390x (1.0.2g-1ubuntu14) ... Setting up libnghttp2-14:s390x (1.28.0-1) ... Setting up libpng16-16:s390x (1.6.34-1) ... Setting up libpsl5:s390x (0.19.1-4) ... Setting up multiarch-support (2.26-0ubuntu2) ... Setting up tzdata (2017c-1) ... Current default time zone: 'Etc/UTC' Local time is now: Sun Dec 24 01:26:19 UTC 2017. Universal Time is now: Sun Dec 24 01:26:19 UTC 2017. Run 'dpkg-reconfigure tzdata' if you wish to change it. Setting up systemd-sysv (235-3ubuntu2) ... Setting up liblockfile1:s390x (1.14-1.1) ... Setting up linux-libc-dev:s390x (4.13.0-17.20) ... Setting up libroken18-heimdal:s390x (7.5.0+dfsg-1) ... Setting up perl-modules-5.26 (5.26.1-3) ... Setting up optipng (0.7.6-1.1) ... Setting up cpp-7 (7.2.0-18ubuntu2) ... Setting up lsb-base (9.20170808ubuntu1) ... Setting up binutils-common:s390x (2.29.1-12ubuntu1) ... Setting up sensible-utils (0.0.11) ... Processing triggers for libc-bin (2.26-0ubuntu2) ... Setting up libperl5.26:s390x (5.26.1-3) ... Setting up libheimbase1-heimdal:s390x (7.5.0+dfsg-1) ... Setting up openssl (1.0.2g-1ubuntu14) ... Setting up libsqlite3-0:s390x (3.21.0-1) ... Setting up libc-dev-bin (2.26-0ubuntu2) ... Setting up libkeyutils1:s390x (1.5.9-9.2ubuntu1) ... Setting up libc6-dev:s390x (2.26-0ubuntu2) ... Setting up libassuan0:s390x (2.5.1-1) ... Setting up libitm1:s390x (7.2.0-18ubuntu2) ... Setting up libwind0-heimdal:s390x (7.5.0+dfsg-1) ... Setting up libbinutils:s390x (2.29.1-12ubuntu1) ... Setting up libcurl3-gnutls:s390x (7.57.0-1ubuntu1) ... Setting up libasn1-8-heimdal:s390x (7.5.0+dfsg-1) ... Setting up binutils-s390x-linux-gnu (2.29.1-12ubuntu1) ... Setting up libhcrypto4-heimdal:s390x (7.5.0+dfsg-1) ... Setting up libhx509-5-heimdal:s390x (7.5.0+dfsg-1) ... Setting up libgcc-7-dev:s390x (7.2.0-18ubuntu2) ... Setting up libstdc++-7-dev:s390x (7.2.0-18ubuntu2) ... Setting up perl (5.26.1-3) ... Setting up pinentry-curses (1.0.0-3) ... Setting up binutils (2.29.1-12ubuntu1) ... Setting up libkrb5-26-heimdal:s390x (7.5.0+dfsg-1) ... Setting up gnupg-agent (2.1.15-1ubuntu8) ... Setting up libheimntlm0-heimdal:s390x (7.5.0+dfsg-1) ... Setting up gcc-7 (7.2.0-18ubuntu2) ... Setting up g++-7 (7.2.0-18ubuntu2) ... Setting up gnupg (2.1.15-1ubuntu8) ... Setting up libdpkg-perl (1.19.0.4ubuntu1) ... Setting up dpkg-dev (1.19.0.4ubuntu1) ... Setting up libgssapi3-heimdal:s390x (7.5.0+dfsg-1) ... Setting up build-essential (12.4ubuntu1) ... Processing triggers for libc-bin (2.26-0ubuntu2) ... RUN: /usr/share/launchpad-buildd/slavebin/sbuild-package PACKAGEBUILD-13916727 s390x bionic -c chroot:build-PACKAGEBUILD-13916727 --arch=s390x --dist=bionic --nolog 'hsail-tools_0~20170314-3.dsc' Initiating build PACKAGEBUILD-13916727 with 4 jobs across 4 processor cores. Kernel reported to sbuild: 4.4.0-104-generic #127-Ubuntu SMP Mon Dec 11 12:16:43 UTC 2017 s390x sbuild (Debian sbuild) 0.67.0 (26 Dec 2015) on bos02-s390x-020.buildd +==============================================================================+ | hsail-tools 0~20170314-3 (s390x) 24 Dec 2017 01:26 | +==============================================================================+ Package: hsail-tools Version: 0~20170314-3 Source Version: 0~20170314-3 Distribution: bionic Machine Architecture: s390x Host Architecture: s390x Build Architecture: s390x I: NOTICE: Log filtering will replace 'build/hsail-tools-rJ06Kn/hsail-tools-0~20170314' with '<>' I: NOTICE: Log filtering will replace 'build/hsail-tools-rJ06Kn' with '<>' I: NOTICE: Log filtering will replace 'home/buildd/build-PACKAGEBUILD-13916727/chroot-autobuild' with '<>' +------------------------------------------------------------------------------+ | Fetch source files | +------------------------------------------------------------------------------+ Local sources ------------- hsail-tools_0~20170314-3.dsc exists in .; copying to chroot Check architectures ------------------- Check dependencies ------------------ Merged Build-Depends: build-essential, fakeroot Filtered Build-Depends: build-essential, fakeroot dpkg-deb: building package 'sbuild-build-depends-core-dummy' in '/<>/resolver-3If6RJ/apt_archive/sbuild-build-depends-core-dummy.deb'. Ign:1 copy:/<>/resolver-3If6RJ/apt_archive ./ InRelease Get:2 copy:/<>/resolver-3If6RJ/apt_archive ./ Release [2119 B] Ign:3 copy:/<>/resolver-3If6RJ/apt_archive ./ Release.gpg Get:4 copy:/<>/resolver-3If6RJ/apt_archive ./ Sources [214 B] Get:5 copy:/<>/resolver-3If6RJ/apt_archive ./ Packages [526 B] Fetched 2859 B in 0s (248 kB/s) Reading package lists... Reading package lists... +------------------------------------------------------------------------------+ | Install core build dependencies (apt-based resolver) | +------------------------------------------------------------------------------+ Installing build dependencies Reading package lists... Building dependency tree... Reading state information... The following packages were automatically installed and are no longer required: libasn1-8-heimdal libcurl3-gnutls libgssapi-krb5-2 libgssapi3-heimdal libhcrypto4-heimdal libheimbase1-heimdal libheimntlm0-heimdal libhx509-5-heimdal libidn2-0 libk5crypto3 libkeyutils1 libkrb5-26-heimdal libkrb5-3 libkrb5support0 libldap-2.4-2 libldap-common libnghttp2-14 libpsl5 libroken18-heimdal librtmp1 libsasl2-2 libsasl2-modules-db libunistring0 libwind0-heimdal Use 'apt autoremove' to remove them. The following NEW packages will be installed: sbuild-build-depends-core-dummy 0 upgraded, 1 newly installed, 0 to remove and 0 not upgraded. Need to get 852 B of archives. After this operation, 0 B of additional disk space will be used. Get:1 copy:/<>/resolver-3If6RJ/apt_archive ./ sbuild-build-depends-core-dummy 0.invalid.0 [852 B] debconf: delaying package configuration, since apt-utils is not installed Fetched 852 B in 0s (0 B/s) Selecting previously unselected package sbuild-build-depends-core-dummy. (Reading database ... 12441 files and directories currently installed.) Preparing to unpack .../sbuild-build-depends-core-dummy_0.invalid.0_s390x.deb ... Unpacking sbuild-build-depends-core-dummy (0.invalid.0) ... Setting up sbuild-build-depends-core-dummy (0.invalid.0) ... Merged Build-Depends: debhelper (>= 9), cmake, libdwarf-dev, libelf-dev, libncursesw5-dev, re2c Filtered Build-Depends: debhelper (>= 9), cmake, libdwarf-dev, libelf-dev, libncursesw5-dev, re2c dpkg-deb: building package 'sbuild-build-depends-hsail-tools-dummy' in '/<>/resolver-s0OSn7/apt_archive/sbuild-build-depends-hsail-tools-dummy.deb'. Ign:1 copy:/<>/resolver-s0OSn7/apt_archive ./ InRelease Get:2 copy:/<>/resolver-s0OSn7/apt_archive ./ Release [2119 B] Ign:3 copy:/<>/resolver-s0OSn7/apt_archive ./ Release.gpg Get:4 copy:/<>/resolver-s0OSn7/apt_archive ./ Sources [241 B] Get:5 copy:/<>/resolver-s0OSn7/apt_archive ./ Packages [560 B] Fetched 2920 B in 0s (284 kB/s) Reading package lists... Reading package lists... +------------------------------------------------------------------------------+ | Install hsail-tools build dependencies (apt-based resolver) | +------------------------------------------------------------------------------+ Installing build dependencies Reading package lists... Building dependency tree... Reading state information... The following package was automatically installed and is no longer required: libcurl3-gnutls Use 'apt autoremove' to remove it. The following additional packages will be installed: autoconf automake autopoint autotools-dev bsdmainutils cmake cmake-data debhelper dh-autoreconf dh-strip-nondeterminism file gettext gettext-base groff-base intltool-debian libarchive-zip-perl libarchive13 libbsd0 libcroco3 libcurl3 libdwarf-dev libdwarf1 libelf-dev libelf1 libexpat1 libfile-stripnondeterminism-perl libfreetype6 libglib2.0-0 libgraphite2-3 libharfbuzz0b libicu-le-hb0 libicu60 libjsoncpp1 liblzo2-2 libmagic-mgc libmagic1 libncursesw5-dev libpipeline1 librhash0 libsigsegv2 libtimedate-perl libtinfo-dev libtool libuv1 libxml2 m4 man-db po-debconf re2c Suggested packages: autoconf-archive gnu-standards autoconf-doc wamerican | wordlist whois vacation ninja-build dh-make dwz gettext-doc libasprintf-dev libgettextpo-dev groff lrzip ncurses-doc libtool-doc gfortran | fortran95-compiler gcj-jdk m4-doc less www-browser apparmor libmail-box-perl Recommended packages: curl | wget | lynx libarchive-cpio-perl libglib2.0-data shared-mime-info xdg-user-dirs libltdl-dev libmail-sendmail-perl The following packages will be REMOVED: pkg-create-dbgsym* The following NEW packages will be installed: autoconf automake autopoint autotools-dev bsdmainutils cmake cmake-data debhelper dh-autoreconf dh-strip-nondeterminism file gettext gettext-base groff-base intltool-debian libarchive-zip-perl libarchive13 libbsd0 libcroco3 libcurl3 libdwarf-dev libdwarf1 libelf-dev libelf1 libexpat1 libfile-stripnondeterminism-perl libfreetype6 libglib2.0-0 libgraphite2-3 libharfbuzz0b libicu-le-hb0 libicu60 libjsoncpp1 liblzo2-2 libmagic-mgc libmagic1 libncursesw5-dev libpipeline1 librhash0 libsigsegv2 libtimedate-perl libtinfo-dev libtool libuv1 libxml2 m4 man-db po-debconf re2c sbuild-build-depends-hsail-tools-dummy 0 upgraded, 50 newly installed, 1 to remove and 0 not upgraded. Need to get 23.2 MB of archives. After this operation, 97.5 MB of additional disk space will be used. Get:1 copy:/<>/resolver-s0OSn7/apt_archive ./ sbuild-build-depends-hsail-tools-dummy 0.invalid.0 [888 B] Get:2 http://ftpmaster.internal/ubuntu bionic/main s390x groff-base s390x 1.22.3-9 [1174 kB] Get:3 http://ftpmaster.internal/ubuntu bionic/main s390x libbsd0 s390x 0.8.6-3 [40.2 kB] Get:4 http://ftpmaster.internal/ubuntu bionic/main s390x bsdmainutils s390x 9.0.14ubuntu1 [178 kB] Get:5 http://ftpmaster.internal/ubuntu bionic/main s390x libpipeline1 s390x 1.5.0-1 [24.1 kB] Get:6 http://ftpmaster.internal/ubuntu bionic/main s390x man-db s390x 2.7.6.1-4 [886 kB] Get:7 http://ftpmaster.internal/ubuntu bionic/main s390x cmake-data all 3.9.5-1 [1268 kB] Get:8 http://ftpmaster.internal/ubuntu bionic/main s390x liblzo2-2 s390x 2.08-1.2 [44.6 kB] Get:9 http://ftpmaster.internal/ubuntu bionic/main s390x libfreetype6 s390x 2.8-0.2ubuntu2 [313 kB] Get:10 http://ftpmaster.internal/ubuntu bionic/main s390x libglib2.0-0 s390x 2.54.1-1ubuntu1 [1075 kB] Get:11 http://ftpmaster.internal/ubuntu bionic/main s390x libgraphite2-3 s390x 1.3.10-8 [70.3 kB] Get:12 http://ftpmaster.internal/ubuntu bionic/main s390x libharfbuzz0b s390x 1.7.2-1 [218 kB] Get:13 http://ftpmaster.internal/ubuntu bionic/main s390x libicu-le-hb0 s390x 1.0.3+git161113-4 [14.5 kB] Get:14 http://ftpmaster.internal/ubuntu bionic/main s390x libicu60 s390x 60.2-1ubuntu1 [7846 kB] Get:15 http://ftpmaster.internal/ubuntu bionic/main s390x libxml2 s390x 2.9.4+dfsg1-5.2ubuntu1 [593 kB] Get:16 http://ftpmaster.internal/ubuntu bionic/main s390x libarchive13 s390x 3.2.2-3.1 [269 kB] Get:17 http://ftpmaster.internal/ubuntu bionic/main s390x libcurl3 s390x 7.57.0-1ubuntu1 [194 kB] Get:18 http://ftpmaster.internal/ubuntu bionic/main s390x libexpat1 s390x 2.2.5-3 [79.3 kB] Get:19 http://ftpmaster.internal/ubuntu bionic/main s390x libjsoncpp1 s390x 1.7.4-3 [70.8 kB] Get:20 http://ftpmaster.internal/ubuntu bionic/main s390x librhash0 s390x 1.3.4-3 [76.0 kB] Get:21 http://ftpmaster.internal/ubuntu bionic/main s390x libuv1 s390x 1.11.0-2 [57.8 kB] Get:22 http://ftpmaster.internal/ubuntu bionic/main s390x cmake s390x 3.9.5-1 [2754 kB] Get:23 http://ftpmaster.internal/ubuntu bionic/main s390x libmagic-mgc s390x 1:5.32-1 [185 kB] Get:24 http://ftpmaster.internal/ubuntu bionic/main s390x libmagic1 s390x 1:5.32-1 [66.9 kB] Get:25 http://ftpmaster.internal/ubuntu bionic/main s390x file s390x 1:5.32-1 [21.8 kB] Get:26 http://ftpmaster.internal/ubuntu bionic/main s390x libelf1 s390x 0.170-0.1 [42.7 kB] Get:27 http://ftpmaster.internal/ubuntu bionic/main s390x gettext-base s390x 0.19.8.1-4ubuntu2 [47.9 kB] Get:28 http://ftpmaster.internal/ubuntu bionic/main s390x libsigsegv2 s390x 2.11-1 [12.6 kB] Get:29 http://ftpmaster.internal/ubuntu bionic/main s390x m4 s390x 1.4.18-1 [195 kB] Get:30 http://ftpmaster.internal/ubuntu bionic/main s390x autoconf all 2.69-11 [322 kB] Get:31 http://ftpmaster.internal/ubuntu bionic/main s390x autotools-dev all 20171216.1 [39.7 kB] Get:32 http://ftpmaster.internal/ubuntu bionic/main s390x automake all 1:1.15.1-3ubuntu1 [509 kB] Get:33 http://ftpmaster.internal/ubuntu bionic/main s390x autopoint all 0.19.8.1-4ubuntu2 [412 kB] Get:34 http://ftpmaster.internal/ubuntu bionic/main s390x libtool all 2.4.6-2 [194 kB] Get:35 http://ftpmaster.internal/ubuntu bionic/main s390x dh-autoreconf all 15 [15.8 kB] Get:36 http://ftpmaster.internal/ubuntu bionic/main s390x libarchive-zip-perl all 1.60-1 [83.9 kB] Get:37 http://ftpmaster.internal/ubuntu bionic/main s390x libfile-stripnondeterminism-perl all 0.040-1 [13.9 kB] Get:38 http://ftpmaster.internal/ubuntu bionic/main s390x libtimedate-perl all 2.3000-2 [37.5 kB] Get:39 http://ftpmaster.internal/ubuntu bionic/main s390x dh-strip-nondeterminism all 0.040-1 [5196 B] Get:40 http://ftpmaster.internal/ubuntu bionic/main s390x libcroco3 s390x 0.6.12-2 [74.2 kB] Get:41 http://ftpmaster.internal/ubuntu bionic/main s390x gettext s390x 0.19.8.1-4ubuntu2 [1045 kB] Get:42 http://ftpmaster.internal/ubuntu bionic/main s390x intltool-debian all 0.35.0+20060710.4 [24.9 kB] Get:43 http://ftpmaster.internal/ubuntu bionic/main s390x po-debconf all 1.0.20 [232 kB] Get:44 http://ftpmaster.internal/ubuntu bionic/main s390x debhelper all 11ubuntu1 [887 kB] Get:45 http://ftpmaster.internal/ubuntu bionic/universe s390x libdwarf1 s390x 20170709-1 [198 kB] Get:46 http://ftpmaster.internal/ubuntu bionic/universe s390x libdwarf-dev s390x 20170709-1 [725 kB] Get:47 http://ftpmaster.internal/ubuntu bionic/main s390x libelf-dev s390x 0.170-0.1 [55.8 kB] Get:48 http://ftpmaster.internal/ubuntu bionic/main s390x libtinfo-dev s390x 6.0+20160625-1ubuntu1 [80.1 kB] Get:49 http://ftpmaster.internal/ubuntu bionic/main s390x libncursesw5-dev s390x 6.0+20160625-1ubuntu1 [192 kB] Get:50 http://ftpmaster.internal/ubuntu bionic/main s390x re2c s390x 1.0.1-1 [260 kB] debconf: delaying package configuration, since apt-utils is not installed Fetched 23.2 MB in 0s (28.7 MB/s) (Reading database ... 12441 files and directories currently installed.) Removing pkg-create-dbgsym (0.73) ... Selecting previously unselected package groff-base. (Reading database ... 12432 files and directories currently installed.) Preparing to unpack .../00-groff-base_1.22.3-9_s390x.deb ... Unpacking groff-base (1.22.3-9) ... Selecting previously unselected package libbsd0:s390x. Preparing to unpack .../01-libbsd0_0.8.6-3_s390x.deb ... Unpacking libbsd0:s390x (0.8.6-3) ... Selecting previously unselected package bsdmainutils. Preparing to unpack .../02-bsdmainutils_9.0.14ubuntu1_s390x.deb ... Unpacking bsdmainutils (9.0.14ubuntu1) ... Selecting previously unselected package libpipeline1:s390x. Preparing to unpack .../03-libpipeline1_1.5.0-1_s390x.deb ... Unpacking libpipeline1:s390x (1.5.0-1) ... Selecting previously unselected package man-db. Preparing to unpack .../04-man-db_2.7.6.1-4_s390x.deb ... Unpacking man-db (2.7.6.1-4) ... Selecting previously unselected package cmake-data. Preparing to unpack .../05-cmake-data_3.9.5-1_all.deb ... Unpacking cmake-data (3.9.5-1) ... Selecting previously unselected package liblzo2-2:s390x. Preparing to unpack .../06-liblzo2-2_2.08-1.2_s390x.deb ... Unpacking liblzo2-2:s390x (2.08-1.2) ... Selecting previously unselected package libfreetype6:s390x. Preparing to unpack .../07-libfreetype6_2.8-0.2ubuntu2_s390x.deb ... Unpacking libfreetype6:s390x (2.8-0.2ubuntu2) ... Selecting previously unselected package libglib2.0-0:s390x. Preparing to unpack .../08-libglib2.0-0_2.54.1-1ubuntu1_s390x.deb ... Unpacking libglib2.0-0:s390x (2.54.1-1ubuntu1) ... Selecting previously unselected package libgraphite2-3:s390x. Preparing to unpack .../09-libgraphite2-3_1.3.10-8_s390x.deb ... Unpacking libgraphite2-3:s390x (1.3.10-8) ... Selecting previously unselected package libharfbuzz0b:s390x. Preparing to unpack .../10-libharfbuzz0b_1.7.2-1_s390x.deb ... Unpacking libharfbuzz0b:s390x (1.7.2-1) ... Selecting previously unselected package libicu-le-hb0:s390x. Preparing to unpack .../11-libicu-le-hb0_1.0.3+git161113-4_s390x.deb ... Unpacking libicu-le-hb0:s390x (1.0.3+git161113-4) ... Selecting previously unselected package libicu60:s390x. Preparing to unpack .../12-libicu60_60.2-1ubuntu1_s390x.deb ... Unpacking libicu60:s390x (60.2-1ubuntu1) ... Selecting previously unselected package libxml2:s390x. Preparing to unpack .../13-libxml2_2.9.4+dfsg1-5.2ubuntu1_s390x.deb ... Unpacking libxml2:s390x (2.9.4+dfsg1-5.2ubuntu1) ... Selecting previously unselected package libarchive13:s390x. Preparing to unpack .../14-libarchive13_3.2.2-3.1_s390x.deb ... Unpacking libarchive13:s390x (3.2.2-3.1) ... Selecting previously unselected package libcurl3:s390x. Preparing to unpack .../15-libcurl3_7.57.0-1ubuntu1_s390x.deb ... Unpacking libcurl3:s390x (7.57.0-1ubuntu1) ... Selecting previously unselected package libexpat1:s390x. Preparing to unpack .../16-libexpat1_2.2.5-3_s390x.deb ... Unpacking libexpat1:s390x (2.2.5-3) ... Selecting previously unselected package libjsoncpp1:s390x. Preparing to unpack .../17-libjsoncpp1_1.7.4-3_s390x.deb ... Unpacking libjsoncpp1:s390x (1.7.4-3) ... Selecting previously unselected package librhash0. Preparing to unpack .../18-librhash0_1.3.4-3_s390x.deb ... Unpacking librhash0 (1.3.4-3) ... Selecting previously unselected package libuv1:s390x. Preparing to unpack .../19-libuv1_1.11.0-2_s390x.deb ... Unpacking libuv1:s390x (1.11.0-2) ... Selecting previously unselected package cmake. Preparing to unpack .../20-cmake_3.9.5-1_s390x.deb ... Unpacking cmake (3.9.5-1) ... Selecting previously unselected package libmagic-mgc. Preparing to unpack .../21-libmagic-mgc_1%3a5.32-1_s390x.deb ... Unpacking libmagic-mgc (1:5.32-1) ... Selecting previously unselected package libmagic1:s390x. Preparing to unpack .../22-libmagic1_1%3a5.32-1_s390x.deb ... Unpacking libmagic1:s390x (1:5.32-1) ... Selecting previously unselected package file. Preparing to unpack .../23-file_1%3a5.32-1_s390x.deb ... Unpacking file (1:5.32-1) ... Selecting previously unselected package libelf1:s390x. Preparing to unpack .../24-libelf1_0.170-0.1_s390x.deb ... Unpacking libelf1:s390x (0.170-0.1) ... Selecting previously unselected package gettext-base. Preparing to unpack .../25-gettext-base_0.19.8.1-4ubuntu2_s390x.deb ... Unpacking gettext-base (0.19.8.1-4ubuntu2) ... Selecting previously unselected package libsigsegv2:s390x. Preparing to unpack .../26-libsigsegv2_2.11-1_s390x.deb ... Unpacking libsigsegv2:s390x (2.11-1) ... Selecting previously unselected package m4. Preparing to unpack .../27-m4_1.4.18-1_s390x.deb ... Unpacking m4 (1.4.18-1) ... Selecting previously unselected package autoconf. Preparing to unpack .../28-autoconf_2.69-11_all.deb ... Unpacking autoconf (2.69-11) ... Selecting previously unselected package autotools-dev. Preparing to unpack .../29-autotools-dev_20171216.1_all.deb ... Unpacking autotools-dev (20171216.1) ... Selecting previously unselected package automake. Preparing to unpack .../30-automake_1%3a1.15.1-3ubuntu1_all.deb ... Unpacking automake (1:1.15.1-3ubuntu1) ... Selecting previously unselected package autopoint. Preparing to unpack .../31-autopoint_0.19.8.1-4ubuntu2_all.deb ... Unpacking autopoint (0.19.8.1-4ubuntu2) ... Selecting previously unselected package libtool. Preparing to unpack .../32-libtool_2.4.6-2_all.deb ... Unpacking libtool (2.4.6-2) ... Selecting previously unselected package dh-autoreconf. Preparing to unpack .../33-dh-autoreconf_15_all.deb ... Unpacking dh-autoreconf (15) ... Selecting previously unselected package libarchive-zip-perl. Preparing to unpack .../34-libarchive-zip-perl_1.60-1_all.deb ... Unpacking libarchive-zip-perl (1.60-1) ... Selecting previously unselected package libfile-stripnondeterminism-perl. Preparing to unpack .../35-libfile-stripnondeterminism-perl_0.040-1_all.deb ... Unpacking libfile-stripnondeterminism-perl (0.040-1) ... Selecting previously unselected package libtimedate-perl. Preparing to unpack .../36-libtimedate-perl_2.3000-2_all.deb ... Unpacking libtimedate-perl (2.3000-2) ... Selecting previously unselected package dh-strip-nondeterminism. Preparing to unpack .../37-dh-strip-nondeterminism_0.040-1_all.deb ... Unpacking dh-strip-nondeterminism (0.040-1) ... Selecting previously unselected package libcroco3:s390x. Preparing to unpack .../38-libcroco3_0.6.12-2_s390x.deb ... Unpacking libcroco3:s390x (0.6.12-2) ... Selecting previously unselected package gettext. Preparing to unpack .../39-gettext_0.19.8.1-4ubuntu2_s390x.deb ... Unpacking gettext (0.19.8.1-4ubuntu2) ... Selecting previously unselected package intltool-debian. Preparing to unpack .../40-intltool-debian_0.35.0+20060710.4_all.deb ... Unpacking intltool-debian (0.35.0+20060710.4) ... Selecting previously unselected package po-debconf. Preparing to unpack .../41-po-debconf_1.0.20_all.deb ... Unpacking po-debconf (1.0.20) ... Selecting previously unselected package debhelper. Preparing to unpack .../42-debhelper_11ubuntu1_all.deb ... Unpacking debhelper (11ubuntu1) ... Selecting previously unselected package libdwarf1:s390x. Preparing to unpack .../43-libdwarf1_20170709-1_s390x.deb ... Unpacking libdwarf1:s390x (20170709-1) ... Selecting previously unselected package libdwarf-dev:s390x. Preparing to unpack .../44-libdwarf-dev_20170709-1_s390x.deb ... Unpacking libdwarf-dev:s390x (20170709-1) ... Selecting previously unselected package libelf-dev:s390x. Preparing to unpack .../45-libelf-dev_0.170-0.1_s390x.deb ... Unpacking libelf-dev:s390x (0.170-0.1) ... Selecting previously unselected package libtinfo-dev:s390x. Preparing to unpack .../46-libtinfo-dev_6.0+20160625-1ubuntu1_s390x.deb ... Unpacking libtinfo-dev:s390x (6.0+20160625-1ubuntu1) ... Selecting previously unselected package libncursesw5-dev:s390x. Preparing to unpack .../47-libncursesw5-dev_6.0+20160625-1ubuntu1_s390x.deb ... Unpacking libncursesw5-dev:s390x (6.0+20160625-1ubuntu1) ... Selecting previously unselected package re2c. Preparing to unpack .../48-re2c_1.0.1-1_s390x.deb ... Unpacking re2c (1.0.1-1) ... Selecting previously unselected package sbuild-build-depends-hsail-tools-dummy. Preparing to unpack .../49-sbuild-build-depends-hsail-tools-dummy_0.invalid.0_s390x.deb ... Unpacking sbuild-build-depends-hsail-tools-dummy (0.invalid.0) ... Setting up libexpat1:s390x (2.2.5-3) ... Setting up libarchive-zip-perl (1.60-1) ... Setting up libtimedate-perl (2.3000-2) ... Setting up libsigsegv2:s390x (2.11-1) ... Setting up libcurl3:s390x (7.57.0-1ubuntu1) ... Setting up libuv1:s390x (1.11.0-2) ... Setting up re2c (1.0.1-1) ... Setting up libelf1:s390x (0.170-0.1) ... Setting up groff-base (1.22.3-9) ... Setting up libglib2.0-0:s390x (2.54.1-1ubuntu1) ... No schema files found: doing nothing. Setting up libtinfo-dev:s390x (6.0+20160625-1ubuntu1) ... Setting up gettext-base (0.19.8.1-4ubuntu2) ... Setting up cmake-data (3.9.5-1) ... Setting up libpipeline1:s390x (1.5.0-1) ... Setting up libdwarf1:s390x (20170709-1) ... Setting up m4 (1.4.18-1) ... Setting up libelf-dev:s390x (0.170-0.1) ... Setting up libbsd0:s390x (0.8.6-3) ... Setting up libfreetype6:s390x (2.8-0.2ubuntu2) ... Setting up libmagic-mgc (1:5.32-1) ... Setting up libmagic1:s390x (1:5.32-1) ... Setting up libgraphite2-3:s390x (1.3.10-8) ... Setting up librhash0 (1.3.4-3) ... Processing triggers for libc-bin (2.26-0ubuntu2) ... Setting up autotools-dev (20171216.1) ... Setting up libncursesw5-dev:s390x (6.0+20160625-1ubuntu1) ... Setting up bsdmainutils (9.0.14ubuntu1) ... update-alternatives: using /usr/bin/bsd-write to provide /usr/bin/write (write) in auto mode update-alternatives: using /usr/bin/bsd-from to provide /usr/bin/from (from) in auto mode Setting up autopoint (0.19.8.1-4ubuntu2) ... Setting up liblzo2-2:s390x (2.08-1.2) ... Setting up libfile-stripnondeterminism-perl (0.040-1) ... Setting up libjsoncpp1:s390x (1.7.4-3) ... Setting up libdwarf-dev:s390x (20170709-1) ... Setting up libharfbuzz0b:s390x (1.7.2-1) ... Setting up autoconf (2.69-11) ... Setting up file (1:5.32-1) ... Setting up automake (1:1.15.1-3ubuntu1) ... update-alternatives: using /usr/bin/automake-1.15 to provide /usr/bin/automake (automake) in auto mode Setting up man-db (2.7.6.1-4) ... Not building database; man-db/auto-update is not 'true'. Setting up libtool (2.4.6-2) ... Setting up libicu-le-hb0:s390x (1.0.3+git161113-4) ... Setting up dh-strip-nondeterminism (0.040-1) ... Setting up libicu60:s390x (60.2-1ubuntu1) ... Setting up libxml2:s390x (2.9.4+dfsg1-5.2ubuntu1) ... Setting up libcroco3:s390x (0.6.12-2) ... Setting up gettext (0.19.8.1-4ubuntu2) ... Setting up libarchive13:s390x (3.2.2-3.1) ... Setting up intltool-debian (0.35.0+20060710.4) ... Setting up cmake (3.9.5-1) ... Setting up po-debconf (1.0.20) ... Setting up debhelper (11ubuntu1) ... Setting up dh-autoreconf (15) ... Setting up sbuild-build-depends-hsail-tools-dummy (0.invalid.0) ... (Reading database ... 16791 files and directories currently installed.) Purging configuration files for pkg-create-dbgsym (0.73) ... Processing triggers for libc-bin (2.26-0ubuntu2) ... +------------------------------------------------------------------------------+ | Build environment | +------------------------------------------------------------------------------+ Kernel: Linux 4.4.0-104-generic s390x (s390x) Toolchain package versions: binutils_2.29.1-12ubuntu1 dpkg-dev_1.19.0.4ubuntu1 g++-7_7.2.0-18ubuntu2 gcc-7_7.2.0-18ubuntu2 libc6-dev_2.26-0ubuntu2 libstdc++-7-dev_7.2.0-18ubuntu2 libstdc++6_7.2.0-18ubuntu2 linux-libc-dev_4.13.0-17.20 Package versions: adduser_3.116ubuntu1 advancecomp_2.0-1 apt_1.6~alpha5 apt-transport-https_1.6~alpha5 autoconf_2.69-11 automake_1:1.15.1-3ubuntu1 autopoint_0.19.8.1-4ubuntu2 autotools-dev_20171216.1 base-files_10ubuntu1 base-passwd_3.5.44 bash_4.4-5ubuntu1 binutils_2.29.1-12ubuntu1 binutils-common_2.29.1-12ubuntu1 binutils-s390x-linux-gnu_2.29.1-12ubuntu1 bsdmainutils_9.0.14ubuntu1 bsdutils_1:2.30.2-0.1ubuntu1 build-essential_12.4ubuntu1 bzip2_1.0.6-8.1 ca-certificates_20170717 cmake_3.9.5-1 cmake-data_3.9.5-1 coreutils_8.26-3ubuntu4 cpp_4:7.2.0-1ubuntu1 cpp-7_7.2.0-18ubuntu2 dash_0.5.8-2.3ubuntu1 debconf_1.5.65 debhelper_11ubuntu1 debianutils_4.8.3 dh-autoreconf_15 dh-strip-nondeterminism_0.040-1 diffutils_1:3.6-1 dmsetup_2:1.02.137-2ubuntu3 dpkg_1.19.0.4ubuntu1 dpkg-dev_1.19.0.4ubuntu1 e2fslibs_1.43.7-1 e2fsprogs_1.43.7-1 fakeroot_1.21-1ubuntu2 fdisk_2.30.2-0.1ubuntu1 file_1:5.32-1 findutils_4.6.0+git+20170828-2 g++_4:7.2.0-1ubuntu1 g++-7_7.2.0-18ubuntu2 gcc_4:7.2.0-1ubuntu1 gcc-7_7.2.0-18ubuntu2 gcc-7-base_7.2.0-18ubuntu2 gettext_0.19.8.1-4ubuntu2 gettext-base_0.19.8.1-4ubuntu2 gnupg_2.1.15-1ubuntu8 gnupg-agent_2.1.15-1ubuntu8 gpgv_2.1.15-1ubuntu8 grep_3.1-2 groff-base_1.22.3-9 gzip_1.6-5ubuntu1 hostname_3.18 init_1.49ubuntu1 init-system-helpers_1.49ubuntu1 initscripts_2.88dsf-59.3ubuntu2 insserv_1.14.0-5ubuntu3 intltool-debian_0.35.0+20060710.4 libacl1_2.2.52-3build1 libapparmor1_2.11.0-2ubuntu18 libapt-pkg5.0_1.6~alpha5 libarchive-zip-perl_1.60-1 libarchive13_3.2.2-3.1 libasn1-8-heimdal_7.5.0+dfsg-1 libassuan0_2.5.1-1 libatomic1_7.2.0-18ubuntu2 libattr1_1:2.4.47-2build1 libaudit-common_1:2.7.7-1ubuntu2 libaudit1_1:2.7.7-1ubuntu2 libbinutils_2.29.1-12ubuntu1 libblkid1_2.30.2-0.1ubuntu1 libbsd0_0.8.6-3 libbz2-1.0_1.0.6-8.1 libc-bin_2.26-0ubuntu2 libc-dev-bin_2.26-0ubuntu2 libc6_2.26-0ubuntu2 libc6-dev_2.26-0ubuntu2 libcap-ng0_0.7.7-3.1 libcap2_1:2.25-1.2 libcc1-0_7.2.0-18ubuntu2 libcomerr2_1.43.7-1 libcroco3_0.6.12-2 libcryptsetup4_2:1.7.3-4ubuntu1 libcurl3_7.57.0-1ubuntu1 libcurl3-gnutls_7.57.0-1ubuntu1 libdb5.3_5.3.28-13.1 libdebconfclient0_0.213ubuntu1 libdevmapper1.02.1_2:1.02.137-2ubuntu3 libdpkg-perl_1.19.0.4ubuntu1 libdwarf-dev_20170709-1 libdwarf1_20170709-1 libelf-dev_0.170-0.1 libelf1_0.170-0.1 libexpat1_2.2.5-3 libfakeroot_1.21-1ubuntu2 libfdisk1_2.30.2-0.1ubuntu1 libffi6_3.2.1-6 libfile-stripnondeterminism-perl_0.040-1 libfreetype6_2.8-0.2ubuntu2 libgcc-7-dev_7.2.0-18ubuntu2 libgcc1_1:7.2.0-18ubuntu2 libgcrypt20_1.8.1-4 libgdbm3_1.8.3-14 libglib2.0-0_2.54.1-1ubuntu1 libgmp10_2:6.1.2+dfsg-1 libgnutls30_3.5.8-6ubuntu3 libgomp1_7.2.0-18ubuntu2 libgpg-error0_1.27-5 libgraphite2-3_1.3.10-8 libgssapi-krb5-2_1.15.1-2 libgssapi3-heimdal_7.5.0+dfsg-1 libharfbuzz0b_1.7.2-1 libhcrypto4-heimdal_7.5.0+dfsg-1 libheimbase1-heimdal_7.5.0+dfsg-1 libheimntlm0-heimdal_7.5.0+dfsg-1 libhogweed4_3.3-2 libhx509-5-heimdal_7.5.0+dfsg-1 libicu-le-hb0_1.0.3+git161113-4 libicu60_60.2-1ubuntu1 libidn11_1.33-2.1 libidn2-0_2.0.4-1 libip4tc0_1.6.1-2ubuntu2 libisl15_0.18-1 libitm1_7.2.0-18ubuntu2 libjsoncpp1_1.7.4-3 libk5crypto3_1.15.1-2 libkeyutils1_1.5.9-9.2ubuntu1 libkmod2_24-1ubuntu2 libkrb5-26-heimdal_7.5.0+dfsg-1 libkrb5-3_1.15.1-2 libkrb5support0_1.15.1-2 libksba8_1.3.5-2 libldap-2.4-2_2.4.45+dfsg-1ubuntu1 libldap-common_2.4.45+dfsg-1ubuntu1 liblockfile-bin_1.14-1.1 liblockfile1_1.14-1.1 liblz4-1_0.0~r131-2ubuntu2 liblzma5_5.2.2-1.3 liblzo2-2_2.08-1.2 libmagic-mgc_1:5.32-1 libmagic1_1:5.32-1 libmount1_2.30.2-0.1ubuntu1 libmpc3_1.0.3-2 libmpfr4_3.1.6-1 libncurses5_6.0+20160625-1ubuntu1 libncursesw5_6.0+20160625-1ubuntu1 libncursesw5-dev_6.0+20160625-1ubuntu1 libnettle6_3.3-2 libnghttp2-14_1.28.0-1 libnpth0_1.5-3 libp11-kit0_0.23.9-2 libpam-modules_1.1.8-3.2ubuntu3 libpam-modules-bin_1.1.8-3.2ubuntu3 libpam-runtime_1.1.8-3.2ubuntu3 libpam0g_1.1.8-3.2ubuntu3 libpcre3_2:8.39-8 libperl5.26_5.26.1-3 libpipeline1_1.5.0-1 libpng16-16_1.6.34-1 libprocps6_2:3.3.12-1ubuntu2 libpsl5_0.19.1-4 libreadline7_7.0-0ubuntu2 librhash0_1.3.4-3 libroken18-heimdal_7.5.0+dfsg-1 librtmp1_2.4+20151223.gitfa8646d.1-1 libsasl2-2_2.1.27~101-g0780600+dfsg-3ubuntu1 libsasl2-modules-db_2.1.27~101-g0780600+dfsg-3ubuntu1 libseccomp2_2.3.1-2.1ubuntu3 libselinux1_2.7-2 libsemanage-common_2.7-2 libsemanage1_2.7-2 libsepol1_2.7-1 libsigsegv2_2.11-1 libslang2_2.3.1-5ubuntu1 libsmartcols1_2.30.2-0.1ubuntu1 libsqlite3-0_3.21.0-1 libss2_1.43.7-1 libssl1.0.0_1.0.2g-1ubuntu14 libstdc++-7-dev_7.2.0-18ubuntu2 libstdc++6_7.2.0-18ubuntu2 libsystemd0_235-3ubuntu2 libtasn1-6_4.12-3 libtimedate-perl_2.3000-2 libtinfo-dev_6.0+20160625-1ubuntu1 libtinfo5_6.0+20160625-1ubuntu1 libtool_2.4.6-2 libudev1_235-3ubuntu2 libunistring0_0.9.3-5.2ubuntu1 libusb-0.1-4_2:0.1.12-31 libustr-1.0-1_1.0.4-6 libuuid1_2.30.2-0.1ubuntu1 libuv1_1.11.0-2 libwind0-heimdal_7.5.0+dfsg-1 libxml2_2.9.4+dfsg1-5.2ubuntu1 linux-libc-dev_4.13.0-17.20 lockfile-progs_0.1.17build1 login_1:4.2-3.2ubuntu4 lsb-base_9.20170808ubuntu1 m4_1.4.18-1 make_4.1-9.1 man-db_2.7.6.1-4 mawk_1.3.3-17ubuntu2 mount_2.30.2-0.1ubuntu1 multiarch-support_2.26-0ubuntu2 ncurses-base_6.0+20160625-1ubuntu1 ncurses-bin_6.0+20160625-1ubuntu1 openssl_1.0.2g-1ubuntu14 optipng_0.7.6-1.1 passwd_1:4.2-3.2ubuntu4 patch_2.7.5-1build1 perl_5.26.1-3 perl-base_5.26.1-3 perl-modules-5.26_5.26.1-3 pinentry-curses_1.0.0-3 pkgbinarymangler_131 po-debconf_1.0.20 policyrcd-script-zg2_0.1-3 procps_2:3.3.12-1ubuntu2 re2c_1.0.1-1 readline-common_7.0-0ubuntu2 sbuild-build-depends-core-dummy_0.invalid.0 sbuild-build-depends-hsail-tools-dummy_0.invalid.0 sed_4.4-1 sensible-utils_0.0.11 systemd_235-3ubuntu2 systemd-sysv_235-3ubuntu2 sysv-rc_2.88dsf-59.3ubuntu2 sysvinit-utils_2.88dsf-59.10ubuntu1 tar_1.29b-2 tzdata_2017c-1 ubuntu-keyring_2016.10.27 util-linux_2.30.2-0.1ubuntu1 xz-utils_5.2.2-1.3 zlib1g_1:1.2.11.dfsg-0ubuntu2 +------------------------------------------------------------------------------+ | Build | +------------------------------------------------------------------------------+ Unpack source ------------- gpgv: Signature made Tue Oct 3 14:14:40 2017 UTC gpgv: using RSA key gpgv: issuer "doko@debian.org" gpgv: Can't check signature: No public key dpkg-source: warning: failed to verify signature on ./hsail-tools_0~20170314-3.dsc dpkg-source: info: extracting hsail-tools in hsail-tools-0~20170314 dpkg-source: info: unpacking hsail-tools_0~20170314.orig.tar.xz dpkg-source: info: unpacking hsail-tools_0~20170314-3.debian.tar.xz dpkg-source: info: applying no-werror.diff dpkg-source: info: applying depend-tests.patch Check disc space ---------------- Sufficient free space for build User Environment ---------------- APT_CONFIG=/var/lib/sbuild/apt.conf DEB_BUILD_OPTIONS=noautodbgsym parallel=4 HOME=/sbuild-nonexistent LANG=C.UTF-8 LC_ALL=C.UTF-8 LOGNAME=buildd PATH=/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games SCHROOT_ALIAS_NAME=build-PACKAGEBUILD-13916727 SCHROOT_CHROOT_NAME=build-PACKAGEBUILD-13916727 SCHROOT_COMMAND=env SCHROOT_GID=2501 SCHROOT_GROUP=buildd SCHROOT_SESSION_ID=build-PACKAGEBUILD-13916727 SCHROOT_UID=2001 SCHROOT_USER=buildd SHELL=/bin/sh TERM=unknown USER=buildd V=1 dpkg-buildpackage ----------------- dpkg-buildpackage: info: source package hsail-tools dpkg-buildpackage: info: source version 0~20170314-3 dpkg-buildpackage: info: source distribution unstable dpkg-source --before-build hsail-tools-0~20170314 dpkg-buildpackage: info: host architecture s390x fakeroot debian/rules clean dh clean --parallel dh_auto_clean -O--parallel dh_clean -O--parallel debian/rules build-arch dh build-arch --parallel dh_update_autotools_config -a -O--parallel dh_auto_configure -a -O--parallel cd obj-s390x-linux-gnu && cmake .. -DCMAKE_INSTALL_PREFIX=/usr -DCMAKE_VERBOSE_MAKEFILE=ON -DCMAKE_BUILD_TYPE=None -DCMAKE_INSTALL_SYSCONFDIR=/etc -DCMAKE_INSTALL_LOCALSTATEDIR=/var -DCMAKE_EXPORT_NO_PACKAGE_REGISTRY=ON -DCMAKE_FIND_PACKAGE_NO_PACKAGE_REGISTRY=ON -- The C compiler identification is GNU 7.2.0 -- The CXX compiler identification is GNU 7.2.0 -- Check for working C compiler: /usr/bin/cc -- Check for working C compiler: /usr/bin/cc -- works -- Detecting C compiler ABI info -- Detecting C compiler ABI info - done -- Detecting C compile features -- Detecting C compile features - done -- Check for working CXX compiler: /usr/bin/c++ -- Check for working CXX compiler: /usr/bin/c++ -- works -- Detecting CXX compiler ABI info -- Detecting CXX compiler ABI info - done -- Detecting CXX compile features -- Detecting CXX compile features - done -- Found RE2C: /usr/bin/re2c -- Vendor extensions: ON -- AMD extensions: ON -- Building HSAILAsm: ON -- Found Perl: /usr/bin/perl (found version "5.26.1") -- Found LibElf: /usr/lib/s390x-linux-gnu/libelf.so -- Performing Test ELF_GETSHDRSTRNDX -- Performing Test ELF_GETSHDRSTRNDX - Success -- Found LibDwarf: /usr/lib/s390x-linux-gnu/libdwarf.so -- Looking for dwarf_producer_init -- Looking for dwarf_producer_init - found -- Performing Test DW_CONST -- Performing Test DW_CONST - Success -- Looking for dwarf_producer_init_c -- Looking for dwarf_producer_init_c - not found -- libdwarf uses const char* type -- libdwarf does not have dwarf_producer_init_c, using dwarf_producer_init -- Building with BRIG DWARF support: ON -- Configuring done -- Generating done CMake Warning: Manually-specified variables were not used by the project: CMAKE_EXPORT_NO_PACKAGE_REGISTRY CMAKE_INSTALL_LOCALSTATEDIR CMAKE_INSTALL_SYSCONFDIR -- Build files have been written to: /<>/obj-s390x-linux-gnu dh_auto_build -a -O--parallel cd obj-s390x-linux-gnu && make -j4 make[1]: Entering directory '/<>/obj-s390x-linux-gnu' /usr/bin/cmake -H"/<>" -B"/<>/obj-s390x-linux-gnu" --check-build-system CMakeFiles/Makefile.cmake 0 /usr/bin/cmake -E cmake_progress_start "/<>/obj-s390x-linux-gnu/CMakeFiles" "/<>/obj-s390x-linux-gnu/CMakeFiles/progress.marks" make -f CMakeFiles/Makefile2 all make[2]: Entering directory '/<>/obj-s390x-linux-gnu' make -f libHSAIL/CMakeFiles/libhsail-includes.dir/build.make libHSAIL/CMakeFiles/libhsail-includes.dir/depend make[3]: Entering directory '/<>/obj-s390x-linux-gnu' cd "/<>/obj-s390x-linux-gnu" && /usr/bin/cmake -E cmake_depends "Unix Makefiles" "/<>" "/<>/libHSAIL" "/<>/obj-s390x-linux-gnu" "/<>/obj-s390x-linux-gnu/libHSAIL" "/<>/obj-s390x-linux-gnu/libHSAIL/CMakeFiles/libhsail-includes.dir/DependInfo.cmake" --color= Scanning dependencies of target libhsail-includes make[3]: Leaving directory '/<>/obj-s390x-linux-gnu' make -f libHSAIL/CMakeFiles/libhsail-includes.dir/build.make libHSAIL/CMakeFiles/libhsail-includes.dir/build make[3]: Entering directory '/<>/obj-s390x-linux-gnu' [ 3%] Generating libHSAIL sources cd "/<>/obj-s390x-linux-gnu/libHSAIL" && /usr/bin/perl /<>/libHSAIL/generate.pl -re2c /usr/bin/re2c /<>/libHSAIL /<>/obj-s390x-linux-gnu/libHSAIL/generated /usr/bin/perl -I "/etc/perl" /<>/libHSAIL/HDLProcessor.pl -target=validator /<>/libHSAIL/HSAILDefs.hdl /<>/libHSAIL/HSAILCore.hdl >/<>/obj-s390x-linux-gnu/libHSAIL/generated/HSAILInstValidation_core_gen.hpp.tmp /usr/bin/perl -I "/etc/perl" /<>/libHSAIL/HDLProcessor.pl -target=validator /<>/libHSAIL/HSAILDefs.hdl /<>/libHSAIL/HSAILImage.hdl >/<>/obj-s390x-linux-gnu/libHSAIL/generated/HSAILInstValidation_image_gen.hpp.tmp /usr/bin/re2c -i --no-generation-date /<>/obj-s390x-linux-gnu/libHSAIL/generated/HSAILScannerRules_gen.re2c.tmp >/<>/obj-s390x-linux-gnu/libHSAIL/generated/HSAILScannerRules_gen_re2c.hpp.tmp updating /<>/obj-s390x-linux-gnu/libHSAIL/generated/HSAILVisitItems_gen.hpp updating /<>/obj-s390x-linux-gnu/libHSAIL/generated/HSAILScannerRules_gen_re2c.hpp updating /<>/obj-s390x-linux-gnu/libHSAIL/generated/HSAILBrigEnum2str_gen.hpp updating /<>/obj-s390x-linux-gnu/libHSAIL/generated/HSAILTemplateUtilities_gen.hpp updating /<>/obj-s390x-linux-gnu/libHSAIL/generated/HSAILBrigInstUtils_gen.hpp updating /<>/obj-s390x-linux-gnu/libHSAIL/generated/HSAILItemImpls_gen.hpp updating /<>/obj-s390x-linux-gnu/libHSAIL/generated/HSAILBrigStaticChecks_gen.hpp updating /<>/obj-s390x-linux-gnu/libHSAIL/generated/HSAILBrigPropsFastAcc_gen.hpp updating /<>/obj-s390x-linux-gnu/libHSAIL/generated/HSAILItems_gen.hpp updating /<>/obj-s390x-linux-gnu/libHSAIL/generated/HSAILScannerRules_gen.re2c updating /<>/obj-s390x-linux-gnu/libHSAIL/generated/HSAILBrigUtilities_gen.hpp updating /<>/obj-s390x-linux-gnu/libHSAIL/generated/HSAILInstValidation_core_gen.hpp updating /<>/obj-s390x-linux-gnu/libHSAIL/generated/HSAILBrigProps_gen.hpp updating /<>/obj-s390x-linux-gnu/libHSAIL/generated/HSAILInstValidation_image_gen.hpp updating /<>/obj-s390x-linux-gnu/libHSAIL/generated/HSAILBrigValidation_gen.hpp updating /<>/obj-s390x-linux-gnu/libHSAIL/generated/HSAILParserUtilities_gen.hpp updating /<>/obj-s390x-linux-gnu/libHSAIL/generated/HSAILEnums.td updating /<>/obj-s390x-linux-gnu/libHSAIL/generated/HSAILBrigPropsName_gen.hpp updating /<>/obj-s390x-linux-gnu/libHSAIL/generated/HSAILBrigPropsVisitor_gen.hpp updating /<>/obj-s390x-linux-gnu/libHSAIL/generated/HSAILBrigPropsAcc_gen.hpp updating /<>/obj-s390x-linux-gnu/libHSAIL/generated/HSAILInitBrig_gen.hpp updating /<>/obj-s390x-linux-gnu/libHSAIL/generated/HSAILPropAccessors_gen.hpp make[3]: Leaving directory '/<>/obj-s390x-linux-gnu' [ 3%] Built target libhsail-includes make -f libHSAIL/CMakeFiles/hsail.dir/build.make libHSAIL/CMakeFiles/hsail.dir/depend make[3]: Entering directory '/<>/obj-s390x-linux-gnu' cd "/<>/obj-s390x-linux-gnu" && /usr/bin/cmake -E cmake_depends "Unix Makefiles" "/<>" "/<>/libHSAIL" "/<>/obj-s390x-linux-gnu" "/<>/obj-s390x-linux-gnu/libHSAIL" "/<>/obj-s390x-linux-gnu/libHSAIL/CMakeFiles/hsail.dir/DependInfo.cmake" --color= Scanning dependencies of target hsail make[3]: Leaving directory '/<>/obj-s390x-linux-gnu' make -f libHSAIL/CMakeFiles/hsail.dir/build.make libHSAIL/CMakeFiles/hsail.dir/build make[3]: Entering directory '/<>/obj-s390x-linux-gnu' [ 10%] Building CXX object libHSAIL/CMakeFiles/hsail.dir/HSAILBrigContainer.cpp.o [ 10%] Building CXX object libHSAIL/CMakeFiles/hsail.dir/HSAILBrigObjectFile.cpp.o cd "/<>/obj-s390x-linux-gnu/libHSAIL" && /usr/bin/c++ -DWITH_LIBBRIGDWARF=1 -D__STDC_CONSTANT_MACROS -D__STDC_FORMAT_MACROS -D__STDC_LIMIT_MACROS -I"/<>/libHSAIL" -I"/<>/obj-s390x-linux-gnu/libHSAIL/generated" -isystem /usr/include/libdwarf -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fpic -Wall -std=c++11 -pthread -fno-rtti -fvisibility-inlines-hidden -o CMakeFiles/hsail.dir/HSAILBrigContainer.cpp.o -c "/<>/libHSAIL/HSAILBrigContainer.cpp" cd "/<>/obj-s390x-linux-gnu/libHSAIL" && /usr/bin/c++ -DWITH_LIBBRIGDWARF=1 -D__STDC_CONSTANT_MACROS -D__STDC_FORMAT_MACROS -D__STDC_LIMIT_MACROS -I"/<>/libHSAIL" -I"/<>/obj-s390x-linux-gnu/libHSAIL/generated" -isystem /usr/include/libdwarf -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fpic -Wall -std=c++11 -pthread -fno-rtti -fvisibility-inlines-hidden -o CMakeFiles/hsail.dir/HSAILBrigObjectFile.cpp.o -c "/<>/libHSAIL/HSAILBrigObjectFile.cpp" [ 13%] Building CXX object libHSAIL/CMakeFiles/hsail.dir/HSAILDisassembler.cpp.o cd "/<>/obj-s390x-linux-gnu/libHSAIL" && /usr/bin/c++ -DWITH_LIBBRIGDWARF=1 -D__STDC_CONSTANT_MACROS -D__STDC_FORMAT_MACROS -D__STDC_LIMIT_MACROS -I"/<>/libHSAIL" -I"/<>/obj-s390x-linux-gnu/libHSAIL/generated" -isystem /usr/include/libdwarf -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fpic -Wall -std=c++11 -pthread -fno-rtti -fvisibility-inlines-hidden -o CMakeFiles/hsail.dir/HSAILDisassembler.cpp.o -c "/<>/libHSAIL/HSAILDisassembler.cpp" [ 16%] Building CXX object libHSAIL/CMakeFiles/hsail.dir/HSAILBrigantine.cpp.o cd "/<>/obj-s390x-linux-gnu/libHSAIL" && /usr/bin/c++ -DWITH_LIBBRIGDWARF=1 -D__STDC_CONSTANT_MACROS -D__STDC_FORMAT_MACROS -D__STDC_LIMIT_MACROS -I"/<>/libHSAIL" -I"/<>/obj-s390x-linux-gnu/libHSAIL/generated" -isystem /usr/include/libdwarf -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fpic -Wall -std=c++11 -pthread -fno-rtti -fvisibility-inlines-hidden -o CMakeFiles/hsail.dir/HSAILBrigantine.cpp.o -c "/<>/libHSAIL/HSAILBrigantine.cpp" In file included from /<>/libHSAIL/HSAILBrigObjectFile.cpp:41:0: /<>/libHSAIL/HSAILBrigObjectFile.h: In instantiation of ‘int HSAIL_ASM::WriteAdapter::write(const T (&)[N], unsigned int) [with T = char; unsigned int N = 16]’: /<>/libHSAIL/HSAILBrigObjectFile.cpp:459:26: required from ‘int HSAIL_ASM::BrigIOImpl::alignFilePos(HSAIL_ASM::WriteAdapter*, HSAIL_ASM::BrigIOImpl::Off&, unsigned int) [with Policy = HSAIL_ASM::Elf32Policy; HSAIL_ASM::BrigIOImpl::Off = unsigned int]’ /<>/libHSAIL/HSAILBrigObjectFile.cpp:527:25: required from ‘int HSAIL_ASM::BrigIOImpl::writeContents(HSAIL_ASM::WriteAdapter*) [with Policy = HSAIL_ASM::Elf32Policy]’ /<>/libHSAIL/HSAILBrigObjectFile.cpp:572:29: required from ‘int HSAIL_ASM::BrigIOImpl::writeElf(HSAIL_ASM::WriteAdapter*) [with Policy = HSAIL_ASM::Elf32Policy]’ /<>/libHSAIL/HSAILBrigObjectFile.cpp:431:24: required from ‘int HSAIL_ASM::BrigIOImpl::writeContainer(HSAIL_ASM::WriteAdapter*, const HSAIL_ASM::BrigContainer&) [with Policy = HSAIL_ASM::Elf32Policy]’ /<>/libHSAIL/HSAILBrigObjectFile.cpp:1001:49: required from here /<>/libHSAIL/HSAILBrigObjectFile.h:108:50: warning: ‘*’ in boolean context, suggest ‘&&’ instead [-Wint-in-bool-context] return write((const char*)a, sizeof a[0] * numElems? numElems : N); ~~~~~^~~~~~~~~~ [ 20%] Building CXX object libHSAIL/CMakeFiles/hsail.dir/HSAILDump.cpp.o cd "/<>/obj-s390x-linux-gnu/libHSAIL" && /usr/bin/c++ -DWITH_LIBBRIGDWARF=1 -D__STDC_CONSTANT_MACROS -D__STDC_FORMAT_MACROS -D__STDC_LIMIT_MACROS -I"/<>/libHSAIL" -I"/<>/obj-s390x-linux-gnu/libHSAIL/generated" -isystem /usr/include/libdwarf -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fpic -Wall -std=c++11 -pthread -fno-rtti -fvisibility-inlines-hidden -o CMakeFiles/hsail.dir/HSAILDump.cpp.o -c "/<>/libHSAIL/HSAILDump.cpp" [ 23%] Building CXX object libHSAIL/CMakeFiles/hsail.dir/HSAILFloats.cpp.o cd "/<>/obj-s390x-linux-gnu/libHSAIL" && /usr/bin/c++ -DWITH_LIBBRIGDWARF=1 -D__STDC_CONSTANT_MACROS -D__STDC_FORMAT_MACROS -D__STDC_LIMIT_MACROS -I"/<>/libHSAIL" -I"/<>/obj-s390x-linux-gnu/libHSAIL/generated" -isystem /usr/include/libdwarf -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fpic -Wall -std=c++11 -pthread -fno-rtti -fvisibility-inlines-hidden -o CMakeFiles/hsail.dir/HSAILFloats.cpp.o -c "/<>/libHSAIL/HSAILFloats.cpp" [ 26%] Building CXX object libHSAIL/CMakeFiles/hsail.dir/HSAILItems.cpp.o cd "/<>/obj-s390x-linux-gnu/libHSAIL" && /usr/bin/c++ -DWITH_LIBBRIGDWARF=1 -D__STDC_CONSTANT_MACROS -D__STDC_FORMAT_MACROS -D__STDC_LIMIT_MACROS -I"/<>/libHSAIL" -I"/<>/obj-s390x-linux-gnu/libHSAIL/generated" -isystem /usr/include/libdwarf -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fpic -Wall -std=c++11 -pthread -fno-rtti -fvisibility-inlines-hidden -o CMakeFiles/hsail.dir/HSAILItems.cpp.o -c "/<>/libHSAIL/HSAILItems.cpp" [ 30%] Building CXX object libHSAIL/CMakeFiles/hsail.dir/HSAILParser.cpp.o cd "/<>/obj-s390x-linux-gnu/libHSAIL" && /usr/bin/c++ -DWITH_LIBBRIGDWARF=1 -D__STDC_CONSTANT_MACROS -D__STDC_FORMAT_MACROS -D__STDC_LIMIT_MACROS -I"/<>/libHSAIL" -I"/<>/obj-s390x-linux-gnu/libHSAIL/generated" -isystem /usr/include/libdwarf -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fpic -Wall -std=c++11 -pthread -fno-rtti -fvisibility-inlines-hidden -o CMakeFiles/hsail.dir/HSAILParser.cpp.o -c "/<>/libHSAIL/HSAILParser.cpp" [ 33%] Building CXX object libHSAIL/CMakeFiles/hsail.dir/HSAILScanner.cpp.o cd "/<>/obj-s390x-linux-gnu/libHSAIL" && /usr/bin/c++ -DWITH_LIBBRIGDWARF=1 -D__STDC_CONSTANT_MACROS -D__STDC_FORMAT_MACROS -D__STDC_LIMIT_MACROS -I"/<>/libHSAIL" -I"/<>/obj-s390x-linux-gnu/libHSAIL/generated" -isystem /usr/include/libdwarf -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fpic -Wall -std=c++11 -pthread -fno-rtti -fvisibility-inlines-hidden -o CMakeFiles/hsail.dir/HSAILScanner.cpp.o -c "/<>/libHSAIL/HSAILScanner.cpp" [ 36%] Building CXX object libHSAIL/CMakeFiles/hsail.dir/HSAILScannerRules.cpp.o cd "/<>/obj-s390x-linux-gnu/libHSAIL" && /usr/bin/c++ -DWITH_LIBBRIGDWARF=1 -D__STDC_CONSTANT_MACROS -D__STDC_FORMAT_MACROS -D__STDC_LIMIT_MACROS -I"/<>/libHSAIL" -I"/<>/obj-s390x-linux-gnu/libHSAIL/generated" -isystem /usr/include/libdwarf -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fpic -Wall -std=c++11 -pthread -fno-rtti -fvisibility-inlines-hidden -o CMakeFiles/hsail.dir/HSAILScannerRules.cpp.o -c "/<>/libHSAIL/HSAILScannerRules.cpp" In file included from /<>/libHSAIL/HSAILScannerRules.cpp:46:0: /<>/obj-s390x-linux-gnu/libHSAIL/generated/HSAILScannerRules_gen_re2c.hpp: In member function ‘HSAIL_ASM::ETokens HSAIL_ASM::Scanner::scanModifier(HSAIL_ASM::EScanContext, HSAIL_ASM::Scanner::Token&)’: /<>/obj-s390x-linux-gnu/libHSAIL/generated/HSAILScannerRules_gen_re2c.hpp:271:17: warning: unused variable ‘ctxMarker’ [-Wunused-variable] const char *ctxMarker = NULL; ^~~~~~~~~ /<>/obj-s390x-linux-gnu/libHSAIL/generated/HSAILScannerRules_gen_re2c.hpp: In member function ‘HSAIL_ASM::ETokens HSAIL_ASM::Scanner::scanDefault(HSAIL_ASM::EScanContext, HSAIL_ASM::Scanner::Token&)’: /<>/obj-s390x-linux-gnu/libHSAIL/generated/HSAILScannerRules_gen_re2c.hpp:14065:17: warning: unused variable ‘ctxMarker’ [-Wunused-variable] const char *ctxMarker = NULL; ^~~~~~~~~ [ 40%] Building CXX object libHSAIL/CMakeFiles/hsail.dir/HSAILTool.cpp.o cd "/<>/obj-s390x-linux-gnu/libHSAIL" && /usr/bin/c++ -DWITH_LIBBRIGDWARF=1 -D__STDC_CONSTANT_MACROS -D__STDC_FORMAT_MACROS -D__STDC_LIMIT_MACROS -I"/<>/libHSAIL" -I"/<>/obj-s390x-linux-gnu/libHSAIL/generated" -isystem /usr/include/libdwarf -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fpic -Wall -std=c++11 -pthread -fno-rtti -fvisibility-inlines-hidden -o CMakeFiles/hsail.dir/HSAILTool.cpp.o -c "/<>/libHSAIL/HSAILTool.cpp" /<>/libHSAIL/HSAILTool.cpp:301:26: warning: macro "__DATE__" might prevent reproducible builds [-Wdate-time] out << " Built " << __DATE__ << " (" << __TIME__ << ")." << std::endl; ^~~~~~~~ /<>/libHSAIL/HSAILTool.cpp:301:46: warning: macro "__TIME__" might prevent reproducible builds [-Wdate-time] out << " Built " << __DATE__ << " (" << __TIME__ << ")." << std::endl; ^~~~~~~~ [ 43%] Building CXX object libHSAIL/CMakeFiles/hsail.dir/HSAILUtilities.cpp.o cd "/<>/obj-s390x-linux-gnu/libHSAIL" && /usr/bin/c++ -DWITH_LIBBRIGDWARF=1 -D__STDC_CONSTANT_MACROS -D__STDC_FORMAT_MACROS -D__STDC_LIMIT_MACROS -I"/<>/libHSAIL" -I"/<>/obj-s390x-linux-gnu/libHSAIL/generated" -isystem /usr/include/libdwarf -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fpic -Wall -std=c++11 -pthread -fno-rtti -fvisibility-inlines-hidden -o CMakeFiles/hsail.dir/HSAILUtilities.cpp.o -c "/<>/libHSAIL/HSAILUtilities.cpp" [ 46%] Building CXX object libHSAIL/CMakeFiles/hsail.dir/HSAILValidator.cpp.o cd "/<>/obj-s390x-linux-gnu/libHSAIL" && /usr/bin/c++ -DWITH_LIBBRIGDWARF=1 -D__STDC_CONSTANT_MACROS -D__STDC_FORMAT_MACROS -D__STDC_LIMIT_MACROS -I"/<>/libHSAIL" -I"/<>/obj-s390x-linux-gnu/libHSAIL/generated" -isystem /usr/include/libdwarf -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fpic -Wall -std=c++11 -pthread -fno-rtti -fvisibility-inlines-hidden -o CMakeFiles/hsail.dir/HSAILValidator.cpp.o -c "/<>/libHSAIL/HSAILValidator.cpp" [ 50%] Building CXX object libHSAIL/CMakeFiles/hsail.dir/HSAILValidatorBase.cpp.o cd "/<>/obj-s390x-linux-gnu/libHSAIL" && /usr/bin/c++ -DWITH_LIBBRIGDWARF=1 -D__STDC_CONSTANT_MACROS -D__STDC_FORMAT_MACROS -D__STDC_LIMIT_MACROS -I"/<>/libHSAIL" -I"/<>/obj-s390x-linux-gnu/libHSAIL/generated" -isystem /usr/include/libdwarf -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fpic -Wall -std=c++11 -pthread -fno-rtti -fvisibility-inlines-hidden -o CMakeFiles/hsail.dir/HSAILValidatorBase.cpp.o -c "/<>/libHSAIL/HSAILValidatorBase.cpp" [ 53%] Building CXX object libHSAIL/CMakeFiles/hsail.dir/hsail_c.cpp.o cd "/<>/obj-s390x-linux-gnu/libHSAIL" && /usr/bin/c++ -DWITH_LIBBRIGDWARF=1 -D__STDC_CONSTANT_MACROS -D__STDC_FORMAT_MACROS -D__STDC_LIMIT_MACROS -I"/<>/libHSAIL" -I"/<>/obj-s390x-linux-gnu/libHSAIL/generated" -isystem /usr/include/libdwarf -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fpic -Wall -std=c++11 -pthread -fno-rtti -fvisibility-inlines-hidden -o CMakeFiles/hsail.dir/hsail_c.cpp.o -c "/<>/libHSAIL/hsail_c.cpp" /<>/libHSAIL/HSAILValidatorBase.cpp: In member function ‘virtual bool HSAIL_ASM::PropValidator::checkOperandKind(HSAIL_ASM::Inst, unsigned int, unsigned int*, unsigned int, bool) const’: /<>/libHSAIL/HSAILValidatorBase.cpp:395:37: warning: this ‘if’ clause does not guard... [-Wmisleading-indentation] case OPERAND_VAL_FUNC: if (isCodeRef(opr, BRIG_KIND_DIRECTIVE_FUNCTION) || ^~ /<>/libHSAIL/HSAILValidatorBase.cpp:396:110: note: ...this statement, but the latter is misleadingly indented as if it were guarded by the ‘if’ isCodeRef(opr, BRIG_KIND_DIRECTIVE_INDIRECT_FUNCTION)) return true; break; ^~~~~ [ 56%] Building CXX object libHSAIL/CMakeFiles/hsail.dir/HSAILExtManager.cpp.o cd "/<>/obj-s390x-linux-gnu/libHSAIL" && /usr/bin/c++ -DWITH_LIBBRIGDWARF=1 -D__STDC_CONSTANT_MACROS -D__STDC_FORMAT_MACROS -D__STDC_LIMIT_MACROS -I"/<>/libHSAIL" -I"/<>/obj-s390x-linux-gnu/libHSAIL/generated" -isystem /usr/include/libdwarf -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fpic -Wall -std=c++11 -pthread -fno-rtti -fvisibility-inlines-hidden -o CMakeFiles/hsail.dir/HSAILExtManager.cpp.o -c "/<>/libHSAIL/HSAILExtManager.cpp" [ 60%] Building CXX object libHSAIL/CMakeFiles/hsail.dir/HSAILInstProps.cpp.o cd "/<>/obj-s390x-linux-gnu/libHSAIL" && /usr/bin/c++ -DWITH_LIBBRIGDWARF=1 -D__STDC_CONSTANT_MACROS -D__STDC_FORMAT_MACROS -D__STDC_LIMIT_MACROS -I"/<>/libHSAIL" -I"/<>/obj-s390x-linux-gnu/libHSAIL/generated" -isystem /usr/include/libdwarf -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fpic -Wall -std=c++11 -pthread -fno-rtti -fvisibility-inlines-hidden -o CMakeFiles/hsail.dir/HSAILInstProps.cpp.o -c "/<>/libHSAIL/HSAILInstProps.cpp" [ 63%] Building CXX object libHSAIL/CMakeFiles/hsail.dir/HSAILImageExt.cpp.o cd "/<>/obj-s390x-linux-gnu/libHSAIL" && /usr/bin/c++ -DWITH_LIBBRIGDWARF=1 -D__STDC_CONSTANT_MACROS -D__STDC_FORMAT_MACROS -D__STDC_LIMIT_MACROS -I"/<>/libHSAIL" -I"/<>/obj-s390x-linux-gnu/libHSAIL/generated" -isystem /usr/include/libdwarf -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fpic -Wall -std=c++11 -pthread -fno-rtti -fvisibility-inlines-hidden -o CMakeFiles/hsail.dir/HSAILImageExt.cpp.o -c "/<>/libHSAIL/HSAILImageExt.cpp" [ 66%] Building CXX object libHSAIL/CMakeFiles/hsail.dir/BrigDwarfGenerator.cpp.o cd "/<>/obj-s390x-linux-gnu/libHSAIL" && /usr/bin/c++ -DWITH_LIBBRIGDWARF=1 -D__STDC_CONSTANT_MACROS -D__STDC_FORMAT_MACROS -D__STDC_LIMIT_MACROS -I"/<>/libHSAIL" -I"/<>/obj-s390x-linux-gnu/libHSAIL/generated" -isystem /usr/include/libdwarf -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fpic -Wall -std=c++11 -pthread -fno-rtti -fvisibility-inlines-hidden -o CMakeFiles/hsail.dir/BrigDwarfGenerator.cpp.o -c "/<>/libHSAIL/BrigDwarfGenerator.cpp" [ 70%] Linking CXX static library libhsail.a cd "/<>/obj-s390x-linux-gnu/libHSAIL" && /usr/bin/cmake -P CMakeFiles/hsail.dir/cmake_clean_target.cmake cd "/<>/obj-s390x-linux-gnu/libHSAIL" && /usr/bin/cmake -E cmake_link_script CMakeFiles/hsail.dir/link.txt --verbose=1 /usr/bin/ar qc libhsail.a CMakeFiles/hsail.dir/HSAILBrigContainer.cpp.o CMakeFiles/hsail.dir/HSAILBrigObjectFile.cpp.o CMakeFiles/hsail.dir/HSAILBrigantine.cpp.o CMakeFiles/hsail.dir/HSAILDisassembler.cpp.o CMakeFiles/hsail.dir/HSAILDump.cpp.o CMakeFiles/hsail.dir/HSAILFloats.cpp.o CMakeFiles/hsail.dir/HSAILItems.cpp.o CMakeFiles/hsail.dir/HSAILParser.cpp.o CMakeFiles/hsail.dir/HSAILScanner.cpp.o CMakeFiles/hsail.dir/HSAILScannerRules.cpp.o CMakeFiles/hsail.dir/HSAILTool.cpp.o CMakeFiles/hsail.dir/HSAILUtilities.cpp.o CMakeFiles/hsail.dir/HSAILValidator.cpp.o CMakeFiles/hsail.dir/HSAILValidatorBase.cpp.o CMakeFiles/hsail.dir/hsail_c.cpp.o CMakeFiles/hsail.dir/HSAILExtManager.cpp.o CMakeFiles/hsail.dir/HSAILInstProps.cpp.o CMakeFiles/hsail.dir/HSAILImageExt.cpp.o CMakeFiles/hsail.dir/BrigDwarfGenerator.cpp.o /usr/bin/ranlib libhsail.a make[3]: Leaving directory '/<>/obj-s390x-linux-gnu' [ 73%] Built target hsail make -f libHSAIL-AMD/CMakeFiles/hsail-amd.dir/build.make libHSAIL-AMD/CMakeFiles/hsail-amd.dir/depend make[3]: Entering directory '/<>/obj-s390x-linux-gnu' [ 76%] Generating libHSAIL-AMD sources cd "/<>/obj-s390x-linux-gnu/libHSAIL-AMD" && /usr/bin/perl /<>/libHSAIL-AMD/../libHSAIL/HDLProcessor.pl -target=validator /<>/libHSAIL-AMD/../libHSAIL/HSAILDefs.hdl /<>/libHSAIL-AMD/gcn.hdl > /<>/obj-s390x-linux-gnu/libHSAIL-AMD/generated/InstValidation_gcn_gen.hpp cd "/<>/obj-s390x-linux-gnu/libHSAIL-AMD" && /usr/bin/perl /<>/libHSAIL-AMD/../libHSAIL/HDLProcessor.pl -target=validator /<>/libHSAIL-AMD/../libHSAIL/HSAILDefs.hdl /<>/libHSAIL-AMD/mipmap.hdl > /<>/obj-s390x-linux-gnu/libHSAIL-AMD/generated/InstValidation_mipmap_gen.hpp cd "/<>/obj-s390x-linux-gnu/libHSAIL-AMD" && /usr/bin/perl /<>/libHSAIL-AMD/../libHSAIL/HDLProcessor.pl -target=validator /<>/libHSAIL-AMD/../libHSAIL/HSAILDefs.hdl /<>/libHSAIL-AMD/dg.hdl > /<>/obj-s390x-linux-gnu/libHSAIL-AMD/generated/InstValidation_dg_gen.hpp cd "/<>/obj-s390x-linux-gnu" && /usr/bin/cmake -E cmake_depends "Unix Makefiles" "/<>" "/<>/libHSAIL-AMD" "/<>/obj-s390x-linux-gnu" "/<>/obj-s390x-linux-gnu/libHSAIL-AMD" "/<>/obj-s390x-linux-gnu/libHSAIL-AMD/CMakeFiles/hsail-amd.dir/DependInfo.cmake" --color= Scanning dependencies of target hsail-amd make[3]: Leaving directory '/<>/obj-s390x-linux-gnu' make -f libHSAIL-AMD/CMakeFiles/hsail-amd.dir/build.make libHSAIL-AMD/CMakeFiles/hsail-amd.dir/build make[3]: Entering directory '/<>/obj-s390x-linux-gnu' [ 80%] Building CXX object libHSAIL-AMD/CMakeFiles/hsail-amd.dir/dg.cpp.o cd "/<>/obj-s390x-linux-gnu/libHSAIL-AMD" && /usr/bin/c++ -D__STDC_CONSTANT_MACROS -D__STDC_FORMAT_MACROS -D__STDC_LIMIT_MACROS -I"/<>/libHSAIL-AMD" -I"/<>/obj-s390x-linux-gnu/libHSAIL-AMD/generated" -I"/<>/libHSAIL" -I"/<>/obj-s390x-linux-gnu/libHSAIL/generated" -isystem /usr/include/libdwarf -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fpic -Wall -std=c++11 -pthread -fno-rtti -fvisibility-inlines-hidden -o CMakeFiles/hsail-amd.dir/dg.cpp.o -c "/<>/libHSAIL-AMD/dg.cpp" [ 83%] Building CXX object libHSAIL-AMD/CMakeFiles/hsail-amd.dir/mipmap.cpp.o cd "/<>/obj-s390x-linux-gnu/libHSAIL-AMD" && /usr/bin/c++ -D__STDC_CONSTANT_MACROS -D__STDC_FORMAT_MACROS -D__STDC_LIMIT_MACROS -I"/<>/libHSAIL-AMD" -I"/<>/obj-s390x-linux-gnu/libHSAIL-AMD/generated" -I"/<>/libHSAIL" -I"/<>/obj-s390x-linux-gnu/libHSAIL/generated" -isystem /usr/include/libdwarf -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fpic -Wall -std=c++11 -pthread -fno-rtti -fvisibility-inlines-hidden -o CMakeFiles/hsail-amd.dir/mipmap.cpp.o -c "/<>/libHSAIL-AMD/mipmap.cpp" [ 86%] Building CXX object libHSAIL-AMD/CMakeFiles/hsail-amd.dir/gcn.cpp.o [ 90%] Building CXX object libHSAIL-AMD/CMakeFiles/hsail-amd.dir/HSAILAmdExt.cpp.o cd "/<>/obj-s390x-linux-gnu/libHSAIL-AMD" && /usr/bin/c++ -D__STDC_CONSTANT_MACROS -D__STDC_FORMAT_MACROS -D__STDC_LIMIT_MACROS -I"/<>/libHSAIL-AMD" -I"/<>/obj-s390x-linux-gnu/libHSAIL-AMD/generated" -I"/<>/libHSAIL" -I"/<>/obj-s390x-linux-gnu/libHSAIL/generated" -isystem /usr/include/libdwarf -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fpic -Wall -std=c++11 -pthread -fno-rtti -fvisibility-inlines-hidden -o CMakeFiles/hsail-amd.dir/HSAILAmdExt.cpp.o -c "/<>/libHSAIL-AMD/HSAILAmdExt.cpp" cd "/<>/obj-s390x-linux-gnu/libHSAIL-AMD" && /usr/bin/c++ -D__STDC_CONSTANT_MACROS -D__STDC_FORMAT_MACROS -D__STDC_LIMIT_MACROS -I"/<>/libHSAIL-AMD" -I"/<>/obj-s390x-linux-gnu/libHSAIL-AMD/generated" -I"/<>/libHSAIL" -I"/<>/obj-s390x-linux-gnu/libHSAIL/generated" -isystem /usr/include/libdwarf -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fpic -Wall -std=c++11 -pthread -fno-rtti -fvisibility-inlines-hidden -o CMakeFiles/hsail-amd.dir/gcn.cpp.o -c "/<>/libHSAIL-AMD/gcn.cpp" [ 93%] Linking CXX static library libhsail-amd.a cd "/<>/obj-s390x-linux-gnu/libHSAIL-AMD" && /usr/bin/cmake -P CMakeFiles/hsail-amd.dir/cmake_clean_target.cmake cd "/<>/obj-s390x-linux-gnu/libHSAIL-AMD" && /usr/bin/cmake -E cmake_link_script CMakeFiles/hsail-amd.dir/link.txt --verbose=1 /usr/bin/ar qc libhsail-amd.a CMakeFiles/hsail-amd.dir/gcn.cpp.o CMakeFiles/hsail-amd.dir/mipmap.cpp.o CMakeFiles/hsail-amd.dir/HSAILAmdExt.cpp.o CMakeFiles/hsail-amd.dir/dg.cpp.o /usr/bin/ranlib libhsail-amd.a make[3]: Leaving directory '/<>/obj-s390x-linux-gnu' [ 93%] Built target hsail-amd make -f HSAILAsm/CMakeFiles/HSAILasm.dir/build.make HSAILAsm/CMakeFiles/HSAILasm.dir/depend make[3]: Entering directory '/<>/obj-s390x-linux-gnu' cd "/<>/obj-s390x-linux-gnu" && /usr/bin/cmake -E cmake_depends "Unix Makefiles" "/<>" "/<>/HSAILAsm" "/<>/obj-s390x-linux-gnu" "/<>/obj-s390x-linux-gnu/HSAILAsm" "/<>/obj-s390x-linux-gnu/HSAILAsm/CMakeFiles/HSAILasm.dir/DependInfo.cmake" --color= Scanning dependencies of target HSAILasm make[3]: Leaving directory '/<>/obj-s390x-linux-gnu' make -f HSAILAsm/CMakeFiles/HSAILasm.dir/build.make HSAILAsm/CMakeFiles/HSAILasm.dir/build make[3]: Entering directory '/<>/obj-s390x-linux-gnu' [ 96%] Building CXX object HSAILAsm/CMakeFiles/HSAILasm.dir/HSAILAsm.cpp.o cd "/<>/obj-s390x-linux-gnu/HSAILAsm" && /usr/bin/c++ -DAMD_EXTENSIONS -D__STDC_CONSTANT_MACROS -D__STDC_FORMAT_MACROS -D__STDC_LIMIT_MACROS -I"/<>/libHSAIL" -I"/<>/obj-s390x-linux-gnu/libHSAIL/generated" -isystem /usr/include/libdwarf -I"/<>/libHSAIL-AMD" -I"/<>/obj-s390x-linux-gnu/libHSAIL-AMD/generated" -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fpic -Wall -std=c++11 -pthread -fno-rtti -fvisibility-inlines-hidden -o CMakeFiles/HSAILasm.dir/HSAILAsm.cpp.o -c "/<>/HSAILAsm/HSAILAsm.cpp" [100%] Linking CXX executable HSAILasm cd "/<>/obj-s390x-linux-gnu/HSAILAsm" && /usr/bin/cmake -E cmake_link_script CMakeFiles/HSAILasm.dir/link.txt --verbose=1 /usr/bin/c++ -g -O2 -fdebug-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wl,-Bsymbolic-functions -Wl,-z,relro -rdynamic CMakeFiles/HSAILasm.dir/HSAILAsm.cpp.o -o HSAILasm ../libHSAIL/libhsail.a ../libHSAIL-AMD/libhsail-amd.a -lelf -ldwarf -ltinfo -lpthread ../libHSAIL/libhsail.a /usr/lib/s390x-linux-gnu/libdwarf.so /usr/lib/s390x-linux-gnu/libelf.so -ldl ../libHSAIL/libhsail.a(BrigDwarfGenerator.cpp.o): In function `BrigDebug::BrigDwarfGenerator_impl::initializeElf()': ./obj-s390x-linux-gnu/libHSAIL/./libHSAIL/BrigDwarfGenerator.cpp:1058: warning: the use of `tempnam' is dangerous, better use `mkstemp' make[3]: Leaving directory '/<>/obj-s390x-linux-gnu' [100%] Built target HSAILasm make[2]: Leaving directory '/<>/obj-s390x-linux-gnu' /usr/bin/cmake -E cmake_progress_start "/<>/obj-s390x-linux-gnu/CMakeFiles" 0 make[1]: Leaving directory '/<>/obj-s390x-linux-gnu' dh_auto_test -a -O--parallel cd obj-s390x-linux-gnu && make -j4 test ARGS\+=-j4 make[1]: Entering directory '/<>/obj-s390x-linux-gnu' Running tests... /usr/bin/ctest --force-new-ctest-process -j4 Test project /<>/obj-s390x-linux-gnu Start 3: HSAILAsm-assemble Start 6: HSAILAsm-assemble-g Start 1: HSAILAsm-help Start 2: HSAILAsm-version 1/115 Test #2: HSAILAsm-version .................................. Passed 0.00 sec 2/115 Test #6: HSAILAsm-assemble-g ............................... Passed 0.00 sec 3/115 Test #3: HSAILAsm-assemble ................................. Passed 0.00 sec 4/115 Test #1: HSAILAsm-help ..................................... Passed 0.00 sec Start 4: HSAILAsm-disassemble Start 5: HSAILAsm-decode Start 7: HSAILAsm-disassemble-g Start 8: HSAILAsm-assemble-g-odebug 5/115 Test #8: HSAILAsm-assemble-g-odebug ........................ Passed 0.00 sec 6/115 Test #7: HSAILAsm-disassemble-g ............................ Passed 0.00 sec 7/115 Test #5: HSAILAsm-decode ................................... Passed 0.00 sec 8/115 Test #4: HSAILAsm-disassemble .............................. Passed 0.00 sec Start 9: HSAILAsm-assemble-g-include-source Start 10: 1.0/instruction/CORE-base-large Start 11: 1.0/instruction/CORE-base-small Start 12: 1.0/instruction/CORE-full-large 9/115 Test #11: 1.0/instruction/CORE-base-small ...................***Failed 0.11 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/instruction/CORE-base-small.hsail 2017-03-06 16:05:12.000000000 +0000 +++ CORE-base-small_2.hsail 2017-12-24 01:26:46.197154345 +0000 @@ -94,8 +94,8 @@ add_ps_sat_u8x4 $s0, $s0, $s0; add_sp_sat_u8x4 $s0, $s0, $s0; add_ss_sat_u8x4 $s0, $s0, $s0; - add_pp_u8x4 $s0, u8x4(255,255,255,255), $s0; - add_pp_u8x4 $s0, $s0, u8x4(255,255,255,255); + add_pp_u8x4 $s0, u8x4(0,0,0,0), $s0; + add_pp_u8x4 $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -160,8 +160,8 @@ add_ps_sat_u8x8 $d0, $d0, $d0; add_sp_sat_u8x8 $d0, $d0, $d0; add_ss_sat_u8x8 $d0, $d0, $d0; - add_pp_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0; - add_pp_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255); + add_pp_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0; + add_pp_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -264,8 +264,8 @@ add_ps_sat_u8x16 $q0, $q0, $q0; add_sp_sat_u8x16 $q0, $q0, $q0; add_ss_sat_u8x16 $q0, $q0, $q0; - add_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $q0; - add_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + add_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0; + add_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // @@ -278,8 +278,8 @@ add_ps_sat_u16x8 $q0, $q0, $q0; add_sp_sat_u16x8 $q0, $q0, $q0; add_ss_sat_u16x8 $q0, $q0, $q0; - add_pp_u16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - add_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + add_pp_u16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $q0; + add_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -292,8 +292,8 @@ add_ps_sat_u32x4 $q0, $q0, $q0; add_sp_sat_u32x4 $q0, $q0, $q0; add_ss_sat_u32x4 $q0, $q0, $q0; - add_pp_u32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - add_pp_u32x4 $q0, $q0, u32x4(0,0,0x6bf037ae,0x325f1c71); + add_pp_u32x4 $q0, u32x4(0,0,0,0), $q0; + add_pp_u32x4 $q0, $q0, u32x4(0,0,0,0); // // Next sample // @@ -320,8 +320,8 @@ add_ps_sat_s8x16 $q0, $q0, $q0; add_sp_sat_s8x16 $q0, $q0, $q0; add_ss_sat_s8x16 $q0, $q0, $q0; - add_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $q0; - add_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113); + add_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $q0; + add_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0); // // Next sample // @@ -334,8 +334,8 @@ add_ps_sat_s16x8 $q0, $q0, $q0; add_sp_sat_s16x8 $q0, $q0, $q0; add_ss_sat_s16x8 $q0, $q0, $q0; - add_pp_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - add_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + add_pp_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0), $q0; + add_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -348,8 +348,8 @@ add_ps_sat_s32x4 $q0, $q0, $q0; add_sp_sat_s32x4 $q0, $q0, $q0; add_ss_sat_s32x4 $q0, $q0, $q0; - add_pp_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - add_pp_s32x4 $q0, $q0, s32x4(0,0,0x6bf037ae,0x325f1c71); + add_pp_s32x4 $q0, s32x4(0,0,0,0), $q0; + add_pp_s32x4 $q0, $q0, s32x4(0,0,0,0); // // Next sample // @@ -372,8 +372,8 @@ add_ftz_ps_f16x8 $q0, $q0, $q0; add_ftz_sp_f16x8 $q0, $q0, $q0; add_ftz_ss_f16x8 $q0, $q0, $q0; - add_ftz_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $q0; - add_ftz_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + add_ftz_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $q0; + add_ftz_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // @@ -382,8 +382,8 @@ add_ftz_ps_f32x4 $q0, $q0, $q0; add_ftz_sp_f32x4 $q0, $q0, $q0; add_ftz_ss_f32x4 $q0, $q0, $q0; - add_ftz_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $q0; - add_ftz_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + add_ftz_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $q0; + add_ftz_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -446,8 +446,8 @@ sub_ps_sat_u8x4 $s0, $s0, $s0; sub_sp_sat_u8x4 $s0, $s0, $s0; sub_ss_sat_u8x4 $s0, $s0, $s0; - sub_pp_u8x4 $s0, u8x4(255,255,255,255), $s0; - sub_pp_u8x4 $s0, $s0, u8x4(255,255,255,255); + sub_pp_u8x4 $s0, u8x4(0,0,0,0), $s0; + sub_pp_u8x4 $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -512,8 +512,8 @@ sub_ps_sat_u8x8 $d0, $d0, $d0; sub_sp_sat_u8x8 $d0, $d0, $d0; sub_ss_sat_u8x8 $d0, $d0, $d0; - sub_pp_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0; - sub_pp_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255); + sub_pp_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0; + sub_pp_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -616,8 +616,8 @@ sub_ps_sat_u8x16 $q0, $q0, $q0; sub_sp_sat_u8x16 $q0, $q0, $q0; sub_ss_sat_u8x16 $q0, $q0, $q0; - sub_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $q0; - sub_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + sub_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0; + sub_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // @@ -630,8 +630,8 @@ sub_ps_sat_u16x8 $q0, $q0, $q0; sub_sp_sat_u16x8 $q0, $q0, $q0; sub_ss_sat_u16x8 $q0, $q0, $q0; - sub_pp_u16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - sub_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + sub_pp_u16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $q0; + sub_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -644,8 +644,8 @@ sub_ps_sat_u32x4 $q0, $q0, $q0; sub_sp_sat_u32x4 $q0, $q0, $q0; sub_ss_sat_u32x4 $q0, $q0, $q0; - sub_pp_u32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - sub_pp_u32x4 $q0, $q0, u32x4(0,0,0x6bf037ae,0x325f1c71); + sub_pp_u32x4 $q0, u32x4(0,0,0,0), $q0; + sub_pp_u32x4 $q0, $q0, u32x4(0,0,0,0); // // Next sample // @@ -672,8 +672,8 @@ sub_ps_sat_s8x16 $q0, $q0, $q0; sub_sp_sat_s8x16 $q0, $q0, $q0; sub_ss_sat_s8x16 $q0, $q0, $q0; - sub_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $q0; - sub_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113); + sub_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $q0; + sub_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0); // // Next sample // @@ -686,8 +686,8 @@ sub_ps_sat_s16x8 $q0, $q0, $q0; sub_sp_sat_s16x8 $q0, $q0, $q0; sub_ss_sat_s16x8 $q0, $q0, $q0; - sub_pp_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - sub_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + sub_pp_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0), $q0; + sub_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -700,8 +700,8 @@ sub_ps_sat_s32x4 $q0, $q0, $q0; sub_sp_sat_s32x4 $q0, $q0, $q0; sub_ss_sat_s32x4 $q0, $q0, $q0; - sub_pp_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - sub_pp_s32x4 $q0, $q0, s32x4(0,0,0x6bf037ae,0x325f1c71); + sub_pp_s32x4 $q0, s32x4(0,0,0,0), $q0; + sub_pp_s32x4 $q0, $q0, s32x4(0,0,0,0); // // Next sample // @@ -724,8 +724,8 @@ sub_ftz_ps_f16x8 $q0, $q0, $q0; sub_ftz_sp_f16x8 $q0, $q0, $q0; sub_ftz_ss_f16x8 $q0, $q0, $q0; - sub_ftz_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $q0; - sub_ftz_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + sub_ftz_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $q0; + sub_ftz_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // @@ -734,8 +734,8 @@ sub_ftz_ps_f32x4 $q0, $q0, $q0; sub_ftz_sp_f32x4 $q0, $q0, $q0; sub_ftz_ss_f32x4 $q0, $q0, $q0; - sub_ftz_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $q0; - sub_ftz_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + sub_ftz_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $q0; + sub_ftz_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -794,8 +794,8 @@ max_ps_u8x4 $s0, $s0, $s0; max_sp_u8x4 $s0, $s0, $s0; max_ss_u8x4 $s0, $s0, $s0; - max_pp_u8x4 $s0, u8x4(255,255,255,255), $s0; - max_pp_u8x4 $s0, $s0, u8x4(255,255,255,255); + max_pp_u8x4 $s0, u8x4(0,0,0,0), $s0; + max_pp_u8x4 $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -844,8 +844,8 @@ max_ps_u8x8 $d0, $d0, $d0; max_sp_u8x8 $d0, $d0, $d0; max_ss_u8x8 $d0, $d0, $d0; - max_pp_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0; - max_pp_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255); + max_pp_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0; + max_pp_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -924,8 +924,8 @@ max_ps_u8x16 $q0, $q0, $q0; max_sp_u8x16 $q0, $q0, $q0; max_ss_u8x16 $q0, $q0, $q0; - max_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $q0; - max_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + max_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0; + max_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // @@ -934,8 +934,8 @@ max_ps_u16x8 $q0, $q0, $q0; max_sp_u16x8 $q0, $q0, $q0; max_ss_u16x8 $q0, $q0, $q0; - max_pp_u16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - max_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + max_pp_u16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $q0; + max_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -944,8 +944,8 @@ max_ps_u32x4 $q0, $q0, $q0; max_sp_u32x4 $q0, $q0, $q0; max_ss_u32x4 $q0, $q0, $q0; - max_pp_u32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - max_pp_u32x4 $q0, $q0, u32x4(0,0,0x6bf037ae,0x325f1c71); + max_pp_u32x4 $q0, u32x4(0,0,0,0), $q0; + max_pp_u32x4 $q0, $q0, u32x4(0,0,0,0); // // Next sample // @@ -964,8 +964,8 @@ max_ps_s8x16 $q0, $q0, $q0; max_sp_s8x16 $q0, $q0, $q0; max_ss_s8x16 $q0, $q0, $q0; - max_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $q0; - max_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113); + max_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $q0; + max_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0); // // Next sample // @@ -974,8 +974,8 @@ max_ps_s16x8 $q0, $q0, $q0; max_sp_s16x8 $q0, $q0, $q0; max_ss_s16x8 $q0, $q0, $q0; - max_pp_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - max_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + max_pp_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0), $q0; + max_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -984,8 +984,8 @@ max_ps_s32x4 $q0, $q0, $q0; max_sp_s32x4 $q0, $q0, $q0; max_ss_s32x4 $q0, $q0, $q0; - max_pp_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - max_pp_s32x4 $q0, $q0, s32x4(0,0,0x6bf037ae,0x325f1c71); + max_pp_s32x4 $q0, s32x4(0,0,0,0), $q0; + max_pp_s32x4 $q0, $q0, s32x4(0,0,0,0); // // Next sample // @@ -1004,8 +1004,8 @@ max_ftz_ps_f16x8 $q0, $q0, $q0; max_ftz_sp_f16x8 $q0, $q0, $q0; max_ftz_ss_f16x8 $q0, $q0, $q0; - max_ftz_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $q0; - max_ftz_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + max_ftz_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $q0; + max_ftz_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // @@ -1014,8 +1014,8 @@ max_ftz_ps_f32x4 $q0, $q0, $q0; max_ftz_sp_f32x4 $q0, $q0, $q0; max_ftz_ss_f32x4 $q0, $q0, $q0; - max_ftz_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $q0; - max_ftz_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + max_ftz_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $q0; + max_ftz_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -1074,8 +1074,8 @@ min_ps_u8x4 $s0, $s0, $s0; min_sp_u8x4 $s0, $s0, $s0; min_ss_u8x4 $s0, $s0, $s0; - min_pp_u8x4 $s0, u8x4(255,255,255,255), $s0; - min_pp_u8x4 $s0, $s0, u8x4(255,255,255,255); + min_pp_u8x4 $s0, u8x4(0,0,0,0), $s0; + min_pp_u8x4 $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -1124,8 +1124,8 @@ min_ps_u8x8 $d0, $d0, $d0; min_sp_u8x8 $d0, $d0, $d0; min_ss_u8x8 $d0, $d0, $d0; - min_pp_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0; - min_pp_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255); + min_pp_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0; + min_pp_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -1204,8 +1204,8 @@ min_ps_u8x16 $q0, $q0, $q0; min_sp_u8x16 $q0, $q0, $q0; min_ss_u8x16 $q0, $q0, $q0; - min_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $q0; - min_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + min_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0; + min_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // @@ -1214,8 +1214,8 @@ min_ps_u16x8 $q0, $q0, $q0; min_sp_u16x8 $q0, $q0, $q0; min_ss_u16x8 $q0, $q0, $q0; - min_pp_u16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - min_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + min_pp_u16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $q0; + min_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -1224,8 +1224,8 @@ min_ps_u32x4 $q0, $q0, $q0; min_sp_u32x4 $q0, $q0, $q0; min_ss_u32x4 $q0, $q0, $q0; - min_pp_u32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - min_pp_u32x4 $q0, $q0, u32x4(0,0,0x6bf037ae,0x325f1c71); + min_pp_u32x4 $q0, u32x4(0,0,0,0), $q0; + min_pp_u32x4 $q0, $q0, u32x4(0,0,0,0); // // Next sample // @@ -1244,8 +1244,8 @@ min_ps_s8x16 $q0, $q0, $q0; min_sp_s8x16 $q0, $q0, $q0; min_ss_s8x16 $q0, $q0, $q0; - min_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $q0; - min_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113); + min_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $q0; + min_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0); // // Next sample // @@ -1254,8 +1254,8 @@ min_ps_s16x8 $q0, $q0, $q0; min_sp_s16x8 $q0, $q0, $q0; min_ss_s16x8 $q0, $q0, $q0; - min_pp_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - min_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + min_pp_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0), $q0; + min_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -1264,8 +1264,8 @@ min_ps_s32x4 $q0, $q0, $q0; min_sp_s32x4 $q0, $q0, $q0; min_ss_s32x4 $q0, $q0, $q0; - min_pp_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - min_pp_s32x4 $q0, $q0, s32x4(0,0,0x6bf037ae,0x325f1c71); + min_pp_s32x4 $q0, s32x4(0,0,0,0), $q0; + min_pp_s32x4 $q0, $q0, s32x4(0,0,0,0); // // Next sample // @@ -1284,8 +1284,8 @@ min_ftz_ps_f16x8 $q0, $q0, $q0; min_ftz_sp_f16x8 $q0, $q0, $q0; min_ftz_ss_f16x8 $q0, $q0, $q0; - min_ftz_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $q0; - min_ftz_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + min_ftz_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $q0; + min_ftz_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // @@ -1294,8 +1294,8 @@ min_ftz_ps_f32x4 $q0, $q0, $q0; min_ftz_sp_f32x4 $q0, $q0, $q0; min_ftz_ss_f32x4 $q0, $q0, $q0; - min_ftz_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $q0; - min_ftz_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + min_ftz_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $q0; + min_ftz_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -1358,8 +1358,8 @@ mul_ps_sat_u8x4 $s0, $s0, $s0; mul_sp_sat_u8x4 $s0, $s0, $s0; mul_ss_sat_u8x4 $s0, $s0, $s0; - mul_pp_u8x4 $s0, u8x4(255,255,255,255), $s0; - mul_pp_u8x4 $s0, $s0, u8x4(255,255,255,255); + mul_pp_u8x4 $s0, u8x4(0,0,0,0), $s0; + mul_pp_u8x4 $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -1424,8 +1424,8 @@ mul_ps_sat_u8x8 $d0, $d0, $d0; mul_sp_sat_u8x8 $d0, $d0, $d0; mul_ss_sat_u8x8 $d0, $d0, $d0; - mul_pp_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0; - mul_pp_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255); + mul_pp_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0; + mul_pp_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -1528,8 +1528,8 @@ mul_ps_sat_u8x16 $q0, $q0, $q0; mul_sp_sat_u8x16 $q0, $q0, $q0; mul_ss_sat_u8x16 $q0, $q0, $q0; - mul_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $q0; - mul_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + mul_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0; + mul_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // @@ -1542,8 +1542,8 @@ mul_ps_sat_u16x8 $q0, $q0, $q0; mul_sp_sat_u16x8 $q0, $q0, $q0; mul_ss_sat_u16x8 $q0, $q0, $q0; - mul_pp_u16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - mul_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + mul_pp_u16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $q0; + mul_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -1556,8 +1556,8 @@ mul_ps_sat_u32x4 $q0, $q0, $q0; mul_sp_sat_u32x4 $q0, $q0, $q0; mul_ss_sat_u32x4 $q0, $q0, $q0; - mul_pp_u32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - mul_pp_u32x4 $q0, $q0, u32x4(0,0,0x6bf037ae,0x325f1c71); + mul_pp_u32x4 $q0, u32x4(0,0,0,0), $q0; + mul_pp_u32x4 $q0, $q0, u32x4(0,0,0,0); // // Next sample // @@ -1584,8 +1584,8 @@ mul_ps_sat_s8x16 $q0, $q0, $q0; mul_sp_sat_s8x16 $q0, $q0, $q0; mul_ss_sat_s8x16 $q0, $q0, $q0; - mul_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $q0; - mul_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113); + mul_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $q0; + mul_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0); // // Next sample // @@ -1598,8 +1598,8 @@ mul_ps_sat_s16x8 $q0, $q0, $q0; mul_sp_sat_s16x8 $q0, $q0, $q0; mul_ss_sat_s16x8 $q0, $q0, $q0; - mul_pp_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - mul_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + mul_pp_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0), $q0; + mul_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -1612,8 +1612,8 @@ mul_ps_sat_s32x4 $q0, $q0, $q0; mul_sp_sat_s32x4 $q0, $q0, $q0; mul_ss_sat_s32x4 $q0, $q0, $q0; - mul_pp_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - mul_pp_s32x4 $q0, $q0, s32x4(0,0,0x6bf037ae,0x325f1c71); + mul_pp_s32x4 $q0, s32x4(0,0,0,0), $q0; + mul_pp_s32x4 $q0, $q0, s32x4(0,0,0,0); // // Next sample // @@ -1636,8 +1636,8 @@ mul_ftz_ps_f16x8 $q0, $q0, $q0; mul_ftz_sp_f16x8 $q0, $q0, $q0; mul_ftz_ss_f16x8 $q0, $q0, $q0; - mul_ftz_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $q0; - mul_ftz_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + mul_ftz_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $q0; + mul_ftz_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // @@ -1646,8 +1646,8 @@ mul_ftz_ps_f32x4 $q0, $q0, $q0; mul_ftz_sp_f32x4 $q0, $q0, $q0; mul_ftz_ss_f32x4 $q0, $q0, $q0; - mul_ftz_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $q0; - mul_ftz_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + mul_ftz_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $q0; + mul_ftz_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -1692,8 +1692,8 @@ mulhi_ps_u8x4 $s0, $s0, $s0; mulhi_sp_u8x4 $s0, $s0, $s0; mulhi_ss_u8x4 $s0, $s0, $s0; - mulhi_pp_u8x4 $s0, u8x4(255,255,255,255), $s0; - mulhi_pp_u8x4 $s0, $s0, u8x4(255,255,255,255); + mulhi_pp_u8x4 $s0, u8x4(0,0,0,0), $s0; + mulhi_pp_u8x4 $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -1732,8 +1732,8 @@ mulhi_ps_u8x8 $d0, $d0, $d0; mulhi_sp_u8x8 $d0, $d0, $d0; mulhi_ss_u8x8 $d0, $d0, $d0; - mulhi_pp_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0; - mulhi_pp_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255); + mulhi_pp_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0; + mulhi_pp_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -1792,8 +1792,8 @@ mulhi_ps_u8x16 $q0, $q0, $q0; mulhi_sp_u8x16 $q0, $q0, $q0; mulhi_ss_u8x16 $q0, $q0, $q0; - mulhi_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $q0; - mulhi_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + mulhi_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0; + mulhi_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // @@ -1802,8 +1802,8 @@ mulhi_ps_u16x8 $q0, $q0, $q0; mulhi_sp_u16x8 $q0, $q0, $q0; mulhi_ss_u16x8 $q0, $q0, $q0; - mulhi_pp_u16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - mulhi_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + mulhi_pp_u16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $q0; + mulhi_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -1812,8 +1812,8 @@ mulhi_ps_u32x4 $q0, $q0, $q0; mulhi_sp_u32x4 $q0, $q0, $q0; mulhi_ss_u32x4 $q0, $q0, $q0; - mulhi_pp_u32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - mulhi_pp_u32x4 $q0, $q0, u32x4(0,0,0x6bf037ae,0x325f1c71); + mulhi_pp_u32x4 $q0, u32x4(0,0,0,0), $q0; + mulhi_pp_u32x4 $q0, $q0, u32x4(0,0,0,0); // // Next sample // @@ -1832,8 +1832,8 @@ mulhi_ps_s8x16 $q0, $q0, $q0; mulhi_sp_s8x16 $q0, $q0, $q0; mulhi_ss_s8x16 $q0, $q0, $q0; - mulhi_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $q0; - mulhi_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113); + mulhi_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $q0; + mulhi_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0); // // Next sample // @@ -1842,8 +1842,8 @@ mulhi_ps_s16x8 $q0, $q0, $q0; mulhi_sp_s16x8 $q0, $q0, $q0; mulhi_ss_s16x8 $q0, $q0, $q0; - mulhi_pp_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - mulhi_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + mulhi_pp_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0), $q0; + mulhi_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -1852,8 +1852,8 @@ mulhi_ps_s32x4 $q0, $q0, $q0; mulhi_sp_s32x4 $q0, $q0, $q0; mulhi_ss_s32x4 $q0, $q0, $q0; - mulhi_pp_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - mulhi_pp_s32x4 $q0, $q0, s32x4(0,0,0x6bf037ae,0x325f1c71); + mulhi_pp_s32x4 $q0, s32x4(0,0,0,0), $q0; + mulhi_pp_s32x4 $q0, $q0, s32x4(0,0,0,0); // // Next sample // @@ -1952,8 +1952,8 @@ div_ftz_ps_f16x8 $q0, $q0, $q0; div_ftz_sp_f16x8 $q0, $q0, $q0; div_ftz_ss_f16x8 $q0, $q0, $q0; - div_ftz_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $q0; - div_ftz_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + div_ftz_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $q0; + div_ftz_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // @@ -1962,8 +1962,8 @@ div_ftz_ps_f32x4 $q0, $q0, $q0; div_ftz_sp_f32x4 $q0, $q0, $q0; div_ftz_ss_f32x4 $q0, $q0, $q0; - div_ftz_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $q0; - div_ftz_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + div_ftz_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $q0; + div_ftz_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -2160,21 +2160,21 @@ neg_s_s8x16 $q0, $q0; // neg_p_s8x16 $q0, $q0; - neg_s_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113); + neg_s_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0); // // Next sample // neg_s_s16x8 $q0, $q0; // neg_p_s16x8 $q0, $q0; - neg_s_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + neg_s_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0); // // Next sample // neg_s_s32x4 $q0, $q0; // neg_p_s32x4 $q0, $q0; - neg_s_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71); + neg_s_s32x4 $q0, s32x4(0,0,0,0); // // Next sample // @@ -2188,14 +2188,14 @@ neg_s_f16x8 $q0, $q0; // neg_p_f16x8 $q0, $q0; - neg_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + neg_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // neg_s_f32x4 $q0, $q0; // neg_p_f32x4 $q0, $q0; - neg_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + neg_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -2284,21 +2284,21 @@ abs_s_s8x16 $q0, $q0; // abs_p_s8x16 $q0, $q0; - abs_s_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113); + abs_s_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0); // // Next sample // abs_s_s16x8 $q0, $q0; // abs_p_s16x8 $q0, $q0; - abs_s_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + abs_s_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0); // // Next sample // abs_s_s32x4 $q0, $q0; // abs_p_s32x4 $q0, $q0; - abs_s_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71); + abs_s_s32x4 $q0, s32x4(0,0,0,0); // // Next sample // @@ -2312,14 +2312,14 @@ abs_s_f16x8 $q0, $q0; // abs_p_f16x8 $q0, $q0; - abs_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + abs_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // abs_s_f32x4 $q0, $q0; // abs_p_f32x4 $q0, $q0; - abs_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + abs_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -2372,8 +2372,8 @@ copysign_ps_f16x8 $q0, $q0, $q0; copysign_sp_f16x8 $q0, $q0, $q0; copysign_ss_f16x8 $q0, $q0, $q0; - copysign_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $q0; - copysign_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + copysign_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $q0; + copysign_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // @@ -2382,8 +2382,8 @@ copysign_ps_f32x4 $q0, $q0, $q0; copysign_sp_f32x4 $q0, $q0, $q0; copysign_ss_f32x4 $q0, $q0, $q0; - copysign_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $q0; - copysign_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + copysign_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $q0; + copysign_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -2423,14 +2423,14 @@ fract_ftz_s_f16x8 $q0, $q0; // fract_ftz_p_f16x8 $q0, $q0; - fract_ftz_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + fract_ftz_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // fract_ftz_s_f32x4 $q0, $q0; // fract_ftz_p_f32x4 $q0, $q0; - fract_ftz_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + fract_ftz_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -2470,14 +2470,14 @@ sqrt_ftz_s_f16x8 $q0, $q0; // sqrt_ftz_p_f16x8 $q0, $q0; - sqrt_ftz_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + sqrt_ftz_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // sqrt_ftz_s_f32x4 $q0, $q0; // sqrt_ftz_p_f32x4 $q0, $q0; - sqrt_ftz_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + sqrt_ftz_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -2517,14 +2517,14 @@ ceil_ftz_s_f16x8 $q0, $q0; // ceil_ftz_p_f16x8 $q0, $q0; - ceil_ftz_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + ceil_ftz_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // ceil_ftz_s_f32x4 $q0, $q0; // ceil_ftz_p_f32x4 $q0, $q0; - ceil_ftz_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + ceil_ftz_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -2564,14 +2564,14 @@ floor_ftz_s_f16x8 $q0, $q0; // floor_ftz_p_f16x8 $q0, $q0; - floor_ftz_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + floor_ftz_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // floor_ftz_s_f32x4 $q0, $q0; // floor_ftz_p_f32x4 $q0, $q0; - floor_ftz_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + floor_ftz_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -2611,14 +2611,14 @@ rint_ftz_s_f16x8 $q0, $q0; // rint_ftz_p_f16x8 $q0, $q0; - rint_ftz_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + rint_ftz_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // rint_ftz_s_f32x4 $q0, $q0; // rint_ftz_p_f32x4 $q0, $q0; - rint_ftz_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + rint_ftz_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -2658,14 +2658,14 @@ trunc_ftz_s_f16x8 $q0, $q0; // trunc_ftz_p_f16x8 $q0, $q0; - trunc_ftz_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + trunc_ftz_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // trunc_ftz_s_f32x4 $q0, $q0; // trunc_ftz_p_f32x4 $q0, $q0; - trunc_ftz_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + trunc_ftz_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -2863,7 +2863,7 @@ // shl_u8x4 $s0, $s0, $s0; // - shl_u8x4 $s0, u8x4(255,255,255,255), $s0; + shl_u8x4 $s0, u8x4(0,0,0,0), $s0; shl_u8x4 $s0, $s0, -1; shl_u8x4 $s0, $s0, WAVESIZE; // @@ -2895,7 +2895,7 @@ // shl_u8x8 $d0, $d0, $s0; // - shl_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $s0; + shl_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $s0; shl_u8x8 $d0, $d0, -1; shl_u8x8 $d0, $d0, WAVESIZE; // @@ -2943,7 +2943,7 @@ // shl_u8x16 $q0, $q0, $s0; // - shl_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $s0; + shl_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $s0; shl_u8x16 $q0, $q0, -1; shl_u8x16 $q0, $q0, WAVESIZE; // @@ -2951,7 +2951,7 @@ // shl_u16x8 $q0, $q0, $s0; // - shl_u16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $s0; + shl_u16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $s0; shl_u16x8 $q0, $q0, -1; shl_u16x8 $q0, $q0, WAVESIZE; // @@ -2959,7 +2959,7 @@ // shl_u32x4 $q0, $q0, $s0; // - shl_u32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $s0; + shl_u32x4 $q0, u32x4(0,0,0,0), $s0; shl_u32x4 $q0, $q0, -1; shl_u32x4 $q0, $q0, WAVESIZE; // @@ -2975,7 +2975,7 @@ // shl_s8x16 $q0, $q0, $s0; // - shl_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $s0; + shl_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $s0; shl_s8x16 $q0, $q0, -1; shl_s8x16 $q0, $q0, WAVESIZE; // @@ -2983,7 +2983,7 @@ // shl_s16x8 $q0, $q0, $s0; // - shl_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $s0; + shl_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0), $s0; shl_s16x8 $q0, $q0, -1; shl_s16x8 $q0, $q0, WAVESIZE; // @@ -2991,7 +2991,7 @@ // shl_s32x4 $q0, $q0, $s0; // - shl_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $s0; + shl_s32x4 $q0, s32x4(0,0,0,0), $s0; shl_s32x4 $q0, $q0, -1; shl_s32x4 $q0, $q0, WAVESIZE; // @@ -3043,7 +3043,7 @@ // shr_u8x4 $s0, $s0, $s0; // - shr_u8x4 $s0, u8x4(255,255,255,255), $s0; + shr_u8x4 $s0, u8x4(0,0,0,0), $s0; shr_u8x4 $s0, $s0, -1; shr_u8x4 $s0, $s0, WAVESIZE; // @@ -3075,7 +3075,7 @@ // shr_u8x8 $d0, $d0, $s0; // - shr_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $s0; + shr_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $s0; shr_u8x8 $d0, $d0, -1; shr_u8x8 $d0, $d0, WAVESIZE; // @@ -3123,7 +3123,7 @@ // shr_u8x16 $q0, $q0, $s0; // - shr_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $s0; + shr_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $s0; shr_u8x16 $q0, $q0, -1; shr_u8x16 $q0, $q0, WAVESIZE; // @@ -3131,7 +3131,7 @@ // shr_u16x8 $q0, $q0, $s0; // - shr_u16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $s0; + shr_u16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $s0; shr_u16x8 $q0, $q0, -1; shr_u16x8 $q0, $q0, WAVESIZE; // @@ -3139,7 +3139,7 @@ // shr_u32x4 $q0, $q0, $s0; // - shr_u32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $s0; + shr_u32x4 $q0, u32x4(0,0,0,0), $s0; shr_u32x4 $q0, $q0, -1; shr_u32x4 $q0, $q0, WAVESIZE; // @@ -3155,7 +3155,7 @@ // shr_s8x16 $q0, $q0, $s0; // - shr_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $s0; + shr_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $s0; shr_s8x16 $q0, $q0, -1; shr_s8x16 $q0, $q0, WAVESIZE; // @@ -3163,7 +3163,7 @@ // shr_s16x8 $q0, $q0, $s0; // - shr_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $s0; + shr_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0), $s0; shr_s16x8 $q0, $q0, -1; shr_s16x8 $q0, $q0, WAVESIZE; // @@ -3171,7 +3171,7 @@ // shr_s32x4 $q0, $q0, $s0; // - shr_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $s0; + shr_s32x4 $q0, s32x4(0,0,0,0), $s0; shr_s32x4 $q0, $q0, -1; shr_s32x4 $q0, $q0, WAVESIZE; // @@ -3187,9 +3187,9 @@ // and_b1 $c0, $c0, $c0; // - and_b1 $c0, 1, $c0; + and_b1 $c0, 0, $c0; and_b1 $c0, WAVESIZE, $c0; - and_b1 $c0, $c0, 1; + and_b1 $c0, $c0, 0; and_b1 $c0, $c0, WAVESIZE; // // Next sample @@ -3214,9 +3214,9 @@ // or_b1 $c0, $c0, $c0; // - or_b1 $c0, 1, $c0; + or_b1 $c0, 0, $c0; or_b1 $c0, WAVESIZE, $c0; - or_b1 $c0, $c0, 1; + or_b1 $c0, $c0, 0; or_b1 $c0, $c0, WAVESIZE; // // Next sample @@ -3241,9 +3241,9 @@ // xor_b1 $c0, $c0, $c0; // - xor_b1 $c0, 1, $c0; + xor_b1 $c0, 0, $c0; xor_b1 $c0, WAVESIZE, $c0; - xor_b1 $c0, $c0, 1; + xor_b1 $c0, $c0, 0; xor_b1 $c0, $c0, WAVESIZE; // // Next sample @@ -3268,7 +3268,7 @@ // not_b1 $c0, $c0; // - not_b1 $c0, 1; + not_b1 $c0, 0; not_b1 $c0, WAVESIZE; // // Next sample @@ -3540,13 +3540,13 @@ // expand_v4_b32_b128 ($s0, $s1, $s2, $s3), $q0; // - expand_v4_b32_b128 ($s0, $s1, $s2, $s3), u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + expand_v4_b32_b128 ($s0, $s1, $s2, $s3), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // expand_v2_b64_b128 ($d0, $d1), $q0; // - expand_v2_b64_b128 ($d0, $d1), u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + expand_v2_b64_b128 ($d0, $d1), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // @@ -3592,7 +3592,7 @@ // mov_b1 $c0, $c0; // - mov_b1 $c0, 1; + mov_b1 $c0, 0; mov_b1 $c0, WAVESIZE; // // Next sample @@ -3613,7 +3613,7 @@ // mov_b128 $q0, $q0; // - mov_b128 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + mov_b128 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // @@ -3684,8 +3684,8 @@ // shuffle_u8x4 $s0, $s0, $s0, -1; // - shuffle_u8x4 $s0, u8x4(255,255,255,255), $s0, -1; - shuffle_u8x4 $s0, $s0, u8x4(255,255,255,255), -1; + shuffle_u8x4 $s0, u8x4(0,0,0,0), $s0, -1; + shuffle_u8x4 $s0, $s0, u8x4(0,0,0,0), -1; // // Next sample // @@ -3719,8 +3719,8 @@ // shuffle_u8x8 $d0, $d0, $d0, -1; // - shuffle_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0, -1; - shuffle_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255), -1; + shuffle_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0, -1; + shuffle_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0), -1; // // Next sample // @@ -3775,8 +3775,8 @@ // unpacklo_u8x4 $s0, $s0, $s0; // - unpacklo_u8x4 $s0, u8x4(255,255,255,255), $s0; - unpacklo_u8x4 $s0, $s0, u8x4(255,255,255,255); + unpacklo_u8x4 $s0, u8x4(0,0,0,0), $s0; + unpacklo_u8x4 $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -3810,8 +3810,8 @@ // unpacklo_u8x8 $d0, $d0, $d0; // - unpacklo_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0; - unpacklo_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255); + unpacklo_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0; + unpacklo_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -3866,8 +3866,8 @@ // unpackhi_u8x4 $s0, $s0, $s0; // - unpackhi_u8x4 $s0, u8x4(255,255,255,255), $s0; - unpackhi_u8x4 $s0, $s0, u8x4(255,255,255,255); + unpackhi_u8x4 $s0, u8x4(0,0,0,0), $s0; + unpackhi_u8x4 $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -3901,8 +3901,8 @@ // unpackhi_u8x8 $d0, $d0, $d0; // - unpackhi_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0; - unpackhi_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255); + unpackhi_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0; + unpackhi_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -3957,7 +3957,7 @@ // pack_u8x4_u32 $s0, $s0, $s0, $s0; // - pack_u8x4_u32 $s0, u8x4(255,255,255,255), $s0, $s0; + pack_u8x4_u32 $s0, u8x4(0,0,0,0), $s0, $s0; pack_u8x4_u32 $s0, $s0, -1, $s0; pack_u8x4_u32 $s0, $s0, WAVESIZE, $s0; pack_u8x4_u32 $s0, $s0, $s0, -1; @@ -3977,7 +3977,7 @@ // pack_u8x8_u32 $d0, $d0, $s0, $s0; // - pack_u8x8_u32 $d0, u8x8(255,255,255,255,255,255,255,255), $s0, $s0; + pack_u8x8_u32 $d0, u8x8(0,0,0,0,0,0,0,0), $s0, $s0; pack_u8x8_u32 $d0, $d0, -1, $s0; pack_u8x8_u32 $d0, $d0, WAVESIZE, $s0; pack_u8x8_u32 $d0, $d0, $s0, -1; @@ -4007,7 +4007,7 @@ // pack_u8x16_u32 $q0, $q0, $s0, $s0; // - pack_u8x16_u32 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $s0, $s0; + pack_u8x16_u32 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $s0, $s0; pack_u8x16_u32 $q0, $q0, -1, $s0; pack_u8x16_u32 $q0, $q0, WAVESIZE, $s0; pack_u8x16_u32 $q0, $q0, $s0, -1; @@ -4017,7 +4017,7 @@ // pack_u16x8_u32 $q0, $q0, $s0, $s0; // - pack_u16x8_u32 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $s0, $s0; + pack_u16x8_u32 $q0, u16x8(0,0,0,0,0,0,0,0), $s0, $s0; pack_u16x8_u32 $q0, $q0, -1, $s0; pack_u16x8_u32 $q0, $q0, WAVESIZE, $s0; pack_u16x8_u32 $q0, $q0, $s0, -1; @@ -4027,7 +4027,7 @@ // pack_u32x4_u32 $q0, $q0, $s0, $s0; // - pack_u32x4_u32 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $s0, $s0; + pack_u32x4_u32 $q0, u32x4(0,0,0,0), $s0, $s0; pack_u32x4_u32 $q0, $q0, -1, $s0; pack_u32x4_u32 $q0, $q0, WAVESIZE, $s0; pack_u32x4_u32 $q0, $q0, $s0, -1; @@ -4097,7 +4097,7 @@ // pack_s8x16_s32 $q0, $q0, $s0, $s0; // - pack_s8x16_s32 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $s0, $s0; + pack_s8x16_s32 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $s0, $s0; pack_s8x16_s32 $q0, $q0, -1, $s0; pack_s8x16_s32 $q0, $q0, WAVESIZE, $s0; pack_s8x16_s32 $q0, $q0, $s0, -1; @@ -4107,7 +4107,7 @@ // pack_s16x8_s32 $q0, $q0, $s0, $s0; // - pack_s16x8_s32 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $s0, $s0; + pack_s16x8_s32 $q0, s16x8(0,0,0,0,0,0,0,0), $s0, $s0; pack_s16x8_s32 $q0, $q0, -1, $s0; pack_s16x8_s32 $q0, $q0, WAVESIZE, $s0; pack_s16x8_s32 $q0, $q0, $s0, -1; @@ -4117,7 +4117,7 @@ // pack_s32x4_s32 $q0, $q0, $s0, $s0; // - pack_s32x4_s32 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $s0, $s0; + pack_s32x4_s32 $q0, s32x4(0,0,0,0), $s0, $s0; pack_s32x4_s32 $q0, $q0, -1, $s0; pack_s32x4_s32 $q0, $q0, WAVESIZE, $s0; pack_s32x4_s32 $q0, $q0, $s0, -1; @@ -4155,7 +4155,7 @@ // pack_f16x8_f16 $q0, $q0, $s0, $s0; // - pack_f16x8_f16 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $s0, $s0; + pack_f16x8_f16 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $s0, $s0; pack_f16x8_f16 $q0, $q0, 0Hffff, $s0; pack_f16x8_f16 $q0, $q0, $s0, -1; pack_f16x8_f16 $q0, $q0, $s0, WAVESIZE; @@ -4173,7 +4173,7 @@ // pack_f32x4_f32 $q0, $q0, $s0, $s0; // - pack_f32x4_f32 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $s0, $s0; + pack_f32x4_f32 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $s0, $s0; pack_f32x4_f32 $q0, $q0, 0Fffffffff, $s0; pack_f32x4_f32 $q0, $q0, $s0, -1; pack_f32x4_f32 $q0, $q0, $s0, WAVESIZE; @@ -4182,7 +4182,7 @@ // unpack_u32_u8x4 $s0, $s0, $s0; // - unpack_u32_u8x4 $s0, u8x4(255,255,255,255), $s0; + unpack_u32_u8x4 $s0, u8x4(0,0,0,0), $s0; unpack_u32_u8x4 $s0, $s0, -1; unpack_u32_u8x4 $s0, $s0, WAVESIZE; // @@ -4222,7 +4222,7 @@ // unpack_u32_u8x8 $s0, $d0, $s0; // - unpack_u32_u8x8 $s0, u8x8(255,255,255,255,255,255,255,255), $s0; + unpack_u32_u8x8 $s0, u8x8(0,0,0,0,0,0,0,0), $s0; unpack_u32_u8x8 $s0, $d0, -1; unpack_u32_u8x8 $s0, $d0, WAVESIZE; // @@ -4286,7 +4286,7 @@ // unpack_u32_u8x16 $s0, $q0, $s0; // - unpack_u32_u8x16 $s0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $s0; + unpack_u32_u8x16 $s0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $s0; unpack_u32_u8x16 $s0, $q0, -1; unpack_u32_u8x16 $s0, $q0, WAVESIZE; // @@ -4294,7 +4294,7 @@ // unpack_u32_u16x8 $s0, $q0, $s0; // - unpack_u32_u16x8 $s0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $s0; + unpack_u32_u16x8 $s0, u16x8(0,0,0,0,0,0,0,0), $s0; unpack_u32_u16x8 $s0, $q0, -1; unpack_u32_u16x8 $s0, $q0, WAVESIZE; // @@ -4302,7 +4302,7 @@ // unpack_u32_u32x4 $s0, $q0, $s0; // - unpack_u32_u32x4 $s0, u32x4(0,0,0x6bf037ae,0x325f1c71), $s0; + unpack_u32_u32x4 $s0, u32x4(0,0,0,0), $s0; unpack_u32_u32x4 $s0, $q0, -1; unpack_u32_u32x4 $s0, $q0, WAVESIZE; // @@ -4318,7 +4318,7 @@ // unpack_s32_s8x16 $s0, $q0, $s0; // - unpack_s32_s8x16 $s0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $s0; + unpack_s32_s8x16 $s0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $s0; unpack_s32_s8x16 $s0, $q0, -1; unpack_s32_s8x16 $s0, $q0, WAVESIZE; // @@ -4326,7 +4326,7 @@ // unpack_s32_s16x8 $s0, $q0, $s0; // - unpack_s32_s16x8 $s0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $s0; + unpack_s32_s16x8 $s0, s16x8(0,0,0,0,0,0,0,0), $s0; unpack_s32_s16x8 $s0, $q0, -1; unpack_s32_s16x8 $s0, $q0, WAVESIZE; // @@ -4334,7 +4334,7 @@ // unpack_s32_s32x4 $s0, $q0, $s0; // - unpack_s32_s32x4 $s0, s32x4(0,0,0x6bf037ae,0x325f1c71), $s0; + unpack_s32_s32x4 $s0, s32x4(0,0,0,0), $s0; unpack_s32_s32x4 $s0, $q0, -1; unpack_s32_s32x4 $s0, $q0, WAVESIZE; // @@ -4350,7 +4350,7 @@ // unpack_f16_f16x8 $s0, $q0, $s0; // - unpack_f16_f16x8 $s0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $s0; + unpack_f16_f16x8 $s0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $s0; unpack_f16_f16x8 $s0, $q0, -1; unpack_f16_f16x8 $s0, $q0, WAVESIZE; // @@ -4358,7 +4358,7 @@ // unpack_f32_f32x4 $s0, $q0, $s0; // - unpack_f32_f32x4 $s0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $s0; + unpack_f32_f32x4 $s0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $s0; unpack_f32_f32x4 $s0, $q0, -1; unpack_f32_f32x4 $s0, $q0, WAVESIZE; // @@ -4366,18 +4366,18 @@ // cmov_b1 $c0, $c0, $c0, $c0; // - cmov_b1 $c0, 1, $c0, $c0; + cmov_b1 $c0, 0, $c0, $c0; cmov_b1 $c0, WAVESIZE, $c0, $c0; - cmov_b1 $c0, $c0, 1, $c0; + cmov_b1 $c0, $c0, 0, $c0; cmov_b1 $c0, $c0, WAVESIZE, $c0; - cmov_b1 $c0, $c0, $c0, 1; + cmov_b1 $c0, $c0, $c0, 0; cmov_b1 $c0, $c0, $c0, WAVESIZE; // // Next sample // cmov_b32 $s0, $c0, $s0, $s0; // - cmov_b32 $s0, 1, $s0, $s0; + cmov_b32 $s0, 0, $s0, $s0; cmov_b32 $s0, WAVESIZE, $s0, $s0; cmov_b32 $s0, $c0, -1, $s0; cmov_b32 $s0, $c0, WAVESIZE, $s0; @@ -4388,7 +4388,7 @@ // cmov_b64 $d0, $c0, $d0, $d0; // - cmov_b64 $d0, 1, $d0, $d0; + cmov_b64 $d0, 0, $d0, $d0; cmov_b64 $d0, WAVESIZE, $d0, $d0; cmov_b64 $d0, $c0, -1, $d0; cmov_b64 $d0, $c0, WAVESIZE, $d0; @@ -4399,9 +4399,9 @@ // cmov_u8x4 $s0, $s0, $s0, $s0; // - cmov_u8x4 $s0, u8x4(255,255,255,255), $s0, $s0; - cmov_u8x4 $s0, $s0, u8x4(255,255,255,255), $s0; - cmov_u8x4 $s0, $s0, $s0, u8x4(255,255,255,255); + cmov_u8x4 $s0, u8x4(0,0,0,0), $s0, $s0; + cmov_u8x4 $s0, $s0, u8x4(0,0,0,0), $s0; + cmov_u8x4 $s0, $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -4415,7 +4415,7 @@ // cmov_s8x4 $s0, $s0, $s0, $s0; // - cmov_s8x4 $s0, u8x4(255,255,255,255), $s0, $s0; + cmov_s8x4 $s0, u8x4(0,0,0,0), $s0, $s0; cmov_s8x4 $s0, $s0, s8x4(-1,-1,-1,-1), $s0; cmov_s8x4 $s0, $s0, $s0, s8x4(-1,-1,-1,-1); // @@ -4439,9 +4439,9 @@ // cmov_u8x8 $d0, $d0, $d0, $d0; // - cmov_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0, $d0; - cmov_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255), $d0; - cmov_u8x8 $d0, $d0, $d0, u8x8(255,255,255,255,255,255,255,255); + cmov_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0, $d0; + cmov_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0), $d0; + cmov_u8x8 $d0, $d0, $d0, u8x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -4463,7 +4463,7 @@ // cmov_s8x8 $d0, $d0, $d0, $d0; // - cmov_s8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0, $d0; + cmov_s8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0, $d0; cmov_s8x8 $d0, $d0, s8x8(-1,-1,-1,-1,-1,-1,-1,-1), $d0; cmov_s8x8 $d0, $d0, $d0, s8x8(-1,-1,-1,-1,-1,-1,-1,-1); // @@ -4503,25 +4503,25 @@ // cmov_u8x16 $q0, $q0, $q0, $q0; // - cmov_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $q0, $q0; - cmov_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $q0; - cmov_u8x16 $q0, $q0, $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + cmov_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0, $q0; + cmov_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0; + cmov_u8x16 $q0, $q0, $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // cmov_u16x8 $q0, $q0, $q0, $q0; // - cmov_u16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0, $q0; - cmov_u16x8 $q0, $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - cmov_u16x8 $q0, $q0, $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + cmov_u16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $q0, $q0; + cmov_u16x8 $q0, $q0, u16x8(0,0,0,0,0,0,0,0), $q0; + cmov_u16x8 $q0, $q0, $q0, u16x8(0,0,0,0,0,0,0,0); // // Next sample // cmov_u32x4 $q0, $q0, $q0, $q0; // - cmov_u32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0, $q0; - cmov_u32x4 $q0, $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - cmov_u32x4 $q0, $q0, $q0, u32x4(0,0,0x6bf037ae,0x325f1c71); + cmov_u32x4 $q0, u32x4(0,0,0,0), $q0, $q0; + cmov_u32x4 $q0, $q0, u32x4(0,0,0,0), $q0; + cmov_u32x4 $q0, $q0, $q0, u32x4(0,0,0,0); // // Next sample // @@ -4535,25 +4535,25 @@ // cmov_s8x16 $q0, $q0, $q0, $q0; // - cmov_s8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $q0, $q0; - cmov_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $q0; - cmov_s8x16 $q0, $q0, $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113); + cmov_s8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0, $q0; + cmov_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $q0; + cmov_s8x16 $q0, $q0, $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0); // // Next sample // cmov_s16x8 $q0, $q0, $q0, $q0; // - cmov_s16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0, $q0; - cmov_s16x8 $q0, $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - cmov_s16x8 $q0, $q0, $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + cmov_s16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $q0, $q0; + cmov_s16x8 $q0, $q0, s16x8(0,0,0,0,0,0,0,0), $q0; + cmov_s16x8 $q0, $q0, $q0, s16x8(0,0,0,0,0,0,0,0); // // Next sample // cmov_s32x4 $q0, $q0, $q0, $q0; // - cmov_s32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0, $q0; - cmov_s32x4 $q0, $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - cmov_s32x4 $q0, $q0, $q0, s32x4(0,0,0x6bf037ae,0x325f1c71); + cmov_s32x4 $q0, u32x4(0,0,0,0), $q0, $q0; + cmov_s32x4 $q0, $q0, s32x4(0,0,0,0), $q0; + cmov_s32x4 $q0, $q0, $q0, s32x4(0,0,0,0); // // Next sample // @@ -4567,17 +4567,17 @@ // cmov_f16x8 $q0, $q0, $q0, $q0; // - cmov_f16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0, $q0; - cmov_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $q0; - cmov_f16x8 $q0, $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + cmov_f16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $q0, $q0; + cmov_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $q0; + cmov_f16x8 $q0, $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // cmov_f32x4 $q0, $q0, $q0, $q0; // - cmov_f32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0, $q0; - cmov_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $q0; - cmov_f32x4 $q0, $q0, $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + cmov_f32x4 $q0, u32x4(0,0,0,0), $q0, $q0; + cmov_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $q0; + cmov_f32x4 $q0, $q0, $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -4695,9 +4695,9 @@ // lerp_u8x4 $s0, $s0, $s0, $s0; // - lerp_u8x4 $s0, u8x4(255,255,255,255), $s0, $s0; - lerp_u8x4 $s0, $s0, u8x4(255,255,255,255), $s0; - lerp_u8x4 $s0, $s0, $s0, u8x4(255,255,255,255); + lerp_u8x4 $s0, u8x4(0,0,0,0), $s0, $s0; + lerp_u8x4 $s0, $s0, u8x4(0,0,0,0), $s0; + lerp_u8x4 $s0, $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -4714,8 +4714,8 @@ // sad_u32_u8x4 $s0, $s0, $s0, $s0; // - sad_u32_u8x4 $s0, u8x4(255,255,255,255), $s0, $s0; - sad_u32_u8x4 $s0, $s0, u8x4(255,255,255,255), $s0; + sad_u32_u8x4 $s0, u8x4(0,0,0,0), $s0, $s0; + sad_u32_u8x4 $s0, $s0, u8x4(0,0,0,0), $s0; sad_u32_u8x4 $s0, $s0, $s0, -1; sad_u32_u8x4 $s0, $s0, $s0, WAVESIZE; // @@ -4732,8 +4732,8 @@ // sadhi_u16x2_u8x4 $s0, $s0, $s0, $s0; // - sadhi_u16x2_u8x4 $s0, u8x4(255,255,255,255), $s0, $s0; - sadhi_u16x2_u8x4 $s0, $s0, u8x4(255,255,255,255), $s0; + sadhi_u16x2_u8x4 $s0, u8x4(0,0,0,0), $s0, $s0; + sadhi_u16x2_u8x4 $s0, $s0, u8x4(0,0,0,0), $s0; sadhi_u16x2_u8x4 $s0, $s0, $s0, u16x2(-1,-1); // // Next sample @@ -4749,10 +4749,10 @@ // unpackcvt_f32_u8x4 $s0, $s0, 0; // - unpackcvt_f32_u8x4 $s0, u8x4(255,255,255,255), 0; - unpackcvt_f32_u8x4 $s0, $s0, 1; - unpackcvt_f32_u8x4 $s0, $s0, 2; - unpackcvt_f32_u8x4 $s0, $s0, 3; + unpackcvt_f32_u8x4 $s0, u8x4(0,0,0,0), 0; + unpackcvt_f32_u8x4 $s0, $s0, 0; + unpackcvt_f32_u8x4 $s0, $s0, 0; + unpackcvt_f32_u8x4 $s0, $s0, 0; // // Next sample // @@ -5683,9 +5683,9 @@ cmp_eq_u32_b1 $s0, $c0, $c0; // cmp_ne_u32_b1 $s0, $c0, $c0; - cmp_eq_u32_b1 $s0, 1, $c0; + cmp_eq_u32_b1 $s0, 0, $c0; cmp_eq_u32_b1 $s0, WAVESIZE, $c0; - cmp_eq_u32_b1 $s0, $c0, 1; + cmp_eq_u32_b1 $s0, $c0, 0; cmp_eq_u32_b1 $s0, $c0, WAVESIZE; // // Next sample @@ -5693,9 +5693,9 @@ cmp_eq_u64_b1 $d0, $c0, $c0; // cmp_ne_u64_b1 $d0, $c0, $c0; - cmp_eq_u64_b1 $d0, 1, $c0; + cmp_eq_u64_b1 $d0, 0, $c0; cmp_eq_u64_b1 $d0, WAVESIZE, $c0; - cmp_eq_u64_b1 $d0, $c0, 1; + cmp_eq_u64_b1 $d0, $c0, 0; cmp_eq_u64_b1 $d0, $c0, WAVESIZE; // // Next sample @@ -5703,9 +5703,9 @@ cmp_eq_s32_b1 $s0, $c0, $c0; // cmp_ne_s32_b1 $s0, $c0, $c0; - cmp_eq_s32_b1 $s0, 1, $c0; + cmp_eq_s32_b1 $s0, 0, $c0; cmp_eq_s32_b1 $s0, WAVESIZE, $c0; - cmp_eq_s32_b1 $s0, $c0, 1; + cmp_eq_s32_b1 $s0, $c0, 0; cmp_eq_s32_b1 $s0, $c0, WAVESIZE; // // Next sample @@ -5713,9 +5713,9 @@ cmp_eq_s64_b1 $d0, $c0, $c0; // cmp_ne_s64_b1 $d0, $c0, $c0; - cmp_eq_s64_b1 $d0, 1, $c0; + cmp_eq_s64_b1 $d0, 0, $c0; cmp_eq_s64_b1 $d0, WAVESIZE, $c0; - cmp_eq_s64_b1 $d0, $c0, 1; + cmp_eq_s64_b1 $d0, $c0, 0; cmp_eq_s64_b1 $d0, $c0, WAVESIZE; // // Next sample @@ -5723,9 +5723,9 @@ cmp_eq_f16_b1 $s0, $c0, $c0; // cmp_ne_f16_b1 $s0, $c0, $c0; - cmp_eq_f16_b1 $s0, 1, $c0; + cmp_eq_f16_b1 $s0, 0, $c0; cmp_eq_f16_b1 $s0, WAVESIZE, $c0; - cmp_eq_f16_b1 $s0, $c0, 1; + cmp_eq_f16_b1 $s0, $c0, 0; cmp_eq_f16_b1 $s0, $c0, WAVESIZE; // // Next sample @@ -5733,9 +5733,9 @@ cmp_eq_f32_b1 $s0, $c0, $c0; // cmp_ne_f32_b1 $s0, $c0, $c0; - cmp_eq_f32_b1 $s0, 1, $c0; + cmp_eq_f32_b1 $s0, 0, $c0; cmp_eq_f32_b1 $s0, WAVESIZE, $c0; - cmp_eq_f32_b1 $s0, $c0, 1; + cmp_eq_f32_b1 $s0, $c0, 0; cmp_eq_f32_b1 $s0, $c0, WAVESIZE; // // Next sample @@ -5743,9 +5743,9 @@ cmp_eq_b1_b1 $c0, $c0, $c0; // cmp_ne_b1_b1 $c0, $c0, $c0; - cmp_eq_b1_b1 $c0, 1, $c0; + cmp_eq_b1_b1 $c0, 0, $c0; cmp_eq_b1_b1 $c0, WAVESIZE, $c0; - cmp_eq_b1_b1 $c0, $c0, 1; + cmp_eq_b1_b1 $c0, $c0, 0; cmp_eq_b1_b1 $c0, $c0, WAVESIZE; // // Next sample @@ -5897,8 +5897,8 @@ cmp_le_pp_u8x4_u8x4 $s0, $s0, $s0; cmp_gt_pp_u8x4_u8x4 $s0, $s0, $s0; cmp_ge_pp_u8x4_u8x4 $s0, $s0, $s0; - cmp_eq_pp_u8x4_u8x4 $s0, u8x4(255,255,255,255), $s0; - cmp_eq_pp_u8x4_u8x4 $s0, $s0, u8x4(255,255,255,255); + cmp_eq_pp_u8x4_u8x4 $s0, u8x4(0,0,0,0), $s0; + cmp_eq_pp_u8x4_u8x4 $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -5979,8 +5979,8 @@ cmp_le_pp_u8x8_u8x8 $d0, $d0, $d0; cmp_gt_pp_u8x8_u8x8 $d0, $d0, $d0; cmp_ge_pp_u8x8_u8x8 $d0, $d0, $d0; - cmp_eq_pp_u8x8_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0; - cmp_eq_pp_u8x8_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255); + cmp_eq_pp_u8x8_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0; + cmp_eq_pp_u8x8_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -6119,8 +6119,8 @@ cmp_le_pp_u8x16_u8x16 $q0, $q0, $q0; cmp_gt_pp_u8x16_u8x16 $q0, $q0, $q0; cmp_ge_pp_u8x16_u8x16 $q0, $q0, $q0; - cmp_eq_pp_u8x16_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $q0; - cmp_eq_pp_u8x16_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + cmp_eq_pp_u8x16_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0; + cmp_eq_pp_u8x16_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // @@ -6131,8 +6131,8 @@ cmp_le_pp_u16x8_u16x8 $q0, $q0, $q0; cmp_gt_pp_u16x8_u16x8 $q0, $q0, $q0; cmp_ge_pp_u16x8_u16x8 $q0, $q0, $q0; - cmp_eq_pp_u16x8_u16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - cmp_eq_pp_u16x8_u16x8 $q0, $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + cmp_eq_pp_u16x8_u16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $q0; + cmp_eq_pp_u16x8_u16x8 $q0, $q0, u16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -6143,8 +6143,8 @@ cmp_le_pp_u32x4_u32x4 $q0, $q0, $q0; cmp_gt_pp_u32x4_u32x4 $q0, $q0, $q0; cmp_ge_pp_u32x4_u32x4 $q0, $q0, $q0; - cmp_eq_pp_u32x4_u32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - cmp_eq_pp_u32x4_u32x4 $q0, $q0, u32x4(0,0,0x6bf037ae,0x325f1c71); + cmp_eq_pp_u32x4_u32x4 $q0, u32x4(0,0,0,0), $q0; + cmp_eq_pp_u32x4_u32x4 $q0, $q0, u32x4(0,0,0,0); // // Next sample // @@ -6167,8 +6167,8 @@ cmp_le_pp_u8x16_s8x16 $q0, $q0, $q0; cmp_gt_pp_u8x16_s8x16 $q0, $q0, $q0; cmp_ge_pp_u8x16_s8x16 $q0, $q0, $q0; - cmp_eq_pp_u8x16_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $q0; - cmp_eq_pp_u8x16_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113); + cmp_eq_pp_u8x16_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $q0; + cmp_eq_pp_u8x16_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0); // // Next sample // @@ -6179,8 +6179,8 @@ cmp_le_pp_u16x8_s16x8 $q0, $q0, $q0; cmp_gt_pp_u16x8_s16x8 $q0, $q0, $q0; cmp_ge_pp_u16x8_s16x8 $q0, $q0, $q0; - cmp_eq_pp_u16x8_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - cmp_eq_pp_u16x8_s16x8 $q0, $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + cmp_eq_pp_u16x8_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0), $q0; + cmp_eq_pp_u16x8_s16x8 $q0, $q0, s16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -6191,8 +6191,8 @@ cmp_le_pp_u32x4_s32x4 $q0, $q0, $q0; cmp_gt_pp_u32x4_s32x4 $q0, $q0, $q0; cmp_ge_pp_u32x4_s32x4 $q0, $q0, $q0; - cmp_eq_pp_u32x4_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - cmp_eq_pp_u32x4_s32x4 $q0, $q0, s32x4(0,0,0x6bf037ae,0x325f1c71); + cmp_eq_pp_u32x4_s32x4 $q0, s32x4(0,0,0,0), $q0; + cmp_eq_pp_u32x4_s32x4 $q0, $q0, s32x4(0,0,0,0); // // Next sample // @@ -6237,8 +6237,8 @@ cmp_snum_ftz_pp_u16x8_f16x8 $q0, $q0, $q0; cmp_snan_ftz_pp_u16x8_f16x8 $q0, $q0, $q0; cmp_sgtu_ftz_pp_u16x8_f16x8 $q0, $q0, $q0; - cmp_eq_ftz_pp_u16x8_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $q0; - cmp_eq_ftz_pp_u16x8_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + cmp_eq_ftz_pp_u16x8_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $q0; + cmp_eq_ftz_pp_u16x8_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // @@ -6271,70 +6271,70 @@ cmp_snum_ftz_pp_u32x4_f32x4 $q0, $q0, $q0; cmp_snan_ftz_pp_u32x4_f32x4 $q0, $q0, $q0; cmp_sgtu_ftz_pp_u32x4_f32x4 $q0, $q0, $q0; - cmp_eq_ftz_pp_u32x4_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $q0; - cmp_eq_ftz_pp_u32x4_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + cmp_eq_ftz_pp_u32x4_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $q0; + cmp_eq_ftz_pp_u32x4_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // cvt_u16_u8 $s0, $s0; // - cvt_u16_u8 $s0, 1; + cvt_u16_u8 $s0, 0; cvt_u16_u8 $s0, WAVESIZE; // // Next sample // cvt_u32_u8 $s0, $s0; // - cvt_u32_u8 $s0, 1; + cvt_u32_u8 $s0, 0; cvt_u32_u8 $s0, WAVESIZE; // // Next sample // cvt_u64_u8 $d0, $s0; // - cvt_u64_u8 $d0, 1; + cvt_u64_u8 $d0, 0; cvt_u64_u8 $d0, WAVESIZE; // // Next sample // cvt_s16_u8 $s0, $s0; // - cvt_s16_u8 $s0, 1; + cvt_s16_u8 $s0, 0; cvt_s16_u8 $s0, WAVESIZE; // // Next sample // cvt_s32_u8 $s0, $s0; // - cvt_s32_u8 $s0, 1; + cvt_s32_u8 $s0, 0; cvt_s32_u8 $s0, WAVESIZE; // // Next sample // cvt_s64_u8 $d0, $s0; // - cvt_s64_u8 $d0, 1; + cvt_s64_u8 $d0, 0; cvt_s64_u8 $d0, WAVESIZE; // // Next sample // cvt_f16_u8 $s0, $s0; // - cvt_f16_u8 $s0, 1; + cvt_f16_u8 $s0, 0; cvt_f16_u8 $s0, WAVESIZE; // // Next sample // cvt_f32_u8 $s0, $s0; // - cvt_f32_u8 $s0, 1; + cvt_f32_u8 $s0, 0; cvt_f32_u8 $s0, WAVESIZE; // // Next sample // cvt_b1_u8 $c0, $s0; // - cvt_b1_u8 $c0, 1; + cvt_b1_u8 $c0, 0; cvt_b1_u8 $c0, WAVESIZE; // // Next sample @@ -6530,63 +6530,63 @@ // cvt_u16_s8 $s0, $s0; // - cvt_u16_s8 $s0, 1; + cvt_u16_s8 $s0, 0; cvt_u16_s8 $s0, WAVESIZE; // // Next sample // cvt_u32_s8 $s0, $s0; // - cvt_u32_s8 $s0, 1; + cvt_u32_s8 $s0, 0; cvt_u32_s8 $s0, WAVESIZE; // // Next sample // cvt_u64_s8 $d0, $s0; // - cvt_u64_s8 $d0, 1; + cvt_u64_s8 $d0, 0; cvt_u64_s8 $d0, WAVESIZE; // // Next sample // cvt_s16_s8 $s0, $s0; // - cvt_s16_s8 $s0, 1; + cvt_s16_s8 $s0, 0; cvt_s16_s8 $s0, WAVESIZE; // // Next sample // cvt_s32_s8 $s0, $s0; // - cvt_s32_s8 $s0, 1; + cvt_s32_s8 $s0, 0; cvt_s32_s8 $s0, WAVESIZE; // // Next sample // cvt_s64_s8 $d0, $s0; // - cvt_s64_s8 $d0, 1; + cvt_s64_s8 $d0, 0; cvt_s64_s8 $d0, WAVESIZE; // // Next sample // cvt_f16_s8 $s0, $s0; // - cvt_f16_s8 $s0, 1; + cvt_f16_s8 $s0, 0; cvt_f16_s8 $s0, WAVESIZE; // // Next sample // cvt_f32_s8 $s0, $s0; // - cvt_f32_s8 $s0, 1; + cvt_f32_s8 $s0, 0; cvt_f32_s8 $s0, WAVESIZE; // // Next sample // cvt_b1_s8 $c0, $s0; // - cvt_b1_s8 $c0, 1; + cvt_b1_s8 $c0, 0; cvt_b1_s8 $c0, WAVESIZE; // // Next sample @@ -6950,70 +6950,70 @@ // cvt_u8_b1 $s0, $c0; // - cvt_u8_b1 $s0, 1; + cvt_u8_b1 $s0, 0; cvt_u8_b1 $s0, WAVESIZE; // // Next sample // cvt_u16_b1 $s0, $c0; // - cvt_u16_b1 $s0, 1; + cvt_u16_b1 $s0, 0; cvt_u16_b1 $s0, WAVESIZE; // // Next sample // cvt_u32_b1 $s0, $c0; // - cvt_u32_b1 $s0, 1; + cvt_u32_b1 $s0, 0; cvt_u32_b1 $s0, WAVESIZE; // // Next sample // cvt_u64_b1 $d0, $c0; // - cvt_u64_b1 $d0, 1; + cvt_u64_b1 $d0, 0; cvt_u64_b1 $d0, WAVESIZE; // // Next sample // cvt_s8_b1 $s0, $c0; // - cvt_s8_b1 $s0, 1; + cvt_s8_b1 $s0, 0; cvt_s8_b1 $s0, WAVESIZE; // // Next sample // cvt_s16_b1 $s0, $c0; // - cvt_s16_b1 $s0, 1; + cvt_s16_b1 $s0, 0; cvt_s16_b1 $s0, WAVESIZE; // // Next sample // cvt_s32_b1 $s0, $c0; // - cvt_s32_b1 $s0, 1; + cvt_s32_b1 $s0, 0; cvt_s32_b1 $s0, WAVESIZE; // // Next sample // cvt_s64_b1 $d0, $c0; // - cvt_s64_b1 $d0, 1; + cvt_s64_b1 $d0, 0; cvt_s64_b1 $d0, WAVESIZE; // // Next sample // cvt_f16_b1 $s0, $c0; // - cvt_f16_b1 $s0, 1; + cvt_f16_b1 $s0, 0; cvt_f16_b1 $s0, WAVESIZE; // // Next sample // cvt_f32_b1 $s0, $c0; // - cvt_f32_b1 $s0, 1; + cvt_f32_b1 $s0, 0; cvt_f32_b1 $s0, WAVESIZE; // // Next sample @@ -11709,16 +11709,16 @@ st_v2_u8 ($s0, $s0), [$s0+2]; st_v3_u8 ($s0, $s0, $s0), [$s0+2]; st_v4_u8 ($s0, $s0, $s0, $s0), [$s0+2]; - st_v2_u8 (WAVESIZE, 255), [$s0+2]; - st_v3_u8 (WAVESIZE, 255, 255), [$s0+2]; - st_v4_u8 (WAVESIZE, 255, 255, 255), [$s0+2]; + st_v2_u8 (WAVESIZE, 0), [$s0+2]; + st_v3_u8 (WAVESIZE, 0, 0), [$s0+2]; + st_v4_u8 (WAVESIZE, 0, 0, 0), [$s0+2]; st_v2_u8 (0, $s0), [$s0+2]; - st_v3_u8 (0, 255, $s0), [$s0+2]; - st_v4_u8 (0, 255, $s0, $s0), [$s0+2]; + st_v3_u8 (0, 0, $s0), [$s0+2]; + st_v4_u8 (0, 0, $s0, $s0), [$s0+2]; st_v2_u8 ($s0, $s1), [$s0+2]; st_v3_u8 ($s0, $s1, $s2), [$s0+2]; st_v4_u8 ($s0, $s1, $s2, $s3), [$s0+2]; - st_u8 1, [$s0+2]; + st_u8 0, [$s0+2]; st_u8 WAVESIZE, [$s0+2]; st_u8 $s0, [$s0+3]; st_u8 $s0, [$s0+5]; @@ -11743,16 +11743,16 @@ st_v2_global_u8 ($s0, $s0), [&GlobalVar]; st_v3_global_u8 ($s0, $s0, $s0), [&GlobalVar]; st_v4_global_u8 ($s0, $s0, $s0, $s0), [&GlobalVar]; - st_v2_global_u8 (WAVESIZE, 255), [&GlobalVar]; - st_v3_global_u8 (WAVESIZE, 255, 255), [&GlobalVar]; - st_v4_global_u8 (WAVESIZE, 255, 255, 255), [&GlobalVar]; + st_v2_global_u8 (WAVESIZE, 0), [&GlobalVar]; + st_v3_global_u8 (WAVESIZE, 0, 0), [&GlobalVar]; + st_v4_global_u8 (WAVESIZE, 0, 0, 0), [&GlobalVar]; st_v2_global_u8 (0, $s0), [&GlobalVar]; - st_v3_global_u8 (0, 255, $s0), [&GlobalVar]; - st_v4_global_u8 (0, 255, $s0, $s0), [&GlobalVar]; + st_v3_global_u8 (0, 0, $s0), [&GlobalVar]; + st_v4_global_u8 (0, 0, $s0, $s0), [&GlobalVar]; st_v2_global_u8 ($s0, $s1), [&GlobalVar]; st_v3_global_u8 ($s0, $s1, $s2), [&GlobalVar]; st_v4_global_u8 ($s0, $s1, $s2, $s3), [&GlobalVar]; - st_global_u8 1, [&GlobalVar]; + st_global_u8 0, [&GlobalVar]; st_global_u8 WAVESIZE, [&GlobalVar]; st_global_u8 $s0, [$s0+2]; st_global_u8 $s0, [$s0+3]; @@ -11778,16 +11778,16 @@ st_v2_group_u8 ($s0, $s0), [&GroupVar]; st_v3_group_u8 ($s0, $s0, $s0), [&GroupVar]; st_v4_group_u8 ($s0, $s0, $s0, $s0), [&GroupVar]; - st_v2_group_u8 (WAVESIZE, 255), [&GroupVar]; - st_v3_group_u8 (WAVESIZE, 255, 255), [&GroupVar]; - st_v4_group_u8 (WAVESIZE, 255, 255, 255), [&GroupVar]; + st_v2_group_u8 (WAVESIZE, 0), [&GroupVar]; + st_v3_group_u8 (WAVESIZE, 0, 0), [&GroupVar]; + st_v4_group_u8 (WAVESIZE, 0, 0, 0), [&GroupVar]; st_v2_group_u8 (0, $s0), [&GroupVar]; - st_v3_group_u8 (0, 255, $s0), [&GroupVar]; - st_v4_group_u8 (0, 255, $s0, $s0), [&GroupVar]; + st_v3_group_u8 (0, 0, $s0), [&GroupVar]; + st_v4_group_u8 (0, 0, $s0, $s0), [&GroupVar]; st_v2_group_u8 ($s0, $s1), [&GroupVar]; st_v3_group_u8 ($s0, $s1, $s2), [&GroupVar]; st_v4_group_u8 ($s0, $s1, $s2, $s3), [&GroupVar]; - st_group_u8 1, [&GroupVar]; + st_group_u8 0, [&GroupVar]; st_group_u8 WAVESIZE, [&GroupVar]; st_group_u8 $s0, [$s0+2]; st_group_u8 $s0, [$s0+3]; @@ -11813,16 +11813,16 @@ st_v2_private_u8 ($s0, $s0), [&PrivateVar]; st_v3_private_u8 ($s0, $s0, $s0), [&PrivateVar]; st_v4_private_u8 ($s0, $s0, $s0, $s0), [&PrivateVar]; - st_v2_private_u8 (WAVESIZE, 255), [&PrivateVar]; - st_v3_private_u8 (WAVESIZE, 255, 255), [&PrivateVar]; - st_v4_private_u8 (WAVESIZE, 255, 255, 255), [&PrivateVar]; + st_v2_private_u8 (WAVESIZE, 0), [&PrivateVar]; + st_v3_private_u8 (WAVESIZE, 0, 0), [&PrivateVar]; + st_v4_private_u8 (WAVESIZE, 0, 0, 0), [&PrivateVar]; st_v2_private_u8 (0, $s0), [&PrivateVar]; - st_v3_private_u8 (0, 255, $s0), [&PrivateVar]; - st_v4_private_u8 (0, 255, $s0, $s0), [&PrivateVar]; + st_v3_private_u8 (0, 0, $s0), [&PrivateVar]; + st_v4_private_u8 (0, 0, $s0, $s0), [&PrivateVar]; st_v2_private_u8 ($s0, $s1), [&PrivateVar]; st_v3_private_u8 ($s0, $s1, $s2), [&PrivateVar]; st_v4_private_u8 ($s0, $s1, $s2, $s3), [&PrivateVar]; - st_private_u8 1, [&PrivateVar]; + st_private_u8 0, [&PrivateVar]; st_private_u8 WAVESIZE, [&PrivateVar]; st_private_u8 $s0, [$s0+2]; st_private_u8 $s0, [$s0+3]; @@ -11848,16 +11848,16 @@ st_v2_spill_u8 ($s0, $s0), [%SpillVar]; st_v3_spill_u8 ($s0, $s0, $s0), [%SpillVar]; st_v4_spill_u8 ($s0, $s0, $s0, $s0), [%SpillVar]; - st_v2_spill_u8 (WAVESIZE, 255), [%SpillVar]; - st_v3_spill_u8 (WAVESIZE, 255, 255), [%SpillVar]; - st_v4_spill_u8 (WAVESIZE, 255, 255, 255), [%SpillVar]; + st_v2_spill_u8 (WAVESIZE, 0), [%SpillVar]; + st_v3_spill_u8 (WAVESIZE, 0, 0), [%SpillVar]; + st_v4_spill_u8 (WAVESIZE, 0, 0, 0), [%SpillVar]; st_v2_spill_u8 (0, $s0), [%SpillVar]; - st_v3_spill_u8 (0, 255, $s0), [%SpillVar]; - st_v4_spill_u8 (0, 255, $s0, $s0), [%SpillVar]; + st_v3_spill_u8 (0, 0, $s0), [%SpillVar]; + st_v4_spill_u8 (0, 0, $s0, $s0), [%SpillVar]; st_v2_spill_u8 ($s0, $s1), [%SpillVar]; st_v3_spill_u8 ($s0, $s1, $s2), [%SpillVar]; st_v4_spill_u8 ($s0, $s1, $s2, $s3), [%SpillVar]; - st_spill_u8 1, [%SpillVar]; + st_spill_u8 0, [%SpillVar]; st_spill_u8 WAVESIZE, [%SpillVar]; st_spill_align(2)_u8 $s0, [%SpillVar]; st_spill_align(4)_u8 $s0, [%SpillVar]; @@ -12394,7 +12394,7 @@ st_v2_s8 ($s0, $s1), [$s0+2]; st_v3_s8 ($s0, $s1, $s2), [$s0+2]; st_v4_s8 ($s0, $s1, $s2, $s3), [$s0+2]; - st_s8 1, [$s0+2]; + st_s8 0, [$s0+2]; st_s8 WAVESIZE, [$s0+2]; st_s8 $s0, [$s0+3]; st_s8 $s0, [$s0+5]; @@ -12428,7 +12428,7 @@ st_v2_global_s8 ($s0, $s1), [&GlobalVar]; st_v3_global_s8 ($s0, $s1, $s2), [&GlobalVar]; st_v4_global_s8 ($s0, $s1, $s2, $s3), [&GlobalVar]; - st_global_s8 1, [&GlobalVar]; + st_global_s8 0, [&GlobalVar]; st_global_s8 WAVESIZE, [&GlobalVar]; st_global_s8 $s0, [$s0+2]; st_global_s8 $s0, [$s0+3]; @@ -12463,7 +12463,7 @@ st_v2_group_s8 ($s0, $s1), [&GroupVar]; st_v3_group_s8 ($s0, $s1, $s2), [&GroupVar]; st_v4_group_s8 ($s0, $s1, $s2, $s3), [&GroupVar]; - st_group_s8 1, [&GroupVar]; + st_group_s8 0, [&GroupVar]; st_group_s8 WAVESIZE, [&GroupVar]; st_group_s8 $s0, [$s0+2]; st_group_s8 $s0, [$s0+3]; @@ -12498,7 +12498,7 @@ st_v2_private_s8 ($s0, $s1), [&PrivateVar]; st_v3_private_s8 ($s0, $s1, $s2), [&PrivateVar]; st_v4_private_s8 ($s0, $s1, $s2, $s3), [&PrivateVar]; - st_private_s8 1, [&PrivateVar]; + st_private_s8 0, [&PrivateVar]; st_private_s8 WAVESIZE, [&PrivateVar]; st_private_s8 $s0, [$s0+2]; st_private_s8 $s0, [$s0+3]; @@ -12533,7 +12533,7 @@ st_v2_spill_s8 ($s0, $s1), [%SpillVar]; st_v3_spill_s8 ($s0, $s1, $s2), [%SpillVar]; st_v4_spill_s8 ($s0, $s1, $s2, $s3), [%SpillVar]; - st_spill_s8 1, [%SpillVar]; + st_spill_s8 0, [%SpillVar]; st_spill_s8 WAVESIZE, [%SpillVar]; st_spill_align(2)_s8 $s0, [%SpillVar]; st_spill_align(4)_s8 $s0, [%SpillVar]; @@ -13359,16 +13359,16 @@ st_v2_b128 ($q0, $q0), [$s0+2]; st_v3_b128 ($q0, $q0, $q0), [$s0+2]; st_v4_b128 ($q0, $q0, $q0, $q0), [$s0+2]; - st_v2_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [$s0+2]; - st_v3_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [$s0+2]; - st_v4_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [$s0+2]; + st_v2_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [$s0+2]; + st_v3_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [$s0+2]; + st_v4_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [$s0+2]; st_v2_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [$s0+2]; - st_v3_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0), [$s0+2]; - st_v4_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0, $q0), [$s0+2]; + st_v3_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [$s0+2]; + st_v4_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0, $q0), [$s0+2]; st_v2_b128 ($q0, $q1), [$s0+2]; st_v3_b128 ($q0, $q1, $q2), [$s0+2]; st_v4_b128 ($q0, $q1, $q2, $q3), [$s0+2]; - st_b128 u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), [$s0+2]; + st_b128 u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), [$s0+2]; st_b128 $q0, [$s0+3]; st_b128 $q0, [$s0+5]; st_b128 $q0, [$s0+6]; @@ -13392,16 +13392,16 @@ st_v2_global_b128 ($q0, $q0), [&GlobalVar]; st_v3_global_b128 ($q0, $q0, $q0), [&GlobalVar]; st_v4_global_b128 ($q0, $q0, $q0, $q0), [&GlobalVar]; - st_v2_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [&GlobalVar]; - st_v3_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [&GlobalVar]; - st_v4_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [&GlobalVar]; + st_v2_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [&GlobalVar]; + st_v3_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [&GlobalVar]; + st_v4_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [&GlobalVar]; st_v2_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [&GlobalVar]; - st_v3_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0), [&GlobalVar]; - st_v4_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0, $q0), [&GlobalVar]; + st_v3_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [&GlobalVar]; + st_v4_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0, $q0), [&GlobalVar]; st_v2_global_b128 ($q0, $q1), [&GlobalVar]; st_v3_global_b128 ($q0, $q1, $q2), [&GlobalVar]; st_v4_global_b128 ($q0, $q1, $q2, $q3), [&GlobalVar]; - st_global_b128 u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), [&GlobalVar]; + st_global_b128 u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), [&GlobalVar]; st_global_b128 $q0, [$s0+2]; st_global_b128 $q0, [$s0+3]; st_global_b128 $q0, [$s0+5]; @@ -13426,16 +13426,16 @@ st_v2_group_b128 ($q0, $q0), [&GroupVar]; st_v3_group_b128 ($q0, $q0, $q0), [&GroupVar]; st_v4_group_b128 ($q0, $q0, $q0, $q0), [&GroupVar]; - st_v2_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [&GroupVar]; - st_v3_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [&GroupVar]; - st_v4_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [&GroupVar]; + st_v2_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [&GroupVar]; + st_v3_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [&GroupVar]; + st_v4_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [&GroupVar]; st_v2_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [&GroupVar]; - st_v3_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0), [&GroupVar]; - st_v4_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0, $q0), [&GroupVar]; + st_v3_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [&GroupVar]; + st_v4_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0, $q0), [&GroupVar]; st_v2_group_b128 ($q0, $q1), [&GroupVar]; st_v3_group_b128 ($q0, $q1, $q2), [&GroupVar]; st_v4_group_b128 ($q0, $q1, $q2, $q3), [&GroupVar]; - st_group_b128 u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), [&GroupVar]; + st_group_b128 u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), [&GroupVar]; st_group_b128 $q0, [$s0+2]; st_group_b128 $q0, [$s0+3]; st_group_b128 $q0, [$s0+5]; @@ -13460,16 +13460,16 @@ st_v2_private_b128 ($q0, $q0), [&PrivateVar]; st_v3_private_b128 ($q0, $q0, $q0), [&PrivateVar]; st_v4_private_b128 ($q0, $q0, $q0, $q0), [&PrivateVar]; - st_v2_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [&PrivateVar]; - st_v3_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [&PrivateVar]; - st_v4_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [&PrivateVar]; + st_v2_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [&PrivateVar]; + st_v3_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [&PrivateVar]; + st_v4_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [&PrivateVar]; st_v2_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [&PrivateVar]; - st_v3_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0), [&PrivateVar]; - st_v4_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0, $q0), [&PrivateVar]; + st_v3_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [&PrivateVar]; + st_v4_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0, $q0), [&PrivateVar]; st_v2_private_b128 ($q0, $q1), [&PrivateVar]; st_v3_private_b128 ($q0, $q1, $q2), [&PrivateVar]; st_v4_private_b128 ($q0, $q1, $q2, $q3), [&PrivateVar]; - st_private_b128 u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), [&PrivateVar]; + st_private_b128 u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), [&PrivateVar]; st_private_b128 $q0, [$s0+2]; st_private_b128 $q0, [$s0+3]; st_private_b128 $q0, [$s0+5]; @@ -13494,16 +13494,16 @@ st_v2_spill_b128 ($q0, $q0), [%SpillVar]; st_v3_spill_b128 ($q0, $q0, $q0), [%SpillVar]; st_v4_spill_b128 ($q0, $q0, $q0, $q0), [%SpillVar]; - st_v2_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [%SpillVar]; - st_v3_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [%SpillVar]; - st_v4_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [%SpillVar]; + st_v2_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [%SpillVar]; + st_v3_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [%SpillVar]; + st_v4_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [%SpillVar]; st_v2_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [%SpillVar]; - st_v3_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0), [%SpillVar]; - st_v4_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0, $q0), [%SpillVar]; + st_v3_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [%SpillVar]; + st_v4_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0, $q0), [%SpillVar]; st_v2_spill_b128 ($q0, $q1), [%SpillVar]; st_v3_spill_b128 ($q0, $q1, $q2), [%SpillVar]; st_v4_spill_b128 ($q0, $q1, $q2, $q3), [%SpillVar]; - st_spill_b128 u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), [%SpillVar]; + st_spill_b128 u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), [%SpillVar]; st_spill_align(2)_b128 $q0, [%SpillVar]; st_spill_align(4)_b128 $q0, [%SpillVar]; st_spill_align(8)_b128 $q0, [%SpillVar]; @@ -17534,7 +17534,7 @@ // cbr_b1 $c0, @TestLabel; // - cbr_b1 1, @TestLabel; + cbr_b1 0, @TestLabel; cbr_b1 WAVESIZE, @TestLabel; cbr_width(2)_b1 $c0, @TestLabel; cbr_width(4)_b1 $c0, @TestLabel; @@ -17818,7 +17818,7 @@ // activelanemask_v4_b64_b1 ($d0, $d1, $d2, $d3), $c0; // - activelanemask_v4_b64_b1 ($d0, $d1, $d2, $d3), 1; + activelanemask_v4_b64_b1 ($d0, $d1, $d2, $d3), 0; activelanemask_v4_b64_b1 ($d0, $d1, $d2, $d3), WAVESIZE; activelanemask_v4_width(2)_b64_b1 ($d0, $d1, $d2, $d3), $c0; activelanemask_v4_width(4)_b64_b1 ($d0, $d1, $d2, $d3), $c0; @@ -17858,7 +17858,7 @@ // activelanecount_u32_b1 $s0, $c0; // - activelanecount_u32_b1 $s0, 1; + activelanecount_u32_b1 $s0, 0; activelanecount_u32_b1 $s0, WAVESIZE; activelanecount_width(2)_u32_b1 $s0, $c0; activelanecount_width(4)_u32_b1 $s0, $c0; @@ -17936,13 +17936,13 @@ // activelanepermute_b1 $c0, $c0, $s0, $c0, $c0; // - activelanepermute_b1 $c0, 1, $s0, $c0, $c0; + activelanepermute_b1 $c0, 0, $s0, $c0, $c0; activelanepermute_b1 $c0, WAVESIZE, $s0, $c0, $c0; activelanepermute_b1 $c0, $c0, -1, $c0, $c0; activelanepermute_b1 $c0, $c0, WAVESIZE, $c0, $c0; - activelanepermute_b1 $c0, $c0, $s0, 1, $c0; + activelanepermute_b1 $c0, $c0, $s0, 0, $c0; activelanepermute_b1 $c0, $c0, $s0, WAVESIZE, $c0; - activelanepermute_b1 $c0, $c0, $s0, $c0, 1; + activelanepermute_b1 $c0, $c0, $s0, $c0, 0; activelanepermute_b1 $c0, $c0, $s0, $c0, WAVESIZE; activelanepermute_width(2)_b1 $c0, $c0, $s0, $c0, $c0; activelanepermute_width(4)_b1 $c0, $c0, $s0, $c0, $c0; @@ -17988,7 +17988,7 @@ activelanepermute_b32 $s0, $s0, WAVESIZE, $s0, $c0; activelanepermute_b32 $s0, $s0, $s0, -1, $c0; activelanepermute_b32 $s0, $s0, $s0, WAVESIZE, $c0; - activelanepermute_b32 $s0, $s0, $s0, $s0, 1; + activelanepermute_b32 $s0, $s0, $s0, $s0, 0; activelanepermute_b32 $s0, $s0, $s0, $s0, WAVESIZE; activelanepermute_width(2)_b32 $s0, $s0, $s0, $s0, $c0; activelanepermute_width(4)_b32 $s0, $s0, $s0, $s0, $c0; @@ -18034,7 +18034,7 @@ activelanepermute_b64 $d0, $d0, WAVESIZE, $d0, $c0; activelanepermute_b64 $d0, $d0, $s0, -1, $c0; activelanepermute_b64 $d0, $d0, $s0, WAVESIZE, $c0; - activelanepermute_b64 $d0, $d0, $s0, $d0, 1; + activelanepermute_b64 $d0, $d0, $s0, $d0, 0; activelanepermute_b64 $d0, $d0, $s0, $d0, WAVESIZE; activelanepermute_width(2)_b64 $d0, $d0, $s0, $d0, $c0; activelanepermute_width(4)_b64 $d0, $d0, $s0, $d0, $c0; @@ -18074,11 +18074,11 @@ // activelanepermute_b128 $q0, $q0, $s0, $q0, $c0; // - activelanepermute_b128 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $s0, $q0, $c0; + activelanepermute_b128 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $s0, $q0, $c0; activelanepermute_b128 $q0, $q0, -1, $q0, $c0; activelanepermute_b128 $q0, $q0, WAVESIZE, $q0, $c0; - activelanepermute_b128 $q0, $q0, $s0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $c0; - activelanepermute_b128 $q0, $q0, $s0, $q0, 1; + activelanepermute_b128 $q0, $q0, $s0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $c0; + activelanepermute_b128 $q0, $q0, $s0, $q0, 0; activelanepermute_b128 $q0, $q0, $s0, $q0, WAVESIZE; activelanepermute_width(2)_b128 $q0, $q0, $s0, $q0, $c0; activelanepermute_width(4)_b128 $q0, $q0, $s0, $q0, $c0; @@ -18200,64 +18200,64 @@ // currentworkgroupsize_u32 $s0, 0; // - currentworkgroupsize_u32 $s0, 1; - currentworkgroupsize_u32 $s0, 2; + currentworkgroupsize_u32 $s0, 0; + currentworkgroupsize_u32 $s0, 0; // // Next sample // gridgroups_u32 $s0, 0; // - gridgroups_u32 $s0, 1; - gridgroups_u32 $s0, 2; + gridgroups_u32 $s0, 0; + gridgroups_u32 $s0, 0; // // Next sample // workgroupid_u32 $s0, 0; // - workgroupid_u32 $s0, 1; - workgroupid_u32 $s0, 2; + workgroupid_u32 $s0, 0; + workgroupid_u32 $s0, 0; // // Next sample // workgroupsize_u32 $s0, 0; // - workgroupsize_u32 $s0, 1; - workgroupsize_u32 $s0, 2; + workgroupsize_u32 $s0, 0; + workgroupsize_u32 $s0, 0; // // Next sample // workitemid_u32 $s0, 0; // - workitemid_u32 $s0, 1; - workitemid_u32 $s0, 2; + workitemid_u32 $s0, 0; + workitemid_u32 $s0, 0; // // Next sample // gridsize_u32 $s0, 0; // - gridsize_u32 $s0, 1; - gridsize_u32 $s0, 2; + gridsize_u32 $s0, 0; + gridsize_u32 $s0, 0; // // Next sample // gridsize_u64 $d0, 0; // - gridsize_u64 $d0, 1; - gridsize_u64 $d0, 2; + gridsize_u64 $d0, 0; + gridsize_u64 $d0, 0; // // Next sample // workitemabsid_u32 $s0, 0; // - workitemabsid_u32 $s0, 1; - workitemabsid_u32 $s0, 2; + workitemabsid_u32 $s0, 0; + workitemabsid_u32 $s0, 0; // // Next sample // workitemabsid_u64 $d0, 0; // - workitemabsid_u64 $d0, 1; - workitemabsid_u64 $d0, 2; + workitemabsid_u64 $d0, 0; + workitemabsid_u64 $d0, 0; // // Next sample // 10/115 Test #10: 1.0/instruction/CORE-base-large ...................***Failed 0.12 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/instruction/CORE-base-large.hsail 2017-03-06 16:05:12.000000000 +0000 +++ CORE-base-large_2.hsail 2017-12-24 01:26:46.197154345 +0000 @@ -94,8 +94,8 @@ add_ps_sat_u8x4 $s0, $s0, $s0; add_sp_sat_u8x4 $s0, $s0, $s0; add_ss_sat_u8x4 $s0, $s0, $s0; - add_pp_u8x4 $s0, u8x4(255,255,255,255), $s0; - add_pp_u8x4 $s0, $s0, u8x4(255,255,255,255); + add_pp_u8x4 $s0, u8x4(0,0,0,0), $s0; + add_pp_u8x4 $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -160,8 +160,8 @@ add_ps_sat_u8x8 $d0, $d0, $d0; add_sp_sat_u8x8 $d0, $d0, $d0; add_ss_sat_u8x8 $d0, $d0, $d0; - add_pp_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0; - add_pp_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255); + add_pp_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0; + add_pp_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -264,8 +264,8 @@ add_ps_sat_u8x16 $q0, $q0, $q0; add_sp_sat_u8x16 $q0, $q0, $q0; add_ss_sat_u8x16 $q0, $q0, $q0; - add_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $q0; - add_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + add_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0; + add_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // @@ -278,8 +278,8 @@ add_ps_sat_u16x8 $q0, $q0, $q0; add_sp_sat_u16x8 $q0, $q0, $q0; add_ss_sat_u16x8 $q0, $q0, $q0; - add_pp_u16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - add_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + add_pp_u16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $q0; + add_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -292,8 +292,8 @@ add_ps_sat_u32x4 $q0, $q0, $q0; add_sp_sat_u32x4 $q0, $q0, $q0; add_ss_sat_u32x4 $q0, $q0, $q0; - add_pp_u32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - add_pp_u32x4 $q0, $q0, u32x4(0,0,0x6bf037ae,0x325f1c71); + add_pp_u32x4 $q0, u32x4(0,0,0,0), $q0; + add_pp_u32x4 $q0, $q0, u32x4(0,0,0,0); // // Next sample // @@ -320,8 +320,8 @@ add_ps_sat_s8x16 $q0, $q0, $q0; add_sp_sat_s8x16 $q0, $q0, $q0; add_ss_sat_s8x16 $q0, $q0, $q0; - add_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $q0; - add_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113); + add_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $q0; + add_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0); // // Next sample // @@ -334,8 +334,8 @@ add_ps_sat_s16x8 $q0, $q0, $q0; add_sp_sat_s16x8 $q0, $q0, $q0; add_ss_sat_s16x8 $q0, $q0, $q0; - add_pp_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - add_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + add_pp_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0), $q0; + add_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -348,8 +348,8 @@ add_ps_sat_s32x4 $q0, $q0, $q0; add_sp_sat_s32x4 $q0, $q0, $q0; add_ss_sat_s32x4 $q0, $q0, $q0; - add_pp_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - add_pp_s32x4 $q0, $q0, s32x4(0,0,0x6bf037ae,0x325f1c71); + add_pp_s32x4 $q0, s32x4(0,0,0,0), $q0; + add_pp_s32x4 $q0, $q0, s32x4(0,0,0,0); // // Next sample // @@ -372,8 +372,8 @@ add_ftz_ps_f16x8 $q0, $q0, $q0; add_ftz_sp_f16x8 $q0, $q0, $q0; add_ftz_ss_f16x8 $q0, $q0, $q0; - add_ftz_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $q0; - add_ftz_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + add_ftz_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $q0; + add_ftz_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // @@ -382,8 +382,8 @@ add_ftz_ps_f32x4 $q0, $q0, $q0; add_ftz_sp_f32x4 $q0, $q0, $q0; add_ftz_ss_f32x4 $q0, $q0, $q0; - add_ftz_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $q0; - add_ftz_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + add_ftz_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $q0; + add_ftz_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -446,8 +446,8 @@ sub_ps_sat_u8x4 $s0, $s0, $s0; sub_sp_sat_u8x4 $s0, $s0, $s0; sub_ss_sat_u8x4 $s0, $s0, $s0; - sub_pp_u8x4 $s0, u8x4(255,255,255,255), $s0; - sub_pp_u8x4 $s0, $s0, u8x4(255,255,255,255); + sub_pp_u8x4 $s0, u8x4(0,0,0,0), $s0; + sub_pp_u8x4 $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -512,8 +512,8 @@ sub_ps_sat_u8x8 $d0, $d0, $d0; sub_sp_sat_u8x8 $d0, $d0, $d0; sub_ss_sat_u8x8 $d0, $d0, $d0; - sub_pp_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0; - sub_pp_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255); + sub_pp_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0; + sub_pp_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -616,8 +616,8 @@ sub_ps_sat_u8x16 $q0, $q0, $q0; sub_sp_sat_u8x16 $q0, $q0, $q0; sub_ss_sat_u8x16 $q0, $q0, $q0; - sub_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $q0; - sub_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + sub_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0; + sub_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // @@ -630,8 +630,8 @@ sub_ps_sat_u16x8 $q0, $q0, $q0; sub_sp_sat_u16x8 $q0, $q0, $q0; sub_ss_sat_u16x8 $q0, $q0, $q0; - sub_pp_u16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - sub_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + sub_pp_u16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $q0; + sub_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -644,8 +644,8 @@ sub_ps_sat_u32x4 $q0, $q0, $q0; sub_sp_sat_u32x4 $q0, $q0, $q0; sub_ss_sat_u32x4 $q0, $q0, $q0; - sub_pp_u32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - sub_pp_u32x4 $q0, $q0, u32x4(0,0,0x6bf037ae,0x325f1c71); + sub_pp_u32x4 $q0, u32x4(0,0,0,0), $q0; + sub_pp_u32x4 $q0, $q0, u32x4(0,0,0,0); // // Next sample // @@ -672,8 +672,8 @@ sub_ps_sat_s8x16 $q0, $q0, $q0; sub_sp_sat_s8x16 $q0, $q0, $q0; sub_ss_sat_s8x16 $q0, $q0, $q0; - sub_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $q0; - sub_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113); + sub_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $q0; + sub_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0); // // Next sample // @@ -686,8 +686,8 @@ sub_ps_sat_s16x8 $q0, $q0, $q0; sub_sp_sat_s16x8 $q0, $q0, $q0; sub_ss_sat_s16x8 $q0, $q0, $q0; - sub_pp_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - sub_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + sub_pp_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0), $q0; + sub_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -700,8 +700,8 @@ sub_ps_sat_s32x4 $q0, $q0, $q0; sub_sp_sat_s32x4 $q0, $q0, $q0; sub_ss_sat_s32x4 $q0, $q0, $q0; - sub_pp_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - sub_pp_s32x4 $q0, $q0, s32x4(0,0,0x6bf037ae,0x325f1c71); + sub_pp_s32x4 $q0, s32x4(0,0,0,0), $q0; + sub_pp_s32x4 $q0, $q0, s32x4(0,0,0,0); // // Next sample // @@ -724,8 +724,8 @@ sub_ftz_ps_f16x8 $q0, $q0, $q0; sub_ftz_sp_f16x8 $q0, $q0, $q0; sub_ftz_ss_f16x8 $q0, $q0, $q0; - sub_ftz_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $q0; - sub_ftz_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + sub_ftz_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $q0; + sub_ftz_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // @@ -734,8 +734,8 @@ sub_ftz_ps_f32x4 $q0, $q0, $q0; sub_ftz_sp_f32x4 $q0, $q0, $q0; sub_ftz_ss_f32x4 $q0, $q0, $q0; - sub_ftz_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $q0; - sub_ftz_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + sub_ftz_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $q0; + sub_ftz_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -794,8 +794,8 @@ max_ps_u8x4 $s0, $s0, $s0; max_sp_u8x4 $s0, $s0, $s0; max_ss_u8x4 $s0, $s0, $s0; - max_pp_u8x4 $s0, u8x4(255,255,255,255), $s0; - max_pp_u8x4 $s0, $s0, u8x4(255,255,255,255); + max_pp_u8x4 $s0, u8x4(0,0,0,0), $s0; + max_pp_u8x4 $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -844,8 +844,8 @@ max_ps_u8x8 $d0, $d0, $d0; max_sp_u8x8 $d0, $d0, $d0; max_ss_u8x8 $d0, $d0, $d0; - max_pp_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0; - max_pp_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255); + max_pp_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0; + max_pp_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -924,8 +924,8 @@ max_ps_u8x16 $q0, $q0, $q0; max_sp_u8x16 $q0, $q0, $q0; max_ss_u8x16 $q0, $q0, $q0; - max_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $q0; - max_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + max_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0; + max_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // @@ -934,8 +934,8 @@ max_ps_u16x8 $q0, $q0, $q0; max_sp_u16x8 $q0, $q0, $q0; max_ss_u16x8 $q0, $q0, $q0; - max_pp_u16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - max_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + max_pp_u16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $q0; + max_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -944,8 +944,8 @@ max_ps_u32x4 $q0, $q0, $q0; max_sp_u32x4 $q0, $q0, $q0; max_ss_u32x4 $q0, $q0, $q0; - max_pp_u32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - max_pp_u32x4 $q0, $q0, u32x4(0,0,0x6bf037ae,0x325f1c71); + max_pp_u32x4 $q0, u32x4(0,0,0,0), $q0; + max_pp_u32x4 $q0, $q0, u32x4(0,0,0,0); // // Next sample // @@ -964,8 +964,8 @@ max_ps_s8x16 $q0, $q0, $q0; max_sp_s8x16 $q0, $q0, $q0; max_ss_s8x16 $q0, $q0, $q0; - max_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $q0; - max_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113); + max_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $q0; + max_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0); // // Next sample // @@ -974,8 +974,8 @@ max_ps_s16x8 $q0, $q0, $q0; max_sp_s16x8 $q0, $q0, $q0; max_ss_s16x8 $q0, $q0, $q0; - max_pp_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - max_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + max_pp_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0), $q0; + max_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -984,8 +984,8 @@ max_ps_s32x4 $q0, $q0, $q0; max_sp_s32x4 $q0, $q0, $q0; max_ss_s32x4 $q0, $q0, $q0; - max_pp_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - max_pp_s32x4 $q0, $q0, s32x4(0,0,0x6bf037ae,0x325f1c71); + max_pp_s32x4 $q0, s32x4(0,0,0,0), $q0; + max_pp_s32x4 $q0, $q0, s32x4(0,0,0,0); // // Next sample // @@ -1004,8 +1004,8 @@ max_ftz_ps_f16x8 $q0, $q0, $q0; max_ftz_sp_f16x8 $q0, $q0, $q0; max_ftz_ss_f16x8 $q0, $q0, $q0; - max_ftz_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $q0; - max_ftz_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + max_ftz_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $q0; + max_ftz_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // @@ -1014,8 +1014,8 @@ max_ftz_ps_f32x4 $q0, $q0, $q0; max_ftz_sp_f32x4 $q0, $q0, $q0; max_ftz_ss_f32x4 $q0, $q0, $q0; - max_ftz_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $q0; - max_ftz_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + max_ftz_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $q0; + max_ftz_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -1074,8 +1074,8 @@ min_ps_u8x4 $s0, $s0, $s0; min_sp_u8x4 $s0, $s0, $s0; min_ss_u8x4 $s0, $s0, $s0; - min_pp_u8x4 $s0, u8x4(255,255,255,255), $s0; - min_pp_u8x4 $s0, $s0, u8x4(255,255,255,255); + min_pp_u8x4 $s0, u8x4(0,0,0,0), $s0; + min_pp_u8x4 $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -1124,8 +1124,8 @@ min_ps_u8x8 $d0, $d0, $d0; min_sp_u8x8 $d0, $d0, $d0; min_ss_u8x8 $d0, $d0, $d0; - min_pp_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0; - min_pp_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255); + min_pp_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0; + min_pp_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -1204,8 +1204,8 @@ min_ps_u8x16 $q0, $q0, $q0; min_sp_u8x16 $q0, $q0, $q0; min_ss_u8x16 $q0, $q0, $q0; - min_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $q0; - min_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + min_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0; + min_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // @@ -1214,8 +1214,8 @@ min_ps_u16x8 $q0, $q0, $q0; min_sp_u16x8 $q0, $q0, $q0; min_ss_u16x8 $q0, $q0, $q0; - min_pp_u16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - min_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + min_pp_u16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $q0; + min_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -1224,8 +1224,8 @@ min_ps_u32x4 $q0, $q0, $q0; min_sp_u32x4 $q0, $q0, $q0; min_ss_u32x4 $q0, $q0, $q0; - min_pp_u32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - min_pp_u32x4 $q0, $q0, u32x4(0,0,0x6bf037ae,0x325f1c71); + min_pp_u32x4 $q0, u32x4(0,0,0,0), $q0; + min_pp_u32x4 $q0, $q0, u32x4(0,0,0,0); // // Next sample // @@ -1244,8 +1244,8 @@ min_ps_s8x16 $q0, $q0, $q0; min_sp_s8x16 $q0, $q0, $q0; min_ss_s8x16 $q0, $q0, $q0; - min_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $q0; - min_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113); + min_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $q0; + min_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0); // // Next sample // @@ -1254,8 +1254,8 @@ min_ps_s16x8 $q0, $q0, $q0; min_sp_s16x8 $q0, $q0, $q0; min_ss_s16x8 $q0, $q0, $q0; - min_pp_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - min_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + min_pp_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0), $q0; + min_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -1264,8 +1264,8 @@ min_ps_s32x4 $q0, $q0, $q0; min_sp_s32x4 $q0, $q0, $q0; min_ss_s32x4 $q0, $q0, $q0; - min_pp_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - min_pp_s32x4 $q0, $q0, s32x4(0,0,0x6bf037ae,0x325f1c71); + min_pp_s32x4 $q0, s32x4(0,0,0,0), $q0; + min_pp_s32x4 $q0, $q0, s32x4(0,0,0,0); // // Next sample // @@ -1284,8 +1284,8 @@ min_ftz_ps_f16x8 $q0, $q0, $q0; min_ftz_sp_f16x8 $q0, $q0, $q0; min_ftz_ss_f16x8 $q0, $q0, $q0; - min_ftz_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $q0; - min_ftz_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + min_ftz_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $q0; + min_ftz_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // @@ -1294,8 +1294,8 @@ min_ftz_ps_f32x4 $q0, $q0, $q0; min_ftz_sp_f32x4 $q0, $q0, $q0; min_ftz_ss_f32x4 $q0, $q0, $q0; - min_ftz_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $q0; - min_ftz_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + min_ftz_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $q0; + min_ftz_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -1358,8 +1358,8 @@ mul_ps_sat_u8x4 $s0, $s0, $s0; mul_sp_sat_u8x4 $s0, $s0, $s0; mul_ss_sat_u8x4 $s0, $s0, $s0; - mul_pp_u8x4 $s0, u8x4(255,255,255,255), $s0; - mul_pp_u8x4 $s0, $s0, u8x4(255,255,255,255); + mul_pp_u8x4 $s0, u8x4(0,0,0,0), $s0; + mul_pp_u8x4 $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -1424,8 +1424,8 @@ mul_ps_sat_u8x8 $d0, $d0, $d0; mul_sp_sat_u8x8 $d0, $d0, $d0; mul_ss_sat_u8x8 $d0, $d0, $d0; - mul_pp_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0; - mul_pp_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255); + mul_pp_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0; + mul_pp_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -1528,8 +1528,8 @@ mul_ps_sat_u8x16 $q0, $q0, $q0; mul_sp_sat_u8x16 $q0, $q0, $q0; mul_ss_sat_u8x16 $q0, $q0, $q0; - mul_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $q0; - mul_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + mul_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0; + mul_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // @@ -1542,8 +1542,8 @@ mul_ps_sat_u16x8 $q0, $q0, $q0; mul_sp_sat_u16x8 $q0, $q0, $q0; mul_ss_sat_u16x8 $q0, $q0, $q0; - mul_pp_u16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - mul_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + mul_pp_u16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $q0; + mul_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -1556,8 +1556,8 @@ mul_ps_sat_u32x4 $q0, $q0, $q0; mul_sp_sat_u32x4 $q0, $q0, $q0; mul_ss_sat_u32x4 $q0, $q0, $q0; - mul_pp_u32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - mul_pp_u32x4 $q0, $q0, u32x4(0,0,0x6bf037ae,0x325f1c71); + mul_pp_u32x4 $q0, u32x4(0,0,0,0), $q0; + mul_pp_u32x4 $q0, $q0, u32x4(0,0,0,0); // // Next sample // @@ -1584,8 +1584,8 @@ mul_ps_sat_s8x16 $q0, $q0, $q0; mul_sp_sat_s8x16 $q0, $q0, $q0; mul_ss_sat_s8x16 $q0, $q0, $q0; - mul_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $q0; - mul_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113); + mul_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $q0; + mul_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0); // // Next sample // @@ -1598,8 +1598,8 @@ mul_ps_sat_s16x8 $q0, $q0, $q0; mul_sp_sat_s16x8 $q0, $q0, $q0; mul_ss_sat_s16x8 $q0, $q0, $q0; - mul_pp_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - mul_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + mul_pp_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0), $q0; + mul_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -1612,8 +1612,8 @@ mul_ps_sat_s32x4 $q0, $q0, $q0; mul_sp_sat_s32x4 $q0, $q0, $q0; mul_ss_sat_s32x4 $q0, $q0, $q0; - mul_pp_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - mul_pp_s32x4 $q0, $q0, s32x4(0,0,0x6bf037ae,0x325f1c71); + mul_pp_s32x4 $q0, s32x4(0,0,0,0), $q0; + mul_pp_s32x4 $q0, $q0, s32x4(0,0,0,0); // // Next sample // @@ -1636,8 +1636,8 @@ mul_ftz_ps_f16x8 $q0, $q0, $q0; mul_ftz_sp_f16x8 $q0, $q0, $q0; mul_ftz_ss_f16x8 $q0, $q0, $q0; - mul_ftz_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $q0; - mul_ftz_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + mul_ftz_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $q0; + mul_ftz_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // @@ -1646,8 +1646,8 @@ mul_ftz_ps_f32x4 $q0, $q0, $q0; mul_ftz_sp_f32x4 $q0, $q0, $q0; mul_ftz_ss_f32x4 $q0, $q0, $q0; - mul_ftz_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $q0; - mul_ftz_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + mul_ftz_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $q0; + mul_ftz_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -1692,8 +1692,8 @@ mulhi_ps_u8x4 $s0, $s0, $s0; mulhi_sp_u8x4 $s0, $s0, $s0; mulhi_ss_u8x4 $s0, $s0, $s0; - mulhi_pp_u8x4 $s0, u8x4(255,255,255,255), $s0; - mulhi_pp_u8x4 $s0, $s0, u8x4(255,255,255,255); + mulhi_pp_u8x4 $s0, u8x4(0,0,0,0), $s0; + mulhi_pp_u8x4 $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -1732,8 +1732,8 @@ mulhi_ps_u8x8 $d0, $d0, $d0; mulhi_sp_u8x8 $d0, $d0, $d0; mulhi_ss_u8x8 $d0, $d0, $d0; - mulhi_pp_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0; - mulhi_pp_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255); + mulhi_pp_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0; + mulhi_pp_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -1792,8 +1792,8 @@ mulhi_ps_u8x16 $q0, $q0, $q0; mulhi_sp_u8x16 $q0, $q0, $q0; mulhi_ss_u8x16 $q0, $q0, $q0; - mulhi_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $q0; - mulhi_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + mulhi_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0; + mulhi_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // @@ -1802,8 +1802,8 @@ mulhi_ps_u16x8 $q0, $q0, $q0; mulhi_sp_u16x8 $q0, $q0, $q0; mulhi_ss_u16x8 $q0, $q0, $q0; - mulhi_pp_u16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - mulhi_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + mulhi_pp_u16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $q0; + mulhi_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -1812,8 +1812,8 @@ mulhi_ps_u32x4 $q0, $q0, $q0; mulhi_sp_u32x4 $q0, $q0, $q0; mulhi_ss_u32x4 $q0, $q0, $q0; - mulhi_pp_u32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - mulhi_pp_u32x4 $q0, $q0, u32x4(0,0,0x6bf037ae,0x325f1c71); + mulhi_pp_u32x4 $q0, u32x4(0,0,0,0), $q0; + mulhi_pp_u32x4 $q0, $q0, u32x4(0,0,0,0); // // Next sample // @@ -1832,8 +1832,8 @@ mulhi_ps_s8x16 $q0, $q0, $q0; mulhi_sp_s8x16 $q0, $q0, $q0; mulhi_ss_s8x16 $q0, $q0, $q0; - mulhi_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $q0; - mulhi_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113); + mulhi_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $q0; + mulhi_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0); // // Next sample // @@ -1842,8 +1842,8 @@ mulhi_ps_s16x8 $q0, $q0, $q0; mulhi_sp_s16x8 $q0, $q0, $q0; mulhi_ss_s16x8 $q0, $q0, $q0; - mulhi_pp_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - mulhi_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + mulhi_pp_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0), $q0; + mulhi_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -1852,8 +1852,8 @@ mulhi_ps_s32x4 $q0, $q0, $q0; mulhi_sp_s32x4 $q0, $q0, $q0; mulhi_ss_s32x4 $q0, $q0, $q0; - mulhi_pp_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - mulhi_pp_s32x4 $q0, $q0, s32x4(0,0,0x6bf037ae,0x325f1c71); + mulhi_pp_s32x4 $q0, s32x4(0,0,0,0), $q0; + mulhi_pp_s32x4 $q0, $q0, s32x4(0,0,0,0); // // Next sample // @@ -1952,8 +1952,8 @@ div_ftz_ps_f16x8 $q0, $q0, $q0; div_ftz_sp_f16x8 $q0, $q0, $q0; div_ftz_ss_f16x8 $q0, $q0, $q0; - div_ftz_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $q0; - div_ftz_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + div_ftz_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $q0; + div_ftz_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // @@ -1962,8 +1962,8 @@ div_ftz_ps_f32x4 $q0, $q0, $q0; div_ftz_sp_f32x4 $q0, $q0, $q0; div_ftz_ss_f32x4 $q0, $q0, $q0; - div_ftz_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $q0; - div_ftz_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + div_ftz_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $q0; + div_ftz_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -2160,21 +2160,21 @@ neg_s_s8x16 $q0, $q0; // neg_p_s8x16 $q0, $q0; - neg_s_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113); + neg_s_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0); // // Next sample // neg_s_s16x8 $q0, $q0; // neg_p_s16x8 $q0, $q0; - neg_s_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + neg_s_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0); // // Next sample // neg_s_s32x4 $q0, $q0; // neg_p_s32x4 $q0, $q0; - neg_s_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71); + neg_s_s32x4 $q0, s32x4(0,0,0,0); // // Next sample // @@ -2188,14 +2188,14 @@ neg_s_f16x8 $q0, $q0; // neg_p_f16x8 $q0, $q0; - neg_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + neg_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // neg_s_f32x4 $q0, $q0; // neg_p_f32x4 $q0, $q0; - neg_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + neg_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -2284,21 +2284,21 @@ abs_s_s8x16 $q0, $q0; // abs_p_s8x16 $q0, $q0; - abs_s_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113); + abs_s_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0); // // Next sample // abs_s_s16x8 $q0, $q0; // abs_p_s16x8 $q0, $q0; - abs_s_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + abs_s_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0); // // Next sample // abs_s_s32x4 $q0, $q0; // abs_p_s32x4 $q0, $q0; - abs_s_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71); + abs_s_s32x4 $q0, s32x4(0,0,0,0); // // Next sample // @@ -2312,14 +2312,14 @@ abs_s_f16x8 $q0, $q0; // abs_p_f16x8 $q0, $q0; - abs_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + abs_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // abs_s_f32x4 $q0, $q0; // abs_p_f32x4 $q0, $q0; - abs_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + abs_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -2372,8 +2372,8 @@ copysign_ps_f16x8 $q0, $q0, $q0; copysign_sp_f16x8 $q0, $q0, $q0; copysign_ss_f16x8 $q0, $q0, $q0; - copysign_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $q0; - copysign_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + copysign_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $q0; + copysign_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // @@ -2382,8 +2382,8 @@ copysign_ps_f32x4 $q0, $q0, $q0; copysign_sp_f32x4 $q0, $q0, $q0; copysign_ss_f32x4 $q0, $q0, $q0; - copysign_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $q0; - copysign_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + copysign_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $q0; + copysign_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -2423,14 +2423,14 @@ fract_ftz_s_f16x8 $q0, $q0; // fract_ftz_p_f16x8 $q0, $q0; - fract_ftz_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + fract_ftz_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // fract_ftz_s_f32x4 $q0, $q0; // fract_ftz_p_f32x4 $q0, $q0; - fract_ftz_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + fract_ftz_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -2470,14 +2470,14 @@ sqrt_ftz_s_f16x8 $q0, $q0; // sqrt_ftz_p_f16x8 $q0, $q0; - sqrt_ftz_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + sqrt_ftz_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // sqrt_ftz_s_f32x4 $q0, $q0; // sqrt_ftz_p_f32x4 $q0, $q0; - sqrt_ftz_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + sqrt_ftz_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -2517,14 +2517,14 @@ ceil_ftz_s_f16x8 $q0, $q0; // ceil_ftz_p_f16x8 $q0, $q0; - ceil_ftz_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + ceil_ftz_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // ceil_ftz_s_f32x4 $q0, $q0; // ceil_ftz_p_f32x4 $q0, $q0; - ceil_ftz_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + ceil_ftz_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -2564,14 +2564,14 @@ floor_ftz_s_f16x8 $q0, $q0; // floor_ftz_p_f16x8 $q0, $q0; - floor_ftz_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + floor_ftz_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // floor_ftz_s_f32x4 $q0, $q0; // floor_ftz_p_f32x4 $q0, $q0; - floor_ftz_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + floor_ftz_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -2611,14 +2611,14 @@ rint_ftz_s_f16x8 $q0, $q0; // rint_ftz_p_f16x8 $q0, $q0; - rint_ftz_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + rint_ftz_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // rint_ftz_s_f32x4 $q0, $q0; // rint_ftz_p_f32x4 $q0, $q0; - rint_ftz_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + rint_ftz_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -2658,14 +2658,14 @@ trunc_ftz_s_f16x8 $q0, $q0; // trunc_ftz_p_f16x8 $q0, $q0; - trunc_ftz_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + trunc_ftz_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // trunc_ftz_s_f32x4 $q0, $q0; // trunc_ftz_p_f32x4 $q0, $q0; - trunc_ftz_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + trunc_ftz_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -2863,7 +2863,7 @@ // shl_u8x4 $s0, $s0, $s0; // - shl_u8x4 $s0, u8x4(255,255,255,255), $s0; + shl_u8x4 $s0, u8x4(0,0,0,0), $s0; shl_u8x4 $s0, $s0, -1; shl_u8x4 $s0, $s0, WAVESIZE; // @@ -2895,7 +2895,7 @@ // shl_u8x8 $d0, $d0, $s0; // - shl_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $s0; + shl_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $s0; shl_u8x8 $d0, $d0, -1; shl_u8x8 $d0, $d0, WAVESIZE; // @@ -2943,7 +2943,7 @@ // shl_u8x16 $q0, $q0, $s0; // - shl_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $s0; + shl_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $s0; shl_u8x16 $q0, $q0, -1; shl_u8x16 $q0, $q0, WAVESIZE; // @@ -2951,7 +2951,7 @@ // shl_u16x8 $q0, $q0, $s0; // - shl_u16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $s0; + shl_u16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $s0; shl_u16x8 $q0, $q0, -1; shl_u16x8 $q0, $q0, WAVESIZE; // @@ -2959,7 +2959,7 @@ // shl_u32x4 $q0, $q0, $s0; // - shl_u32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $s0; + shl_u32x4 $q0, u32x4(0,0,0,0), $s0; shl_u32x4 $q0, $q0, -1; shl_u32x4 $q0, $q0, WAVESIZE; // @@ -2975,7 +2975,7 @@ // shl_s8x16 $q0, $q0, $s0; // - shl_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $s0; + shl_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $s0; shl_s8x16 $q0, $q0, -1; shl_s8x16 $q0, $q0, WAVESIZE; // @@ -2983,7 +2983,7 @@ // shl_s16x8 $q0, $q0, $s0; // - shl_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $s0; + shl_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0), $s0; shl_s16x8 $q0, $q0, -1; shl_s16x8 $q0, $q0, WAVESIZE; // @@ -2991,7 +2991,7 @@ // shl_s32x4 $q0, $q0, $s0; // - shl_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $s0; + shl_s32x4 $q0, s32x4(0,0,0,0), $s0; shl_s32x4 $q0, $q0, -1; shl_s32x4 $q0, $q0, WAVESIZE; // @@ -3043,7 +3043,7 @@ // shr_u8x4 $s0, $s0, $s0; // - shr_u8x4 $s0, u8x4(255,255,255,255), $s0; + shr_u8x4 $s0, u8x4(0,0,0,0), $s0; shr_u8x4 $s0, $s0, -1; shr_u8x4 $s0, $s0, WAVESIZE; // @@ -3075,7 +3075,7 @@ // shr_u8x8 $d0, $d0, $s0; // - shr_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $s0; + shr_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $s0; shr_u8x8 $d0, $d0, -1; shr_u8x8 $d0, $d0, WAVESIZE; // @@ -3123,7 +3123,7 @@ // shr_u8x16 $q0, $q0, $s0; // - shr_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $s0; + shr_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $s0; shr_u8x16 $q0, $q0, -1; shr_u8x16 $q0, $q0, WAVESIZE; // @@ -3131,7 +3131,7 @@ // shr_u16x8 $q0, $q0, $s0; // - shr_u16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $s0; + shr_u16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $s0; shr_u16x8 $q0, $q0, -1; shr_u16x8 $q0, $q0, WAVESIZE; // @@ -3139,7 +3139,7 @@ // shr_u32x4 $q0, $q0, $s0; // - shr_u32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $s0; + shr_u32x4 $q0, u32x4(0,0,0,0), $s0; shr_u32x4 $q0, $q0, -1; shr_u32x4 $q0, $q0, WAVESIZE; // @@ -3155,7 +3155,7 @@ // shr_s8x16 $q0, $q0, $s0; // - shr_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $s0; + shr_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $s0; shr_s8x16 $q0, $q0, -1; shr_s8x16 $q0, $q0, WAVESIZE; // @@ -3163,7 +3163,7 @@ // shr_s16x8 $q0, $q0, $s0; // - shr_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $s0; + shr_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0), $s0; shr_s16x8 $q0, $q0, -1; shr_s16x8 $q0, $q0, WAVESIZE; // @@ -3171,7 +3171,7 @@ // shr_s32x4 $q0, $q0, $s0; // - shr_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $s0; + shr_s32x4 $q0, s32x4(0,0,0,0), $s0; shr_s32x4 $q0, $q0, -1; shr_s32x4 $q0, $q0, WAVESIZE; // @@ -3187,9 +3187,9 @@ // and_b1 $c0, $c0, $c0; // - and_b1 $c0, 1, $c0; + and_b1 $c0, 0, $c0; and_b1 $c0, WAVESIZE, $c0; - and_b1 $c0, $c0, 1; + and_b1 $c0, $c0, 0; and_b1 $c0, $c0, WAVESIZE; // // Next sample @@ -3214,9 +3214,9 @@ // or_b1 $c0, $c0, $c0; // - or_b1 $c0, 1, $c0; + or_b1 $c0, 0, $c0; or_b1 $c0, WAVESIZE, $c0; - or_b1 $c0, $c0, 1; + or_b1 $c0, $c0, 0; or_b1 $c0, $c0, WAVESIZE; // // Next sample @@ -3241,9 +3241,9 @@ // xor_b1 $c0, $c0, $c0; // - xor_b1 $c0, 1, $c0; + xor_b1 $c0, 0, $c0; xor_b1 $c0, WAVESIZE, $c0; - xor_b1 $c0, $c0, 1; + xor_b1 $c0, $c0, 0; xor_b1 $c0, $c0, WAVESIZE; // // Next sample @@ -3268,7 +3268,7 @@ // not_b1 $c0, $c0; // - not_b1 $c0, 1; + not_b1 $c0, 0; not_b1 $c0, WAVESIZE; // // Next sample @@ -3540,13 +3540,13 @@ // expand_v4_b32_b128 ($s0, $s1, $s2, $s3), $q0; // - expand_v4_b32_b128 ($s0, $s1, $s2, $s3), u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + expand_v4_b32_b128 ($s0, $s1, $s2, $s3), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // expand_v2_b64_b128 ($d0, $d1), $q0; // - expand_v2_b64_b128 ($d0, $d1), u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + expand_v2_b64_b128 ($d0, $d1), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // @@ -3592,7 +3592,7 @@ // mov_b1 $c0, $c0; // - mov_b1 $c0, 1; + mov_b1 $c0, 0; mov_b1 $c0, WAVESIZE; // // Next sample @@ -3613,7 +3613,7 @@ // mov_b128 $q0, $q0; // - mov_b128 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + mov_b128 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // @@ -3684,8 +3684,8 @@ // shuffle_u8x4 $s0, $s0, $s0, -1; // - shuffle_u8x4 $s0, u8x4(255,255,255,255), $s0, -1; - shuffle_u8x4 $s0, $s0, u8x4(255,255,255,255), -1; + shuffle_u8x4 $s0, u8x4(0,0,0,0), $s0, -1; + shuffle_u8x4 $s0, $s0, u8x4(0,0,0,0), -1; // // Next sample // @@ -3719,8 +3719,8 @@ // shuffle_u8x8 $d0, $d0, $d0, -1; // - shuffle_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0, -1; - shuffle_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255), -1; + shuffle_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0, -1; + shuffle_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0), -1; // // Next sample // @@ -3775,8 +3775,8 @@ // unpacklo_u8x4 $s0, $s0, $s0; // - unpacklo_u8x4 $s0, u8x4(255,255,255,255), $s0; - unpacklo_u8x4 $s0, $s0, u8x4(255,255,255,255); + unpacklo_u8x4 $s0, u8x4(0,0,0,0), $s0; + unpacklo_u8x4 $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -3810,8 +3810,8 @@ // unpacklo_u8x8 $d0, $d0, $d0; // - unpacklo_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0; - unpacklo_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255); + unpacklo_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0; + unpacklo_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -3866,8 +3866,8 @@ // unpackhi_u8x4 $s0, $s0, $s0; // - unpackhi_u8x4 $s0, u8x4(255,255,255,255), $s0; - unpackhi_u8x4 $s0, $s0, u8x4(255,255,255,255); + unpackhi_u8x4 $s0, u8x4(0,0,0,0), $s0; + unpackhi_u8x4 $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -3901,8 +3901,8 @@ // unpackhi_u8x8 $d0, $d0, $d0; // - unpackhi_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0; - unpackhi_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255); + unpackhi_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0; + unpackhi_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -3957,7 +3957,7 @@ // pack_u8x4_u32 $s0, $s0, $s0, $s0; // - pack_u8x4_u32 $s0, u8x4(255,255,255,255), $s0, $s0; + pack_u8x4_u32 $s0, u8x4(0,0,0,0), $s0, $s0; pack_u8x4_u32 $s0, $s0, -1, $s0; pack_u8x4_u32 $s0, $s0, WAVESIZE, $s0; pack_u8x4_u32 $s0, $s0, $s0, -1; @@ -3977,7 +3977,7 @@ // pack_u8x8_u32 $d0, $d0, $s0, $s0; // - pack_u8x8_u32 $d0, u8x8(255,255,255,255,255,255,255,255), $s0, $s0; + pack_u8x8_u32 $d0, u8x8(0,0,0,0,0,0,0,0), $s0, $s0; pack_u8x8_u32 $d0, $d0, -1, $s0; pack_u8x8_u32 $d0, $d0, WAVESIZE, $s0; pack_u8x8_u32 $d0, $d0, $s0, -1; @@ -4007,7 +4007,7 @@ // pack_u8x16_u32 $q0, $q0, $s0, $s0; // - pack_u8x16_u32 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $s0, $s0; + pack_u8x16_u32 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $s0, $s0; pack_u8x16_u32 $q0, $q0, -1, $s0; pack_u8x16_u32 $q0, $q0, WAVESIZE, $s0; pack_u8x16_u32 $q0, $q0, $s0, -1; @@ -4017,7 +4017,7 @@ // pack_u16x8_u32 $q0, $q0, $s0, $s0; // - pack_u16x8_u32 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $s0, $s0; + pack_u16x8_u32 $q0, u16x8(0,0,0,0,0,0,0,0), $s0, $s0; pack_u16x8_u32 $q0, $q0, -1, $s0; pack_u16x8_u32 $q0, $q0, WAVESIZE, $s0; pack_u16x8_u32 $q0, $q0, $s0, -1; @@ -4027,7 +4027,7 @@ // pack_u32x4_u32 $q0, $q0, $s0, $s0; // - pack_u32x4_u32 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $s0, $s0; + pack_u32x4_u32 $q0, u32x4(0,0,0,0), $s0, $s0; pack_u32x4_u32 $q0, $q0, -1, $s0; pack_u32x4_u32 $q0, $q0, WAVESIZE, $s0; pack_u32x4_u32 $q0, $q0, $s0, -1; @@ -4097,7 +4097,7 @@ // pack_s8x16_s32 $q0, $q0, $s0, $s0; // - pack_s8x16_s32 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $s0, $s0; + pack_s8x16_s32 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $s0, $s0; pack_s8x16_s32 $q0, $q0, -1, $s0; pack_s8x16_s32 $q0, $q0, WAVESIZE, $s0; pack_s8x16_s32 $q0, $q0, $s0, -1; @@ -4107,7 +4107,7 @@ // pack_s16x8_s32 $q0, $q0, $s0, $s0; // - pack_s16x8_s32 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $s0, $s0; + pack_s16x8_s32 $q0, s16x8(0,0,0,0,0,0,0,0), $s0, $s0; pack_s16x8_s32 $q0, $q0, -1, $s0; pack_s16x8_s32 $q0, $q0, WAVESIZE, $s0; pack_s16x8_s32 $q0, $q0, $s0, -1; @@ -4117,7 +4117,7 @@ // pack_s32x4_s32 $q0, $q0, $s0, $s0; // - pack_s32x4_s32 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $s0, $s0; + pack_s32x4_s32 $q0, s32x4(0,0,0,0), $s0, $s0; pack_s32x4_s32 $q0, $q0, -1, $s0; pack_s32x4_s32 $q0, $q0, WAVESIZE, $s0; pack_s32x4_s32 $q0, $q0, $s0, -1; @@ -4155,7 +4155,7 @@ // pack_f16x8_f16 $q0, $q0, $s0, $s0; // - pack_f16x8_f16 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $s0, $s0; + pack_f16x8_f16 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $s0, $s0; pack_f16x8_f16 $q0, $q0, 0Hffff, $s0; pack_f16x8_f16 $q0, $q0, $s0, -1; pack_f16x8_f16 $q0, $q0, $s0, WAVESIZE; @@ -4173,7 +4173,7 @@ // pack_f32x4_f32 $q0, $q0, $s0, $s0; // - pack_f32x4_f32 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $s0, $s0; + pack_f32x4_f32 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $s0, $s0; pack_f32x4_f32 $q0, $q0, 0Fffffffff, $s0; pack_f32x4_f32 $q0, $q0, $s0, -1; pack_f32x4_f32 $q0, $q0, $s0, WAVESIZE; @@ -4182,7 +4182,7 @@ // unpack_u32_u8x4 $s0, $s0, $s0; // - unpack_u32_u8x4 $s0, u8x4(255,255,255,255), $s0; + unpack_u32_u8x4 $s0, u8x4(0,0,0,0), $s0; unpack_u32_u8x4 $s0, $s0, -1; unpack_u32_u8x4 $s0, $s0, WAVESIZE; // @@ -4222,7 +4222,7 @@ // unpack_u32_u8x8 $s0, $d0, $s0; // - unpack_u32_u8x8 $s0, u8x8(255,255,255,255,255,255,255,255), $s0; + unpack_u32_u8x8 $s0, u8x8(0,0,0,0,0,0,0,0), $s0; unpack_u32_u8x8 $s0, $d0, -1; unpack_u32_u8x8 $s0, $d0, WAVESIZE; // @@ -4286,7 +4286,7 @@ // unpack_u32_u8x16 $s0, $q0, $s0; // - unpack_u32_u8x16 $s0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $s0; + unpack_u32_u8x16 $s0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $s0; unpack_u32_u8x16 $s0, $q0, -1; unpack_u32_u8x16 $s0, $q0, WAVESIZE; // @@ -4294,7 +4294,7 @@ // unpack_u32_u16x8 $s0, $q0, $s0; // - unpack_u32_u16x8 $s0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $s0; + unpack_u32_u16x8 $s0, u16x8(0,0,0,0,0,0,0,0), $s0; unpack_u32_u16x8 $s0, $q0, -1; unpack_u32_u16x8 $s0, $q0, WAVESIZE; // @@ -4302,7 +4302,7 @@ // unpack_u32_u32x4 $s0, $q0, $s0; // - unpack_u32_u32x4 $s0, u32x4(0,0,0x6bf037ae,0x325f1c71), $s0; + unpack_u32_u32x4 $s0, u32x4(0,0,0,0), $s0; unpack_u32_u32x4 $s0, $q0, -1; unpack_u32_u32x4 $s0, $q0, WAVESIZE; // @@ -4318,7 +4318,7 @@ // unpack_s32_s8x16 $s0, $q0, $s0; // - unpack_s32_s8x16 $s0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $s0; + unpack_s32_s8x16 $s0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $s0; unpack_s32_s8x16 $s0, $q0, -1; unpack_s32_s8x16 $s0, $q0, WAVESIZE; // @@ -4326,7 +4326,7 @@ // unpack_s32_s16x8 $s0, $q0, $s0; // - unpack_s32_s16x8 $s0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $s0; + unpack_s32_s16x8 $s0, s16x8(0,0,0,0,0,0,0,0), $s0; unpack_s32_s16x8 $s0, $q0, -1; unpack_s32_s16x8 $s0, $q0, WAVESIZE; // @@ -4334,7 +4334,7 @@ // unpack_s32_s32x4 $s0, $q0, $s0; // - unpack_s32_s32x4 $s0, s32x4(0,0,0x6bf037ae,0x325f1c71), $s0; + unpack_s32_s32x4 $s0, s32x4(0,0,0,0), $s0; unpack_s32_s32x4 $s0, $q0, -1; unpack_s32_s32x4 $s0, $q0, WAVESIZE; // @@ -4350,7 +4350,7 @@ // unpack_f16_f16x8 $s0, $q0, $s0; // - unpack_f16_f16x8 $s0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $s0; + unpack_f16_f16x8 $s0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $s0; unpack_f16_f16x8 $s0, $q0, -1; unpack_f16_f16x8 $s0, $q0, WAVESIZE; // @@ -4358,7 +4358,7 @@ // unpack_f32_f32x4 $s0, $q0, $s0; // - unpack_f32_f32x4 $s0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $s0; + unpack_f32_f32x4 $s0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $s0; unpack_f32_f32x4 $s0, $q0, -1; unpack_f32_f32x4 $s0, $q0, WAVESIZE; // @@ -4366,18 +4366,18 @@ // cmov_b1 $c0, $c0, $c0, $c0; // - cmov_b1 $c0, 1, $c0, $c0; + cmov_b1 $c0, 0, $c0, $c0; cmov_b1 $c0, WAVESIZE, $c0, $c0; - cmov_b1 $c0, $c0, 1, $c0; + cmov_b1 $c0, $c0, 0, $c0; cmov_b1 $c0, $c0, WAVESIZE, $c0; - cmov_b1 $c0, $c0, $c0, 1; + cmov_b1 $c0, $c0, $c0, 0; cmov_b1 $c0, $c0, $c0, WAVESIZE; // // Next sample // cmov_b32 $s0, $c0, $s0, $s0; // - cmov_b32 $s0, 1, $s0, $s0; + cmov_b32 $s0, 0, $s0, $s0; cmov_b32 $s0, WAVESIZE, $s0, $s0; cmov_b32 $s0, $c0, -1, $s0; cmov_b32 $s0, $c0, WAVESIZE, $s0; @@ -4388,7 +4388,7 @@ // cmov_b64 $d0, $c0, $d0, $d0; // - cmov_b64 $d0, 1, $d0, $d0; + cmov_b64 $d0, 0, $d0, $d0; cmov_b64 $d0, WAVESIZE, $d0, $d0; cmov_b64 $d0, $c0, -1, $d0; cmov_b64 $d0, $c0, WAVESIZE, $d0; @@ -4399,9 +4399,9 @@ // cmov_u8x4 $s0, $s0, $s0, $s0; // - cmov_u8x4 $s0, u8x4(255,255,255,255), $s0, $s0; - cmov_u8x4 $s0, $s0, u8x4(255,255,255,255), $s0; - cmov_u8x4 $s0, $s0, $s0, u8x4(255,255,255,255); + cmov_u8x4 $s0, u8x4(0,0,0,0), $s0, $s0; + cmov_u8x4 $s0, $s0, u8x4(0,0,0,0), $s0; + cmov_u8x4 $s0, $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -4415,7 +4415,7 @@ // cmov_s8x4 $s0, $s0, $s0, $s0; // - cmov_s8x4 $s0, u8x4(255,255,255,255), $s0, $s0; + cmov_s8x4 $s0, u8x4(0,0,0,0), $s0, $s0; cmov_s8x4 $s0, $s0, s8x4(-1,-1,-1,-1), $s0; cmov_s8x4 $s0, $s0, $s0, s8x4(-1,-1,-1,-1); // @@ -4439,9 +4439,9 @@ // cmov_u8x8 $d0, $d0, $d0, $d0; // - cmov_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0, $d0; - cmov_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255), $d0; - cmov_u8x8 $d0, $d0, $d0, u8x8(255,255,255,255,255,255,255,255); + cmov_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0, $d0; + cmov_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0), $d0; + cmov_u8x8 $d0, $d0, $d0, u8x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -4463,7 +4463,7 @@ // cmov_s8x8 $d0, $d0, $d0, $d0; // - cmov_s8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0, $d0; + cmov_s8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0, $d0; cmov_s8x8 $d0, $d0, s8x8(-1,-1,-1,-1,-1,-1,-1,-1), $d0; cmov_s8x8 $d0, $d0, $d0, s8x8(-1,-1,-1,-1,-1,-1,-1,-1); // @@ -4503,25 +4503,25 @@ // cmov_u8x16 $q0, $q0, $q0, $q0; // - cmov_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $q0, $q0; - cmov_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $q0; - cmov_u8x16 $q0, $q0, $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + cmov_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0, $q0; + cmov_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0; + cmov_u8x16 $q0, $q0, $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // cmov_u16x8 $q0, $q0, $q0, $q0; // - cmov_u16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0, $q0; - cmov_u16x8 $q0, $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - cmov_u16x8 $q0, $q0, $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + cmov_u16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $q0, $q0; + cmov_u16x8 $q0, $q0, u16x8(0,0,0,0,0,0,0,0), $q0; + cmov_u16x8 $q0, $q0, $q0, u16x8(0,0,0,0,0,0,0,0); // // Next sample // cmov_u32x4 $q0, $q0, $q0, $q0; // - cmov_u32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0, $q0; - cmov_u32x4 $q0, $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - cmov_u32x4 $q0, $q0, $q0, u32x4(0,0,0x6bf037ae,0x325f1c71); + cmov_u32x4 $q0, u32x4(0,0,0,0), $q0, $q0; + cmov_u32x4 $q0, $q0, u32x4(0,0,0,0), $q0; + cmov_u32x4 $q0, $q0, $q0, u32x4(0,0,0,0); // // Next sample // @@ -4535,25 +4535,25 @@ // cmov_s8x16 $q0, $q0, $q0, $q0; // - cmov_s8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $q0, $q0; - cmov_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $q0; - cmov_s8x16 $q0, $q0, $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113); + cmov_s8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0, $q0; + cmov_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $q0; + cmov_s8x16 $q0, $q0, $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0); // // Next sample // cmov_s16x8 $q0, $q0, $q0, $q0; // - cmov_s16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0, $q0; - cmov_s16x8 $q0, $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - cmov_s16x8 $q0, $q0, $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + cmov_s16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $q0, $q0; + cmov_s16x8 $q0, $q0, s16x8(0,0,0,0,0,0,0,0), $q0; + cmov_s16x8 $q0, $q0, $q0, s16x8(0,0,0,0,0,0,0,0); // // Next sample // cmov_s32x4 $q0, $q0, $q0, $q0; // - cmov_s32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0, $q0; - cmov_s32x4 $q0, $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - cmov_s32x4 $q0, $q0, $q0, s32x4(0,0,0x6bf037ae,0x325f1c71); + cmov_s32x4 $q0, u32x4(0,0,0,0), $q0, $q0; + cmov_s32x4 $q0, $q0, s32x4(0,0,0,0), $q0; + cmov_s32x4 $q0, $q0, $q0, s32x4(0,0,0,0); // // Next sample // @@ -4567,17 +4567,17 @@ // cmov_f16x8 $q0, $q0, $q0, $q0; // - cmov_f16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0, $q0; - cmov_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $q0; - cmov_f16x8 $q0, $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + cmov_f16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $q0, $q0; + cmov_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $q0; + cmov_f16x8 $q0, $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // cmov_f32x4 $q0, $q0, $q0, $q0; // - cmov_f32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0, $q0; - cmov_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $q0; - cmov_f32x4 $q0, $q0, $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + cmov_f32x4 $q0, u32x4(0,0,0,0), $q0, $q0; + cmov_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $q0; + cmov_f32x4 $q0, $q0, $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -4695,9 +4695,9 @@ // lerp_u8x4 $s0, $s0, $s0, $s0; // - lerp_u8x4 $s0, u8x4(255,255,255,255), $s0, $s0; - lerp_u8x4 $s0, $s0, u8x4(255,255,255,255), $s0; - lerp_u8x4 $s0, $s0, $s0, u8x4(255,255,255,255); + lerp_u8x4 $s0, u8x4(0,0,0,0), $s0, $s0; + lerp_u8x4 $s0, $s0, u8x4(0,0,0,0), $s0; + lerp_u8x4 $s0, $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -4714,8 +4714,8 @@ // sad_u32_u8x4 $s0, $s0, $s0, $s0; // - sad_u32_u8x4 $s0, u8x4(255,255,255,255), $s0, $s0; - sad_u32_u8x4 $s0, $s0, u8x4(255,255,255,255), $s0; + sad_u32_u8x4 $s0, u8x4(0,0,0,0), $s0, $s0; + sad_u32_u8x4 $s0, $s0, u8x4(0,0,0,0), $s0; sad_u32_u8x4 $s0, $s0, $s0, -1; sad_u32_u8x4 $s0, $s0, $s0, WAVESIZE; // @@ -4732,8 +4732,8 @@ // sadhi_u16x2_u8x4 $s0, $s0, $s0, $s0; // - sadhi_u16x2_u8x4 $s0, u8x4(255,255,255,255), $s0, $s0; - sadhi_u16x2_u8x4 $s0, $s0, u8x4(255,255,255,255), $s0; + sadhi_u16x2_u8x4 $s0, u8x4(0,0,0,0), $s0, $s0; + sadhi_u16x2_u8x4 $s0, $s0, u8x4(0,0,0,0), $s0; sadhi_u16x2_u8x4 $s0, $s0, $s0, u16x2(-1,-1); // // Next sample @@ -4749,10 +4749,10 @@ // unpackcvt_f32_u8x4 $s0, $s0, 0; // - unpackcvt_f32_u8x4 $s0, u8x4(255,255,255,255), 0; - unpackcvt_f32_u8x4 $s0, $s0, 1; - unpackcvt_f32_u8x4 $s0, $s0, 2; - unpackcvt_f32_u8x4 $s0, $s0, 3; + unpackcvt_f32_u8x4 $s0, u8x4(0,0,0,0), 0; + unpackcvt_f32_u8x4 $s0, $s0, 0; + unpackcvt_f32_u8x4 $s0, $s0, 0; + unpackcvt_f32_u8x4 $s0, $s0, 0; // // Next sample // @@ -5683,9 +5683,9 @@ cmp_eq_u32_b1 $s0, $c0, $c0; // cmp_ne_u32_b1 $s0, $c0, $c0; - cmp_eq_u32_b1 $s0, 1, $c0; + cmp_eq_u32_b1 $s0, 0, $c0; cmp_eq_u32_b1 $s0, WAVESIZE, $c0; - cmp_eq_u32_b1 $s0, $c0, 1; + cmp_eq_u32_b1 $s0, $c0, 0; cmp_eq_u32_b1 $s0, $c0, WAVESIZE; // // Next sample @@ -5693,9 +5693,9 @@ cmp_eq_u64_b1 $d0, $c0, $c0; // cmp_ne_u64_b1 $d0, $c0, $c0; - cmp_eq_u64_b1 $d0, 1, $c0; + cmp_eq_u64_b1 $d0, 0, $c0; cmp_eq_u64_b1 $d0, WAVESIZE, $c0; - cmp_eq_u64_b1 $d0, $c0, 1; + cmp_eq_u64_b1 $d0, $c0, 0; cmp_eq_u64_b1 $d0, $c0, WAVESIZE; // // Next sample @@ -5703,9 +5703,9 @@ cmp_eq_s32_b1 $s0, $c0, $c0; // cmp_ne_s32_b1 $s0, $c0, $c0; - cmp_eq_s32_b1 $s0, 1, $c0; + cmp_eq_s32_b1 $s0, 0, $c0; cmp_eq_s32_b1 $s0, WAVESIZE, $c0; - cmp_eq_s32_b1 $s0, $c0, 1; + cmp_eq_s32_b1 $s0, $c0, 0; cmp_eq_s32_b1 $s0, $c0, WAVESIZE; // // Next sample @@ -5713,9 +5713,9 @@ cmp_eq_s64_b1 $d0, $c0, $c0; // cmp_ne_s64_b1 $d0, $c0, $c0; - cmp_eq_s64_b1 $d0, 1, $c0; + cmp_eq_s64_b1 $d0, 0, $c0; cmp_eq_s64_b1 $d0, WAVESIZE, $c0; - cmp_eq_s64_b1 $d0, $c0, 1; + cmp_eq_s64_b1 $d0, $c0, 0; cmp_eq_s64_b1 $d0, $c0, WAVESIZE; // // Next sample @@ -5723,9 +5723,9 @@ cmp_eq_f16_b1 $s0, $c0, $c0; // cmp_ne_f16_b1 $s0, $c0, $c0; - cmp_eq_f16_b1 $s0, 1, $c0; + cmp_eq_f16_b1 $s0, 0, $c0; cmp_eq_f16_b1 $s0, WAVESIZE, $c0; - cmp_eq_f16_b1 $s0, $c0, 1; + cmp_eq_f16_b1 $s0, $c0, 0; cmp_eq_f16_b1 $s0, $c0, WAVESIZE; // // Next sample @@ -5733,9 +5733,9 @@ cmp_eq_f32_b1 $s0, $c0, $c0; // cmp_ne_f32_b1 $s0, $c0, $c0; - cmp_eq_f32_b1 $s0, 1, $c0; + cmp_eq_f32_b1 $s0, 0, $c0; cmp_eq_f32_b1 $s0, WAVESIZE, $c0; - cmp_eq_f32_b1 $s0, $c0, 1; + cmp_eq_f32_b1 $s0, $c0, 0; cmp_eq_f32_b1 $s0, $c0, WAVESIZE; // // Next sample @@ -5743,9 +5743,9 @@ cmp_eq_b1_b1 $c0, $c0, $c0; // cmp_ne_b1_b1 $c0, $c0, $c0; - cmp_eq_b1_b1 $c0, 1, $c0; + cmp_eq_b1_b1 $c0, 0, $c0; cmp_eq_b1_b1 $c0, WAVESIZE, $c0; - cmp_eq_b1_b1 $c0, $c0, 1; + cmp_eq_b1_b1 $c0, $c0, 0; cmp_eq_b1_b1 $c0, $c0, WAVESIZE; // // Next sample @@ -5897,8 +5897,8 @@ cmp_le_pp_u8x4_u8x4 $s0, $s0, $s0; cmp_gt_pp_u8x4_u8x4 $s0, $s0, $s0; cmp_ge_pp_u8x4_u8x4 $s0, $s0, $s0; - cmp_eq_pp_u8x4_u8x4 $s0, u8x4(255,255,255,255), $s0; - cmp_eq_pp_u8x4_u8x4 $s0, $s0, u8x4(255,255,255,255); + cmp_eq_pp_u8x4_u8x4 $s0, u8x4(0,0,0,0), $s0; + cmp_eq_pp_u8x4_u8x4 $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -5979,8 +5979,8 @@ cmp_le_pp_u8x8_u8x8 $d0, $d0, $d0; cmp_gt_pp_u8x8_u8x8 $d0, $d0, $d0; cmp_ge_pp_u8x8_u8x8 $d0, $d0, $d0; - cmp_eq_pp_u8x8_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0; - cmp_eq_pp_u8x8_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255); + cmp_eq_pp_u8x8_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0; + cmp_eq_pp_u8x8_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -6119,8 +6119,8 @@ cmp_le_pp_u8x16_u8x16 $q0, $q0, $q0; cmp_gt_pp_u8x16_u8x16 $q0, $q0, $q0; cmp_ge_pp_u8x16_u8x16 $q0, $q0, $q0; - cmp_eq_pp_u8x16_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $q0; - cmp_eq_pp_u8x16_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + cmp_eq_pp_u8x16_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0; + cmp_eq_pp_u8x16_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // @@ -6131,8 +6131,8 @@ cmp_le_pp_u16x8_u16x8 $q0, $q0, $q0; cmp_gt_pp_u16x8_u16x8 $q0, $q0, $q0; cmp_ge_pp_u16x8_u16x8 $q0, $q0, $q0; - cmp_eq_pp_u16x8_u16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - cmp_eq_pp_u16x8_u16x8 $q0, $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + cmp_eq_pp_u16x8_u16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $q0; + cmp_eq_pp_u16x8_u16x8 $q0, $q0, u16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -6143,8 +6143,8 @@ cmp_le_pp_u32x4_u32x4 $q0, $q0, $q0; cmp_gt_pp_u32x4_u32x4 $q0, $q0, $q0; cmp_ge_pp_u32x4_u32x4 $q0, $q0, $q0; - cmp_eq_pp_u32x4_u32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - cmp_eq_pp_u32x4_u32x4 $q0, $q0, u32x4(0,0,0x6bf037ae,0x325f1c71); + cmp_eq_pp_u32x4_u32x4 $q0, u32x4(0,0,0,0), $q0; + cmp_eq_pp_u32x4_u32x4 $q0, $q0, u32x4(0,0,0,0); // // Next sample // @@ -6167,8 +6167,8 @@ cmp_le_pp_u8x16_s8x16 $q0, $q0, $q0; cmp_gt_pp_u8x16_s8x16 $q0, $q0, $q0; cmp_ge_pp_u8x16_s8x16 $q0, $q0, $q0; - cmp_eq_pp_u8x16_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $q0; - cmp_eq_pp_u8x16_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113); + cmp_eq_pp_u8x16_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $q0; + cmp_eq_pp_u8x16_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0); // // Next sample // @@ -6179,8 +6179,8 @@ cmp_le_pp_u16x8_s16x8 $q0, $q0, $q0; cmp_gt_pp_u16x8_s16x8 $q0, $q0, $q0; cmp_ge_pp_u16x8_s16x8 $q0, $q0, $q0; - cmp_eq_pp_u16x8_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - cmp_eq_pp_u16x8_s16x8 $q0, $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + cmp_eq_pp_u16x8_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0), $q0; + cmp_eq_pp_u16x8_s16x8 $q0, $q0, s16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -6191,8 +6191,8 @@ cmp_le_pp_u32x4_s32x4 $q0, $q0, $q0; cmp_gt_pp_u32x4_s32x4 $q0, $q0, $q0; cmp_ge_pp_u32x4_s32x4 $q0, $q0, $q0; - cmp_eq_pp_u32x4_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - cmp_eq_pp_u32x4_s32x4 $q0, $q0, s32x4(0,0,0x6bf037ae,0x325f1c71); + cmp_eq_pp_u32x4_s32x4 $q0, s32x4(0,0,0,0), $q0; + cmp_eq_pp_u32x4_s32x4 $q0, $q0, s32x4(0,0,0,0); // // Next sample // @@ -6237,8 +6237,8 @@ cmp_snum_ftz_pp_u16x8_f16x8 $q0, $q0, $q0; cmp_snan_ftz_pp_u16x8_f16x8 $q0, $q0, $q0; cmp_sgtu_ftz_pp_u16x8_f16x8 $q0, $q0, $q0; - cmp_eq_ftz_pp_u16x8_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $q0; - cmp_eq_ftz_pp_u16x8_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + cmp_eq_ftz_pp_u16x8_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $q0; + cmp_eq_ftz_pp_u16x8_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // @@ -6271,70 +6271,70 @@ cmp_snum_ftz_pp_u32x4_f32x4 $q0, $q0, $q0; cmp_snan_ftz_pp_u32x4_f32x4 $q0, $q0, $q0; cmp_sgtu_ftz_pp_u32x4_f32x4 $q0, $q0, $q0; - cmp_eq_ftz_pp_u32x4_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $q0; - cmp_eq_ftz_pp_u32x4_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + cmp_eq_ftz_pp_u32x4_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $q0; + cmp_eq_ftz_pp_u32x4_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // cvt_u16_u8 $s0, $s0; // - cvt_u16_u8 $s0, 1; + cvt_u16_u8 $s0, 0; cvt_u16_u8 $s0, WAVESIZE; // // Next sample // cvt_u32_u8 $s0, $s0; // - cvt_u32_u8 $s0, 1; + cvt_u32_u8 $s0, 0; cvt_u32_u8 $s0, WAVESIZE; // // Next sample // cvt_u64_u8 $d0, $s0; // - cvt_u64_u8 $d0, 1; + cvt_u64_u8 $d0, 0; cvt_u64_u8 $d0, WAVESIZE; // // Next sample // cvt_s16_u8 $s0, $s0; // - cvt_s16_u8 $s0, 1; + cvt_s16_u8 $s0, 0; cvt_s16_u8 $s0, WAVESIZE; // // Next sample // cvt_s32_u8 $s0, $s0; // - cvt_s32_u8 $s0, 1; + cvt_s32_u8 $s0, 0; cvt_s32_u8 $s0, WAVESIZE; // // Next sample // cvt_s64_u8 $d0, $s0; // - cvt_s64_u8 $d0, 1; + cvt_s64_u8 $d0, 0; cvt_s64_u8 $d0, WAVESIZE; // // Next sample // cvt_f16_u8 $s0, $s0; // - cvt_f16_u8 $s0, 1; + cvt_f16_u8 $s0, 0; cvt_f16_u8 $s0, WAVESIZE; // // Next sample // cvt_f32_u8 $s0, $s0; // - cvt_f32_u8 $s0, 1; + cvt_f32_u8 $s0, 0; cvt_f32_u8 $s0, WAVESIZE; // // Next sample // cvt_b1_u8 $c0, $s0; // - cvt_b1_u8 $c0, 1; + cvt_b1_u8 $c0, 0; cvt_b1_u8 $c0, WAVESIZE; // // Next sample @@ -6530,63 +6530,63 @@ // cvt_u16_s8 $s0, $s0; // - cvt_u16_s8 $s0, 1; + cvt_u16_s8 $s0, 0; cvt_u16_s8 $s0, WAVESIZE; // // Next sample // cvt_u32_s8 $s0, $s0; // - cvt_u32_s8 $s0, 1; + cvt_u32_s8 $s0, 0; cvt_u32_s8 $s0, WAVESIZE; // // Next sample // cvt_u64_s8 $d0, $s0; // - cvt_u64_s8 $d0, 1; + cvt_u64_s8 $d0, 0; cvt_u64_s8 $d0, WAVESIZE; // // Next sample // cvt_s16_s8 $s0, $s0; // - cvt_s16_s8 $s0, 1; + cvt_s16_s8 $s0, 0; cvt_s16_s8 $s0, WAVESIZE; // // Next sample // cvt_s32_s8 $s0, $s0; // - cvt_s32_s8 $s0, 1; + cvt_s32_s8 $s0, 0; cvt_s32_s8 $s0, WAVESIZE; // // Next sample // cvt_s64_s8 $d0, $s0; // - cvt_s64_s8 $d0, 1; + cvt_s64_s8 $d0, 0; cvt_s64_s8 $d0, WAVESIZE; // // Next sample // cvt_f16_s8 $s0, $s0; // - cvt_f16_s8 $s0, 1; + cvt_f16_s8 $s0, 0; cvt_f16_s8 $s0, WAVESIZE; // // Next sample // cvt_f32_s8 $s0, $s0; // - cvt_f32_s8 $s0, 1; + cvt_f32_s8 $s0, 0; cvt_f32_s8 $s0, WAVESIZE; // // Next sample // cvt_b1_s8 $c0, $s0; // - cvt_b1_s8 $c0, 1; + cvt_b1_s8 $c0, 0; cvt_b1_s8 $c0, WAVESIZE; // // Next sample @@ -6950,70 +6950,70 @@ // cvt_u8_b1 $s0, $c0; // - cvt_u8_b1 $s0, 1; + cvt_u8_b1 $s0, 0; cvt_u8_b1 $s0, WAVESIZE; // // Next sample // cvt_u16_b1 $s0, $c0; // - cvt_u16_b1 $s0, 1; + cvt_u16_b1 $s0, 0; cvt_u16_b1 $s0, WAVESIZE; // // Next sample // cvt_u32_b1 $s0, $c0; // - cvt_u32_b1 $s0, 1; + cvt_u32_b1 $s0, 0; cvt_u32_b1 $s0, WAVESIZE; // // Next sample // cvt_u64_b1 $d0, $c0; // - cvt_u64_b1 $d0, 1; + cvt_u64_b1 $d0, 0; cvt_u64_b1 $d0, WAVESIZE; // // Next sample // cvt_s8_b1 $s0, $c0; // - cvt_s8_b1 $s0, 1; + cvt_s8_b1 $s0, 0; cvt_s8_b1 $s0, WAVESIZE; // // Next sample // cvt_s16_b1 $s0, $c0; // - cvt_s16_b1 $s0, 1; + cvt_s16_b1 $s0, 0; cvt_s16_b1 $s0, WAVESIZE; // // Next sample // cvt_s32_b1 $s0, $c0; // - cvt_s32_b1 $s0, 1; + cvt_s32_b1 $s0, 0; cvt_s32_b1 $s0, WAVESIZE; // // Next sample // cvt_s64_b1 $d0, $c0; // - cvt_s64_b1 $d0, 1; + cvt_s64_b1 $d0, 0; cvt_s64_b1 $d0, WAVESIZE; // // Next sample // cvt_f16_b1 $s0, $c0; // - cvt_f16_b1 $s0, 1; + cvt_f16_b1 $s0, 0; cvt_f16_b1 $s0, WAVESIZE; // // Next sample // cvt_f32_b1 $s0, $c0; // - cvt_f32_b1 $s0, 1; + cvt_f32_b1 $s0, 0; cvt_f32_b1 $s0, WAVESIZE; // // Next sample @@ -11709,16 +11709,16 @@ st_v2_u8 ($s0, $s0), [$d0+2]; st_v3_u8 ($s0, $s0, $s0), [$d0+2]; st_v4_u8 ($s0, $s0, $s0, $s0), [$d0+2]; - st_v2_u8 (WAVESIZE, 255), [$d0+2]; - st_v3_u8 (WAVESIZE, 255, 255), [$d0+2]; - st_v4_u8 (WAVESIZE, 255, 255, 255), [$d0+2]; + st_v2_u8 (WAVESIZE, 0), [$d0+2]; + st_v3_u8 (WAVESIZE, 0, 0), [$d0+2]; + st_v4_u8 (WAVESIZE, 0, 0, 0), [$d0+2]; st_v2_u8 (0, $s0), [$d0+2]; - st_v3_u8 (0, 255, $s0), [$d0+2]; - st_v4_u8 (0, 255, $s0, $s0), [$d0+2]; + st_v3_u8 (0, 0, $s0), [$d0+2]; + st_v4_u8 (0, 0, $s0, $s0), [$d0+2]; st_v2_u8 ($s0, $s1), [$d0+2]; st_v3_u8 ($s0, $s1, $s2), [$d0+2]; st_v4_u8 ($s0, $s1, $s2, $s3), [$d0+2]; - st_u8 1, [$d0+2]; + st_u8 0, [$d0+2]; st_u8 WAVESIZE, [$d0+2]; st_u8 $s0, [$d0+3]; st_u8 $s0, [$d0+5]; @@ -11743,16 +11743,16 @@ st_v2_global_u8 ($s0, $s0), [&GlobalVar]; st_v3_global_u8 ($s0, $s0, $s0), [&GlobalVar]; st_v4_global_u8 ($s0, $s0, $s0, $s0), [&GlobalVar]; - st_v2_global_u8 (WAVESIZE, 255), [&GlobalVar]; - st_v3_global_u8 (WAVESIZE, 255, 255), [&GlobalVar]; - st_v4_global_u8 (WAVESIZE, 255, 255, 255), [&GlobalVar]; + st_v2_global_u8 (WAVESIZE, 0), [&GlobalVar]; + st_v3_global_u8 (WAVESIZE, 0, 0), [&GlobalVar]; + st_v4_global_u8 (WAVESIZE, 0, 0, 0), [&GlobalVar]; st_v2_global_u8 (0, $s0), [&GlobalVar]; - st_v3_global_u8 (0, 255, $s0), [&GlobalVar]; - st_v4_global_u8 (0, 255, $s0, $s0), [&GlobalVar]; + st_v3_global_u8 (0, 0, $s0), [&GlobalVar]; + st_v4_global_u8 (0, 0, $s0, $s0), [&GlobalVar]; st_v2_global_u8 ($s0, $s1), [&GlobalVar]; st_v3_global_u8 ($s0, $s1, $s2), [&GlobalVar]; st_v4_global_u8 ($s0, $s1, $s2, $s3), [&GlobalVar]; - st_global_u8 1, [&GlobalVar]; + st_global_u8 0, [&GlobalVar]; st_global_u8 WAVESIZE, [&GlobalVar]; st_global_u8 $s0, [$d0+2]; st_global_u8 $s0, [$d0+3]; @@ -11778,16 +11778,16 @@ st_v2_group_u8 ($s0, $s0), [&GroupVar]; st_v3_group_u8 ($s0, $s0, $s0), [&GroupVar]; st_v4_group_u8 ($s0, $s0, $s0, $s0), [&GroupVar]; - st_v2_group_u8 (WAVESIZE, 255), [&GroupVar]; - st_v3_group_u8 (WAVESIZE, 255, 255), [&GroupVar]; - st_v4_group_u8 (WAVESIZE, 255, 255, 255), [&GroupVar]; + st_v2_group_u8 (WAVESIZE, 0), [&GroupVar]; + st_v3_group_u8 (WAVESIZE, 0, 0), [&GroupVar]; + st_v4_group_u8 (WAVESIZE, 0, 0, 0), [&GroupVar]; st_v2_group_u8 (0, $s0), [&GroupVar]; - st_v3_group_u8 (0, 255, $s0), [&GroupVar]; - st_v4_group_u8 (0, 255, $s0, $s0), [&GroupVar]; + st_v3_group_u8 (0, 0, $s0), [&GroupVar]; + st_v4_group_u8 (0, 0, $s0, $s0), [&GroupVar]; st_v2_group_u8 ($s0, $s1), [&GroupVar]; st_v3_group_u8 ($s0, $s1, $s2), [&GroupVar]; st_v4_group_u8 ($s0, $s1, $s2, $s3), [&GroupVar]; - st_group_u8 1, [&GroupVar]; + st_group_u8 0, [&GroupVar]; st_group_u8 WAVESIZE, [&GroupVar]; st_group_u8 $s0, [$s0+2]; st_group_u8 $s0, [$s0+3]; @@ -11813,16 +11813,16 @@ st_v2_private_u8 ($s0, $s0), [&PrivateVar]; st_v3_private_u8 ($s0, $s0, $s0), [&PrivateVar]; st_v4_private_u8 ($s0, $s0, $s0, $s0), [&PrivateVar]; - st_v2_private_u8 (WAVESIZE, 255), [&PrivateVar]; - st_v3_private_u8 (WAVESIZE, 255, 255), [&PrivateVar]; - st_v4_private_u8 (WAVESIZE, 255, 255, 255), [&PrivateVar]; + st_v2_private_u8 (WAVESIZE, 0), [&PrivateVar]; + st_v3_private_u8 (WAVESIZE, 0, 0), [&PrivateVar]; + st_v4_private_u8 (WAVESIZE, 0, 0, 0), [&PrivateVar]; st_v2_private_u8 (0, $s0), [&PrivateVar]; - st_v3_private_u8 (0, 255, $s0), [&PrivateVar]; - st_v4_private_u8 (0, 255, $s0, $s0), [&PrivateVar]; + st_v3_private_u8 (0, 0, $s0), [&PrivateVar]; + st_v4_private_u8 (0, 0, $s0, $s0), [&PrivateVar]; st_v2_private_u8 ($s0, $s1), [&PrivateVar]; st_v3_private_u8 ($s0, $s1, $s2), [&PrivateVar]; st_v4_private_u8 ($s0, $s1, $s2, $s3), [&PrivateVar]; - st_private_u8 1, [&PrivateVar]; + st_private_u8 0, [&PrivateVar]; st_private_u8 WAVESIZE, [&PrivateVar]; st_private_u8 $s0, [$s0+2]; st_private_u8 $s0, [$s0+3]; @@ -11848,16 +11848,16 @@ st_v2_spill_u8 ($s0, $s0), [%SpillVar]; st_v3_spill_u8 ($s0, $s0, $s0), [%SpillVar]; st_v4_spill_u8 ($s0, $s0, $s0, $s0), [%SpillVar]; - st_v2_spill_u8 (WAVESIZE, 255), [%SpillVar]; - st_v3_spill_u8 (WAVESIZE, 255, 255), [%SpillVar]; - st_v4_spill_u8 (WAVESIZE, 255, 255, 255), [%SpillVar]; + st_v2_spill_u8 (WAVESIZE, 0), [%SpillVar]; + st_v3_spill_u8 (WAVESIZE, 0, 0), [%SpillVar]; + st_v4_spill_u8 (WAVESIZE, 0, 0, 0), [%SpillVar]; st_v2_spill_u8 (0, $s0), [%SpillVar]; - st_v3_spill_u8 (0, 255, $s0), [%SpillVar]; - st_v4_spill_u8 (0, 255, $s0, $s0), [%SpillVar]; + st_v3_spill_u8 (0, 0, $s0), [%SpillVar]; + st_v4_spill_u8 (0, 0, $s0, $s0), [%SpillVar]; st_v2_spill_u8 ($s0, $s1), [%SpillVar]; st_v3_spill_u8 ($s0, $s1, $s2), [%SpillVar]; st_v4_spill_u8 ($s0, $s1, $s2, $s3), [%SpillVar]; - st_spill_u8 1, [%SpillVar]; + st_spill_u8 0, [%SpillVar]; st_spill_u8 WAVESIZE, [%SpillVar]; st_spill_align(2)_u8 $s0, [%SpillVar]; st_spill_align(4)_u8 $s0, [%SpillVar]; @@ -12394,7 +12394,7 @@ st_v2_s8 ($s0, $s1), [$d0+2]; st_v3_s8 ($s0, $s1, $s2), [$d0+2]; st_v4_s8 ($s0, $s1, $s2, $s3), [$d0+2]; - st_s8 1, [$d0+2]; + st_s8 0, [$d0+2]; st_s8 WAVESIZE, [$d0+2]; st_s8 $s0, [$d0+3]; st_s8 $s0, [$d0+5]; @@ -12428,7 +12428,7 @@ st_v2_global_s8 ($s0, $s1), [&GlobalVar]; st_v3_global_s8 ($s0, $s1, $s2), [&GlobalVar]; st_v4_global_s8 ($s0, $s1, $s2, $s3), [&GlobalVar]; - st_global_s8 1, [&GlobalVar]; + st_global_s8 0, [&GlobalVar]; st_global_s8 WAVESIZE, [&GlobalVar]; st_global_s8 $s0, [$d0+2]; st_global_s8 $s0, [$d0+3]; @@ -12463,7 +12463,7 @@ st_v2_group_s8 ($s0, $s1), [&GroupVar]; st_v3_group_s8 ($s0, $s1, $s2), [&GroupVar]; st_v4_group_s8 ($s0, $s1, $s2, $s3), [&GroupVar]; - st_group_s8 1, [&GroupVar]; + st_group_s8 0, [&GroupVar]; st_group_s8 WAVESIZE, [&GroupVar]; st_group_s8 $s0, [$s0+2]; st_group_s8 $s0, [$s0+3]; @@ -12498,7 +12498,7 @@ st_v2_private_s8 ($s0, $s1), [&PrivateVar]; st_v3_private_s8 ($s0, $s1, $s2), [&PrivateVar]; st_v4_private_s8 ($s0, $s1, $s2, $s3), [&PrivateVar]; - st_private_s8 1, [&PrivateVar]; + st_private_s8 0, [&PrivateVar]; st_private_s8 WAVESIZE, [&PrivateVar]; st_private_s8 $s0, [$s0+2]; st_private_s8 $s0, [$s0+3]; @@ -12533,7 +12533,7 @@ st_v2_spill_s8 ($s0, $s1), [%SpillVar]; st_v3_spill_s8 ($s0, $s1, $s2), [%SpillVar]; st_v4_spill_s8 ($s0, $s1, $s2, $s3), [%SpillVar]; - st_spill_s8 1, [%SpillVar]; + st_spill_s8 0, [%SpillVar]; st_spill_s8 WAVESIZE, [%SpillVar]; st_spill_align(2)_s8 $s0, [%SpillVar]; st_spill_align(4)_s8 $s0, [%SpillVar]; @@ -13359,16 +13359,16 @@ st_v2_b128 ($q0, $q0), [$d0+2]; st_v3_b128 ($q0, $q0, $q0), [$d0+2]; st_v4_b128 ($q0, $q0, $q0, $q0), [$d0+2]; - st_v2_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [$d0+2]; - st_v3_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [$d0+2]; - st_v4_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [$d0+2]; + st_v2_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [$d0+2]; + st_v3_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [$d0+2]; + st_v4_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [$d0+2]; st_v2_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [$d0+2]; - st_v3_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0), [$d0+2]; - st_v4_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0, $q0), [$d0+2]; + st_v3_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [$d0+2]; + st_v4_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0, $q0), [$d0+2]; st_v2_b128 ($q0, $q1), [$d0+2]; st_v3_b128 ($q0, $q1, $q2), [$d0+2]; st_v4_b128 ($q0, $q1, $q2, $q3), [$d0+2]; - st_b128 u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), [$d0+2]; + st_b128 u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), [$d0+2]; st_b128 $q0, [$d0+3]; st_b128 $q0, [$d0+5]; st_b128 $q0, [$d0+6]; @@ -13392,16 +13392,16 @@ st_v2_global_b128 ($q0, $q0), [&GlobalVar]; st_v3_global_b128 ($q0, $q0, $q0), [&GlobalVar]; st_v4_global_b128 ($q0, $q0, $q0, $q0), [&GlobalVar]; - st_v2_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [&GlobalVar]; - st_v3_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [&GlobalVar]; - st_v4_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [&GlobalVar]; + st_v2_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [&GlobalVar]; + st_v3_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [&GlobalVar]; + st_v4_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [&GlobalVar]; st_v2_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [&GlobalVar]; - st_v3_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0), [&GlobalVar]; - st_v4_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0, $q0), [&GlobalVar]; + st_v3_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [&GlobalVar]; + st_v4_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0, $q0), [&GlobalVar]; st_v2_global_b128 ($q0, $q1), [&GlobalVar]; st_v3_global_b128 ($q0, $q1, $q2), [&GlobalVar]; st_v4_global_b128 ($q0, $q1, $q2, $q3), [&GlobalVar]; - st_global_b128 u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), [&GlobalVar]; + st_global_b128 u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), [&GlobalVar]; st_global_b128 $q0, [$d0+2]; st_global_b128 $q0, [$d0+3]; st_global_b128 $q0, [$d0+5]; @@ -13426,16 +13426,16 @@ st_v2_group_b128 ($q0, $q0), [&GroupVar]; st_v3_group_b128 ($q0, $q0, $q0), [&GroupVar]; st_v4_group_b128 ($q0, $q0, $q0, $q0), [&GroupVar]; - st_v2_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [&GroupVar]; - st_v3_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [&GroupVar]; - st_v4_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [&GroupVar]; + st_v2_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [&GroupVar]; + st_v3_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [&GroupVar]; + st_v4_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [&GroupVar]; st_v2_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [&GroupVar]; - st_v3_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0), [&GroupVar]; - st_v4_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0, $q0), [&GroupVar]; + st_v3_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [&GroupVar]; + st_v4_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0, $q0), [&GroupVar]; st_v2_group_b128 ($q0, $q1), [&GroupVar]; st_v3_group_b128 ($q0, $q1, $q2), [&GroupVar]; st_v4_group_b128 ($q0, $q1, $q2, $q3), [&GroupVar]; - st_group_b128 u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), [&GroupVar]; + st_group_b128 u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), [&GroupVar]; st_group_b128 $q0, [$s0+2]; st_group_b128 $q0, [$s0+3]; st_group_b128 $q0, [$s0+5]; @@ -13460,16 +13460,16 @@ st_v2_private_b128 ($q0, $q0), [&PrivateVar]; st_v3_private_b128 ($q0, $q0, $q0), [&PrivateVar]; st_v4_private_b128 ($q0, $q0, $q0, $q0), [&PrivateVar]; - st_v2_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [&PrivateVar]; - st_v3_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [&PrivateVar]; - st_v4_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [&PrivateVar]; + st_v2_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [&PrivateVar]; + st_v3_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [&PrivateVar]; + st_v4_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [&PrivateVar]; st_v2_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [&PrivateVar]; - st_v3_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0), [&PrivateVar]; - st_v4_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0, $q0), [&PrivateVar]; + st_v3_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [&PrivateVar]; + st_v4_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0, $q0), [&PrivateVar]; st_v2_private_b128 ($q0, $q1), [&PrivateVar]; st_v3_private_b128 ($q0, $q1, $q2), [&PrivateVar]; st_v4_private_b128 ($q0, $q1, $q2, $q3), [&PrivateVar]; - st_private_b128 u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), [&PrivateVar]; + st_private_b128 u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), [&PrivateVar]; st_private_b128 $q0, [$s0+2]; st_private_b128 $q0, [$s0+3]; st_private_b128 $q0, [$s0+5]; @@ -13494,16 +13494,16 @@ st_v2_spill_b128 ($q0, $q0), [%SpillVar]; st_v3_spill_b128 ($q0, $q0, $q0), [%SpillVar]; st_v4_spill_b128 ($q0, $q0, $q0, $q0), [%SpillVar]; - st_v2_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [%SpillVar]; - st_v3_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [%SpillVar]; - st_v4_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [%SpillVar]; + st_v2_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [%SpillVar]; + st_v3_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [%SpillVar]; + st_v4_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [%SpillVar]; st_v2_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [%SpillVar]; - st_v3_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0), [%SpillVar]; - st_v4_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0, $q0), [%SpillVar]; + st_v3_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [%SpillVar]; + st_v4_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0, $q0), [%SpillVar]; st_v2_spill_b128 ($q0, $q1), [%SpillVar]; st_v3_spill_b128 ($q0, $q1, $q2), [%SpillVar]; st_v4_spill_b128 ($q0, $q1, $q2, $q3), [%SpillVar]; - st_spill_b128 u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), [%SpillVar]; + st_spill_b128 u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), [%SpillVar]; st_spill_align(2)_b128 $q0, [%SpillVar]; st_spill_align(4)_b128 $q0, [%SpillVar]; st_spill_align(8)_b128 $q0, [%SpillVar]; @@ -17534,7 +17534,7 @@ // cbr_b1 $c0, @TestLabel; // - cbr_b1 1, @TestLabel; + cbr_b1 0, @TestLabel; cbr_b1 WAVESIZE, @TestLabel; cbr_width(2)_b1 $c0, @TestLabel; cbr_width(4)_b1 $c0, @TestLabel; @@ -17818,7 +17818,7 @@ // activelanemask_v4_b64_b1 ($d0, $d1, $d2, $d3), $c0; // - activelanemask_v4_b64_b1 ($d0, $d1, $d2, $d3), 1; + activelanemask_v4_b64_b1 ($d0, $d1, $d2, $d3), 0; activelanemask_v4_b64_b1 ($d0, $d1, $d2, $d3), WAVESIZE; activelanemask_v4_width(2)_b64_b1 ($d0, $d1, $d2, $d3), $c0; activelanemask_v4_width(4)_b64_b1 ($d0, $d1, $d2, $d3), $c0; @@ -17858,7 +17858,7 @@ // activelanecount_u32_b1 $s0, $c0; // - activelanecount_u32_b1 $s0, 1; + activelanecount_u32_b1 $s0, 0; activelanecount_u32_b1 $s0, WAVESIZE; activelanecount_width(2)_u32_b1 $s0, $c0; activelanecount_width(4)_u32_b1 $s0, $c0; @@ -17936,13 +17936,13 @@ // activelanepermute_b1 $c0, $c0, $s0, $c0, $c0; // - activelanepermute_b1 $c0, 1, $s0, $c0, $c0; + activelanepermute_b1 $c0, 0, $s0, $c0, $c0; activelanepermute_b1 $c0, WAVESIZE, $s0, $c0, $c0; activelanepermute_b1 $c0, $c0, -1, $c0, $c0; activelanepermute_b1 $c0, $c0, WAVESIZE, $c0, $c0; - activelanepermute_b1 $c0, $c0, $s0, 1, $c0; + activelanepermute_b1 $c0, $c0, $s0, 0, $c0; activelanepermute_b1 $c0, $c0, $s0, WAVESIZE, $c0; - activelanepermute_b1 $c0, $c0, $s0, $c0, 1; + activelanepermute_b1 $c0, $c0, $s0, $c0, 0; activelanepermute_b1 $c0, $c0, $s0, $c0, WAVESIZE; activelanepermute_width(2)_b1 $c0, $c0, $s0, $c0, $c0; activelanepermute_width(4)_b1 $c0, $c0, $s0, $c0, $c0; @@ -17988,7 +17988,7 @@ activelanepermute_b32 $s0, $s0, WAVESIZE, $s0, $c0; activelanepermute_b32 $s0, $s0, $s0, -1, $c0; activelanepermute_b32 $s0, $s0, $s0, WAVESIZE, $c0; - activelanepermute_b32 $s0, $s0, $s0, $s0, 1; + activelanepermute_b32 $s0, $s0, $s0, $s0, 0; activelanepermute_b32 $s0, $s0, $s0, $s0, WAVESIZE; activelanepermute_width(2)_b32 $s0, $s0, $s0, $s0, $c0; activelanepermute_width(4)_b32 $s0, $s0, $s0, $s0, $c0; @@ -18034,7 +18034,7 @@ activelanepermute_b64 $d0, $d0, WAVESIZE, $d0, $c0; activelanepermute_b64 $d0, $d0, $s0, -1, $c0; activelanepermute_b64 $d0, $d0, $s0, WAVESIZE, $c0; - activelanepermute_b64 $d0, $d0, $s0, $d0, 1; + activelanepermute_b64 $d0, $d0, $s0, $d0, 0; activelanepermute_b64 $d0, $d0, $s0, $d0, WAVESIZE; activelanepermute_width(2)_b64 $d0, $d0, $s0, $d0, $c0; activelanepermute_width(4)_b64 $d0, $d0, $s0, $d0, $c0; @@ -18074,11 +18074,11 @@ // activelanepermute_b128 $q0, $q0, $s0, $q0, $c0; // - activelanepermute_b128 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $s0, $q0, $c0; + activelanepermute_b128 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $s0, $q0, $c0; activelanepermute_b128 $q0, $q0, -1, $q0, $c0; activelanepermute_b128 $q0, $q0, WAVESIZE, $q0, $c0; - activelanepermute_b128 $q0, $q0, $s0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $c0; - activelanepermute_b128 $q0, $q0, $s0, $q0, 1; + activelanepermute_b128 $q0, $q0, $s0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $c0; + activelanepermute_b128 $q0, $q0, $s0, $q0, 0; activelanepermute_b128 $q0, $q0, $s0, $q0, WAVESIZE; activelanepermute_width(2)_b128 $q0, $q0, $s0, $q0, $c0; activelanepermute_width(4)_b128 $q0, $q0, $s0, $q0, $c0; @@ -18200,64 +18200,64 @@ // currentworkgroupsize_u32 $s0, 0; // - currentworkgroupsize_u32 $s0, 1; - currentworkgroupsize_u32 $s0, 2; + currentworkgroupsize_u32 $s0, 0; + currentworkgroupsize_u32 $s0, 0; // // Next sample // gridgroups_u32 $s0, 0; // - gridgroups_u32 $s0, 1; - gridgroups_u32 $s0, 2; + gridgroups_u32 $s0, 0; + gridgroups_u32 $s0, 0; // // Next sample // workgroupid_u32 $s0, 0; // - workgroupid_u32 $s0, 1; - workgroupid_u32 $s0, 2; + workgroupid_u32 $s0, 0; + workgroupid_u32 $s0, 0; // // Next sample // workgroupsize_u32 $s0, 0; // - workgroupsize_u32 $s0, 1; - workgroupsize_u32 $s0, 2; + workgroupsize_u32 $s0, 0; + workgroupsize_u32 $s0, 0; // // Next sample // workitemid_u32 $s0, 0; // - workitemid_u32 $s0, 1; - workitemid_u32 $s0, 2; + workitemid_u32 $s0, 0; + workitemid_u32 $s0, 0; // // Next sample // gridsize_u32 $s0, 0; // - gridsize_u32 $s0, 1; - gridsize_u32 $s0, 2; + gridsize_u32 $s0, 0; + gridsize_u32 $s0, 0; // // Next sample // gridsize_u64 $d0, 0; // - gridsize_u64 $d0, 1; - gridsize_u64 $d0, 2; + gridsize_u64 $d0, 0; + gridsize_u64 $d0, 0; // // Next sample // workitemabsid_u32 $s0, 0; // - workitemabsid_u32 $s0, 1; - workitemabsid_u32 $s0, 2; + workitemabsid_u32 $s0, 0; + workitemabsid_u32 $s0, 0; // // Next sample // workitemabsid_u64 $d0, 0; // - workitemabsid_u64 $d0, 1; - workitemabsid_u64 $d0, 2; + workitemabsid_u64 $d0, 0; + workitemabsid_u64 $d0, 0; // // Next sample // 11/115 Test #9: HSAILAsm-assemble-g-include-source ................ Passed 0.12 sec Start 13: 1.0/instruction/CORE-full-small Start 14: 1.0/instruction/IMAGE-base-large Start 15: 1.0/instruction/IMAGE-base-small 12/115 Test #12: 1.0/instruction/CORE-full-large ...................***Failed 0.14 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/instruction/CORE-full-large.hsail 2017-03-06 16:05:12.000000000 +0000 +++ CORE-full-large_2.hsail 2017-12-24 01:26:46.217154345 +0000 @@ -116,8 +116,8 @@ add_ps_sat_u8x4 $s0, $s0, $s0; add_sp_sat_u8x4 $s0, $s0, $s0; add_ss_sat_u8x4 $s0, $s0, $s0; - add_pp_u8x4 $s0, u8x4(255,255,255,255), $s0; - add_pp_u8x4 $s0, $s0, u8x4(255,255,255,255); + add_pp_u8x4 $s0, u8x4(0,0,0,0), $s0; + add_pp_u8x4 $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -187,8 +187,8 @@ add_ps_sat_u8x8 $d0, $d0, $d0; add_sp_sat_u8x8 $d0, $d0, $d0; add_ss_sat_u8x8 $d0, $d0, $d0; - add_pp_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0; - add_pp_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255); + add_pp_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0; + add_pp_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -301,8 +301,8 @@ add_ps_sat_u8x16 $q0, $q0, $q0; add_sp_sat_u8x16 $q0, $q0, $q0; add_ss_sat_u8x16 $q0, $q0, $q0; - add_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $q0; - add_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + add_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0; + add_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // @@ -315,8 +315,8 @@ add_ps_sat_u16x8 $q0, $q0, $q0; add_sp_sat_u16x8 $q0, $q0, $q0; add_ss_sat_u16x8 $q0, $q0, $q0; - add_pp_u16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - add_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + add_pp_u16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $q0; + add_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -329,8 +329,8 @@ add_ps_sat_u32x4 $q0, $q0, $q0; add_sp_sat_u32x4 $q0, $q0, $q0; add_ss_sat_u32x4 $q0, $q0, $q0; - add_pp_u32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - add_pp_u32x4 $q0, $q0, u32x4(0,0,0x6bf037ae,0x325f1c71); + add_pp_u32x4 $q0, u32x4(0,0,0,0), $q0; + add_pp_u32x4 $q0, $q0, u32x4(0,0,0,0); // // Next sample // @@ -357,8 +357,8 @@ add_ps_sat_s8x16 $q0, $q0, $q0; add_sp_sat_s8x16 $q0, $q0, $q0; add_ss_sat_s8x16 $q0, $q0, $q0; - add_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $q0; - add_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113); + add_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $q0; + add_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0); // // Next sample // @@ -371,8 +371,8 @@ add_ps_sat_s16x8 $q0, $q0, $q0; add_sp_sat_s16x8 $q0, $q0, $q0; add_ss_sat_s16x8 $q0, $q0, $q0; - add_pp_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - add_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + add_pp_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0), $q0; + add_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -385,8 +385,8 @@ add_ps_sat_s32x4 $q0, $q0, $q0; add_sp_sat_s32x4 $q0, $q0, $q0; add_ss_sat_s32x4 $q0, $q0, $q0; - add_pp_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - add_pp_s32x4 $q0, $q0, s32x4(0,0,0x6bf037ae,0x325f1c71); + add_pp_s32x4 $q0, s32x4(0,0,0,0), $q0; + add_pp_s32x4 $q0, $q0, s32x4(0,0,0,0); // // Next sample // @@ -414,8 +414,8 @@ add_zero_pp_f16x8 $q0, $q0, $q0; add_up_pp_f16x8 $q0, $q0, $q0; add_down_pp_f16x8 $q0, $q0, $q0; - add_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $q0; - add_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + add_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $q0; + add_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // @@ -429,8 +429,8 @@ add_zero_pp_f32x4 $q0, $q0, $q0; add_up_pp_f32x4 $q0, $q0, $q0; add_down_pp_f32x4 $q0, $q0, $q0; - add_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $q0; - add_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + add_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $q0; + add_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -444,8 +444,8 @@ add_zero_pp_f64x2 $q0, $q0, $q0; add_up_pp_f64x2 $q0, $q0, $q0; add_down_pp_f64x2 $q0, $q0, $q0; - add_pp_f64x2 $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71), $q0; - add_pp_f64x2 $q0, $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71); + add_pp_f64x2 $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b), $q0; + add_pp_f64x2 $q0, $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b); // // Next sample // @@ -530,8 +530,8 @@ sub_ps_sat_u8x4 $s0, $s0, $s0; sub_sp_sat_u8x4 $s0, $s0, $s0; sub_ss_sat_u8x4 $s0, $s0, $s0; - sub_pp_u8x4 $s0, u8x4(255,255,255,255), $s0; - sub_pp_u8x4 $s0, $s0, u8x4(255,255,255,255); + sub_pp_u8x4 $s0, u8x4(0,0,0,0), $s0; + sub_pp_u8x4 $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -601,8 +601,8 @@ sub_ps_sat_u8x8 $d0, $d0, $d0; sub_sp_sat_u8x8 $d0, $d0, $d0; sub_ss_sat_u8x8 $d0, $d0, $d0; - sub_pp_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0; - sub_pp_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255); + sub_pp_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0; + sub_pp_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -715,8 +715,8 @@ sub_ps_sat_u8x16 $q0, $q0, $q0; sub_sp_sat_u8x16 $q0, $q0, $q0; sub_ss_sat_u8x16 $q0, $q0, $q0; - sub_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $q0; - sub_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + sub_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0; + sub_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // @@ -729,8 +729,8 @@ sub_ps_sat_u16x8 $q0, $q0, $q0; sub_sp_sat_u16x8 $q0, $q0, $q0; sub_ss_sat_u16x8 $q0, $q0, $q0; - sub_pp_u16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - sub_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + sub_pp_u16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $q0; + sub_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -743,8 +743,8 @@ sub_ps_sat_u32x4 $q0, $q0, $q0; sub_sp_sat_u32x4 $q0, $q0, $q0; sub_ss_sat_u32x4 $q0, $q0, $q0; - sub_pp_u32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - sub_pp_u32x4 $q0, $q0, u32x4(0,0,0x6bf037ae,0x325f1c71); + sub_pp_u32x4 $q0, u32x4(0,0,0,0), $q0; + sub_pp_u32x4 $q0, $q0, u32x4(0,0,0,0); // // Next sample // @@ -771,8 +771,8 @@ sub_ps_sat_s8x16 $q0, $q0, $q0; sub_sp_sat_s8x16 $q0, $q0, $q0; sub_ss_sat_s8x16 $q0, $q0, $q0; - sub_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $q0; - sub_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113); + sub_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $q0; + sub_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0); // // Next sample // @@ -785,8 +785,8 @@ sub_ps_sat_s16x8 $q0, $q0, $q0; sub_sp_sat_s16x8 $q0, $q0, $q0; sub_ss_sat_s16x8 $q0, $q0, $q0; - sub_pp_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - sub_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + sub_pp_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0), $q0; + sub_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -799,8 +799,8 @@ sub_ps_sat_s32x4 $q0, $q0, $q0; sub_sp_sat_s32x4 $q0, $q0, $q0; sub_ss_sat_s32x4 $q0, $q0, $q0; - sub_pp_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - sub_pp_s32x4 $q0, $q0, s32x4(0,0,0x6bf037ae,0x325f1c71); + sub_pp_s32x4 $q0, s32x4(0,0,0,0), $q0; + sub_pp_s32x4 $q0, $q0, s32x4(0,0,0,0); // // Next sample // @@ -828,8 +828,8 @@ sub_zero_pp_f16x8 $q0, $q0, $q0; sub_up_pp_f16x8 $q0, $q0, $q0; sub_down_pp_f16x8 $q0, $q0, $q0; - sub_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $q0; - sub_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + sub_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $q0; + sub_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // @@ -843,8 +843,8 @@ sub_zero_pp_f32x4 $q0, $q0, $q0; sub_up_pp_f32x4 $q0, $q0, $q0; sub_down_pp_f32x4 $q0, $q0, $q0; - sub_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $q0; - sub_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + sub_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $q0; + sub_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -858,8 +858,8 @@ sub_zero_pp_f64x2 $q0, $q0, $q0; sub_up_pp_f64x2 $q0, $q0, $q0; sub_down_pp_f64x2 $q0, $q0, $q0; - sub_pp_f64x2 $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71), $q0; - sub_pp_f64x2 $q0, $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71); + sub_pp_f64x2 $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b), $q0; + sub_pp_f64x2 $q0, $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b); // // Next sample // @@ -928,8 +928,8 @@ max_ps_u8x4 $s0, $s0, $s0; max_sp_u8x4 $s0, $s0, $s0; max_ss_u8x4 $s0, $s0, $s0; - max_pp_u8x4 $s0, u8x4(255,255,255,255), $s0; - max_pp_u8x4 $s0, $s0, u8x4(255,255,255,255); + max_pp_u8x4 $s0, u8x4(0,0,0,0), $s0; + max_pp_u8x4 $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -979,8 +979,8 @@ max_ps_u8x8 $d0, $d0, $d0; max_sp_u8x8 $d0, $d0, $d0; max_ss_u8x8 $d0, $d0, $d0; - max_pp_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0; - max_pp_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255); + max_pp_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0; + max_pp_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -1061,8 +1061,8 @@ max_ps_u8x16 $q0, $q0, $q0; max_sp_u8x16 $q0, $q0, $q0; max_ss_u8x16 $q0, $q0, $q0; - max_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $q0; - max_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + max_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0; + max_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // @@ -1071,8 +1071,8 @@ max_ps_u16x8 $q0, $q0, $q0; max_sp_u16x8 $q0, $q0, $q0; max_ss_u16x8 $q0, $q0, $q0; - max_pp_u16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - max_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + max_pp_u16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $q0; + max_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -1081,8 +1081,8 @@ max_ps_u32x4 $q0, $q0, $q0; max_sp_u32x4 $q0, $q0, $q0; max_ss_u32x4 $q0, $q0, $q0; - max_pp_u32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - max_pp_u32x4 $q0, $q0, u32x4(0,0,0x6bf037ae,0x325f1c71); + max_pp_u32x4 $q0, u32x4(0,0,0,0), $q0; + max_pp_u32x4 $q0, $q0, u32x4(0,0,0,0); // // Next sample // @@ -1101,8 +1101,8 @@ max_ps_s8x16 $q0, $q0, $q0; max_sp_s8x16 $q0, $q0, $q0; max_ss_s8x16 $q0, $q0, $q0; - max_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $q0; - max_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113); + max_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $q0; + max_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0); // // Next sample // @@ -1111,8 +1111,8 @@ max_ps_s16x8 $q0, $q0, $q0; max_sp_s16x8 $q0, $q0, $q0; max_ss_s16x8 $q0, $q0, $q0; - max_pp_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - max_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + max_pp_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0), $q0; + max_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -1121,8 +1121,8 @@ max_ps_s32x4 $q0, $q0, $q0; max_sp_s32x4 $q0, $q0, $q0; max_ss_s32x4 $q0, $q0, $q0; - max_pp_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - max_pp_s32x4 $q0, $q0, s32x4(0,0,0x6bf037ae,0x325f1c71); + max_pp_s32x4 $q0, s32x4(0,0,0,0), $q0; + max_pp_s32x4 $q0, $q0, s32x4(0,0,0,0); // // Next sample // @@ -1142,8 +1142,8 @@ max_ps_f16x8 $q0, $q0, $q0; max_sp_f16x8 $q0, $q0, $q0; max_ss_f16x8 $q0, $q0, $q0; - max_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $q0; - max_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + max_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $q0; + max_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // @@ -1153,8 +1153,8 @@ max_ps_f32x4 $q0, $q0, $q0; max_sp_f32x4 $q0, $q0, $q0; max_ss_f32x4 $q0, $q0, $q0; - max_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $q0; - max_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + max_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $q0; + max_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -1164,8 +1164,8 @@ max_ps_f64x2 $q0, $q0, $q0; max_sp_f64x2 $q0, $q0, $q0; max_ss_f64x2 $q0, $q0, $q0; - max_pp_f64x2 $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71), $q0; - max_pp_f64x2 $q0, $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71); + max_pp_f64x2 $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b), $q0; + max_pp_f64x2 $q0, $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b); // // Next sample // @@ -1234,8 +1234,8 @@ min_ps_u8x4 $s0, $s0, $s0; min_sp_u8x4 $s0, $s0, $s0; min_ss_u8x4 $s0, $s0, $s0; - min_pp_u8x4 $s0, u8x4(255,255,255,255), $s0; - min_pp_u8x4 $s0, $s0, u8x4(255,255,255,255); + min_pp_u8x4 $s0, u8x4(0,0,0,0), $s0; + min_pp_u8x4 $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -1285,8 +1285,8 @@ min_ps_u8x8 $d0, $d0, $d0; min_sp_u8x8 $d0, $d0, $d0; min_ss_u8x8 $d0, $d0, $d0; - min_pp_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0; - min_pp_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255); + min_pp_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0; + min_pp_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -1367,8 +1367,8 @@ min_ps_u8x16 $q0, $q0, $q0; min_sp_u8x16 $q0, $q0, $q0; min_ss_u8x16 $q0, $q0, $q0; - min_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $q0; - min_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + min_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0; + min_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // @@ -1377,8 +1377,8 @@ min_ps_u16x8 $q0, $q0, $q0; min_sp_u16x8 $q0, $q0, $q0; min_ss_u16x8 $q0, $q0, $q0; - min_pp_u16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - min_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + min_pp_u16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $q0; + min_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -1387,8 +1387,8 @@ min_ps_u32x4 $q0, $q0, $q0; min_sp_u32x4 $q0, $q0, $q0; min_ss_u32x4 $q0, $q0, $q0; - min_pp_u32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - min_pp_u32x4 $q0, $q0, u32x4(0,0,0x6bf037ae,0x325f1c71); + min_pp_u32x4 $q0, u32x4(0,0,0,0), $q0; + min_pp_u32x4 $q0, $q0, u32x4(0,0,0,0); // // Next sample // @@ -1407,8 +1407,8 @@ min_ps_s8x16 $q0, $q0, $q0; min_sp_s8x16 $q0, $q0, $q0; min_ss_s8x16 $q0, $q0, $q0; - min_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $q0; - min_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113); + min_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $q0; + min_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0); // // Next sample // @@ -1417,8 +1417,8 @@ min_ps_s16x8 $q0, $q0, $q0; min_sp_s16x8 $q0, $q0, $q0; min_ss_s16x8 $q0, $q0, $q0; - min_pp_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - min_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + min_pp_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0), $q0; + min_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -1427,8 +1427,8 @@ min_ps_s32x4 $q0, $q0, $q0; min_sp_s32x4 $q0, $q0, $q0; min_ss_s32x4 $q0, $q0, $q0; - min_pp_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - min_pp_s32x4 $q0, $q0, s32x4(0,0,0x6bf037ae,0x325f1c71); + min_pp_s32x4 $q0, s32x4(0,0,0,0), $q0; + min_pp_s32x4 $q0, $q0, s32x4(0,0,0,0); // // Next sample // @@ -1448,8 +1448,8 @@ min_ps_f16x8 $q0, $q0, $q0; min_sp_f16x8 $q0, $q0, $q0; min_ss_f16x8 $q0, $q0, $q0; - min_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $q0; - min_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + min_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $q0; + min_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // @@ -1459,8 +1459,8 @@ min_ps_f32x4 $q0, $q0, $q0; min_sp_f32x4 $q0, $q0, $q0; min_ss_f32x4 $q0, $q0, $q0; - min_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $q0; - min_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + min_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $q0; + min_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -1470,8 +1470,8 @@ min_ps_f64x2 $q0, $q0, $q0; min_sp_f64x2 $q0, $q0, $q0; min_ss_f64x2 $q0, $q0, $q0; - min_pp_f64x2 $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71), $q0; - min_pp_f64x2 $q0, $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71); + min_pp_f64x2 $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b), $q0; + min_pp_f64x2 $q0, $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b); // // Next sample // @@ -1556,8 +1556,8 @@ mul_ps_sat_u8x4 $s0, $s0, $s0; mul_sp_sat_u8x4 $s0, $s0, $s0; mul_ss_sat_u8x4 $s0, $s0, $s0; - mul_pp_u8x4 $s0, u8x4(255,255,255,255), $s0; - mul_pp_u8x4 $s0, $s0, u8x4(255,255,255,255); + mul_pp_u8x4 $s0, u8x4(0,0,0,0), $s0; + mul_pp_u8x4 $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -1627,8 +1627,8 @@ mul_ps_sat_u8x8 $d0, $d0, $d0; mul_sp_sat_u8x8 $d0, $d0, $d0; mul_ss_sat_u8x8 $d0, $d0, $d0; - mul_pp_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0; - mul_pp_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255); + mul_pp_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0; + mul_pp_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -1741,8 +1741,8 @@ mul_ps_sat_u8x16 $q0, $q0, $q0; mul_sp_sat_u8x16 $q0, $q0, $q0; mul_ss_sat_u8x16 $q0, $q0, $q0; - mul_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $q0; - mul_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + mul_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0; + mul_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // @@ -1755,8 +1755,8 @@ mul_ps_sat_u16x8 $q0, $q0, $q0; mul_sp_sat_u16x8 $q0, $q0, $q0; mul_ss_sat_u16x8 $q0, $q0, $q0; - mul_pp_u16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - mul_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + mul_pp_u16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $q0; + mul_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -1769,8 +1769,8 @@ mul_ps_sat_u32x4 $q0, $q0, $q0; mul_sp_sat_u32x4 $q0, $q0, $q0; mul_ss_sat_u32x4 $q0, $q0, $q0; - mul_pp_u32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - mul_pp_u32x4 $q0, $q0, u32x4(0,0,0x6bf037ae,0x325f1c71); + mul_pp_u32x4 $q0, u32x4(0,0,0,0), $q0; + mul_pp_u32x4 $q0, $q0, u32x4(0,0,0,0); // // Next sample // @@ -1797,8 +1797,8 @@ mul_ps_sat_s8x16 $q0, $q0, $q0; mul_sp_sat_s8x16 $q0, $q0, $q0; mul_ss_sat_s8x16 $q0, $q0, $q0; - mul_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $q0; - mul_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113); + mul_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $q0; + mul_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0); // // Next sample // @@ -1811,8 +1811,8 @@ mul_ps_sat_s16x8 $q0, $q0, $q0; mul_sp_sat_s16x8 $q0, $q0, $q0; mul_ss_sat_s16x8 $q0, $q0, $q0; - mul_pp_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - mul_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + mul_pp_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0), $q0; + mul_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -1825,8 +1825,8 @@ mul_ps_sat_s32x4 $q0, $q0, $q0; mul_sp_sat_s32x4 $q0, $q0, $q0; mul_ss_sat_s32x4 $q0, $q0, $q0; - mul_pp_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - mul_pp_s32x4 $q0, $q0, s32x4(0,0,0x6bf037ae,0x325f1c71); + mul_pp_s32x4 $q0, s32x4(0,0,0,0), $q0; + mul_pp_s32x4 $q0, $q0, s32x4(0,0,0,0); // // Next sample // @@ -1854,8 +1854,8 @@ mul_zero_pp_f16x8 $q0, $q0, $q0; mul_up_pp_f16x8 $q0, $q0, $q0; mul_down_pp_f16x8 $q0, $q0, $q0; - mul_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $q0; - mul_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + mul_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $q0; + mul_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // @@ -1869,8 +1869,8 @@ mul_zero_pp_f32x4 $q0, $q0, $q0; mul_up_pp_f32x4 $q0, $q0, $q0; mul_down_pp_f32x4 $q0, $q0, $q0; - mul_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $q0; - mul_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + mul_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $q0; + mul_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -1884,8 +1884,8 @@ mul_zero_pp_f64x2 $q0, $q0, $q0; mul_up_pp_f64x2 $q0, $q0, $q0; mul_down_pp_f64x2 $q0, $q0, $q0; - mul_pp_f64x2 $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71), $q0; - mul_pp_f64x2 $q0, $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71); + mul_pp_f64x2 $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b), $q0; + mul_pp_f64x2 $q0, $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b); // // Next sample // @@ -1930,8 +1930,8 @@ mulhi_ps_u8x4 $s0, $s0, $s0; mulhi_sp_u8x4 $s0, $s0, $s0; mulhi_ss_u8x4 $s0, $s0, $s0; - mulhi_pp_u8x4 $s0, u8x4(255,255,255,255), $s0; - mulhi_pp_u8x4 $s0, $s0, u8x4(255,255,255,255); + mulhi_pp_u8x4 $s0, u8x4(0,0,0,0), $s0; + mulhi_pp_u8x4 $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -1970,8 +1970,8 @@ mulhi_ps_u8x8 $d0, $d0, $d0; mulhi_sp_u8x8 $d0, $d0, $d0; mulhi_ss_u8x8 $d0, $d0, $d0; - mulhi_pp_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0; - mulhi_pp_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255); + mulhi_pp_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0; + mulhi_pp_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -2030,8 +2030,8 @@ mulhi_ps_u8x16 $q0, $q0, $q0; mulhi_sp_u8x16 $q0, $q0, $q0; mulhi_ss_u8x16 $q0, $q0, $q0; - mulhi_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $q0; - mulhi_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + mulhi_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0; + mulhi_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // @@ -2040,8 +2040,8 @@ mulhi_ps_u16x8 $q0, $q0, $q0; mulhi_sp_u16x8 $q0, $q0, $q0; mulhi_ss_u16x8 $q0, $q0, $q0; - mulhi_pp_u16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - mulhi_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + mulhi_pp_u16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $q0; + mulhi_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -2050,8 +2050,8 @@ mulhi_ps_u32x4 $q0, $q0, $q0; mulhi_sp_u32x4 $q0, $q0, $q0; mulhi_ss_u32x4 $q0, $q0, $q0; - mulhi_pp_u32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - mulhi_pp_u32x4 $q0, $q0, u32x4(0,0,0x6bf037ae,0x325f1c71); + mulhi_pp_u32x4 $q0, u32x4(0,0,0,0), $q0; + mulhi_pp_u32x4 $q0, $q0, u32x4(0,0,0,0); // // Next sample // @@ -2070,8 +2070,8 @@ mulhi_ps_s8x16 $q0, $q0, $q0; mulhi_sp_s8x16 $q0, $q0, $q0; mulhi_ss_s8x16 $q0, $q0, $q0; - mulhi_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $q0; - mulhi_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113); + mulhi_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $q0; + mulhi_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0); // // Next sample // @@ -2080,8 +2080,8 @@ mulhi_ps_s16x8 $q0, $q0, $q0; mulhi_sp_s16x8 $q0, $q0, $q0; mulhi_ss_s16x8 $q0, $q0, $q0; - mulhi_pp_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - mulhi_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + mulhi_pp_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0), $q0; + mulhi_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -2090,8 +2090,8 @@ mulhi_ps_s32x4 $q0, $q0, $q0; mulhi_sp_s32x4 $q0, $q0, $q0; mulhi_ss_s32x4 $q0, $q0, $q0; - mulhi_pp_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - mulhi_pp_s32x4 $q0, $q0, s32x4(0,0,0x6bf037ae,0x325f1c71); + mulhi_pp_s32x4 $q0, s32x4(0,0,0,0), $q0; + mulhi_pp_s32x4 $q0, $q0, s32x4(0,0,0,0); // // Next sample // @@ -2232,8 +2232,8 @@ div_zero_pp_f16x8 $q0, $q0, $q0; div_up_pp_f16x8 $q0, $q0, $q0; div_down_pp_f16x8 $q0, $q0, $q0; - div_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $q0; - div_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + div_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $q0; + div_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // @@ -2247,8 +2247,8 @@ div_zero_pp_f32x4 $q0, $q0, $q0; div_up_pp_f32x4 $q0, $q0, $q0; div_down_pp_f32x4 $q0, $q0, $q0; - div_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $q0; - div_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + div_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $q0; + div_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -2262,8 +2262,8 @@ div_zero_pp_f64x2 $q0, $q0, $q0; div_up_pp_f64x2 $q0, $q0, $q0; div_down_pp_f64x2 $q0, $q0, $q0; - div_pp_f64x2 $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71), $q0; - div_pp_f64x2 $q0, $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71); + div_pp_f64x2 $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b), $q0; + div_pp_f64x2 $q0, $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b); // // Next sample // @@ -2466,21 +2466,21 @@ neg_s_s8x16 $q0, $q0; // neg_p_s8x16 $q0, $q0; - neg_s_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113); + neg_s_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0); // // Next sample // neg_s_s16x8 $q0, $q0; // neg_p_s16x8 $q0, $q0; - neg_s_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + neg_s_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0); // // Next sample // neg_s_s32x4 $q0, $q0; // neg_p_s32x4 $q0, $q0; - neg_s_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71); + neg_s_s32x4 $q0, s32x4(0,0,0,0); // // Next sample // @@ -2494,21 +2494,21 @@ neg_s_f16x8 $q0, $q0; // neg_p_f16x8 $q0, $q0; - neg_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + neg_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // neg_s_f32x4 $q0, $q0; // neg_p_f32x4 $q0, $q0; - neg_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + neg_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // neg_s_f64x2 $q0, $q0; // neg_p_f64x2 $q0, $q0; - neg_s_f64x2 $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71); + neg_s_f64x2 $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b); // // Next sample // @@ -2603,21 +2603,21 @@ abs_s_s8x16 $q0, $q0; // abs_p_s8x16 $q0, $q0; - abs_s_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113); + abs_s_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0); // // Next sample // abs_s_s16x8 $q0, $q0; // abs_p_s16x8 $q0, $q0; - abs_s_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + abs_s_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0); // // Next sample // abs_s_s32x4 $q0, $q0; // abs_p_s32x4 $q0, $q0; - abs_s_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71); + abs_s_s32x4 $q0, s32x4(0,0,0,0); // // Next sample // @@ -2631,21 +2631,21 @@ abs_s_f16x8 $q0, $q0; // abs_p_f16x8 $q0, $q0; - abs_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + abs_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // abs_s_f32x4 $q0, $q0; // abs_p_f32x4 $q0, $q0; - abs_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + abs_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // abs_s_f64x2 $q0, $q0; // abs_p_f64x2 $q0, $q0; - abs_s_f64x2 $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71); + abs_s_f64x2 $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b); // // Next sample // @@ -2705,8 +2705,8 @@ copysign_ps_f16x8 $q0, $q0, $q0; copysign_sp_f16x8 $q0, $q0, $q0; copysign_ss_f16x8 $q0, $q0, $q0; - copysign_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $q0; - copysign_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + copysign_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $q0; + copysign_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // @@ -2715,8 +2715,8 @@ copysign_ps_f32x4 $q0, $q0, $q0; copysign_sp_f32x4 $q0, $q0, $q0; copysign_ss_f32x4 $q0, $q0, $q0; - copysign_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $q0; - copysign_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + copysign_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $q0; + copysign_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -2725,8 +2725,8 @@ copysign_ps_f64x2 $q0, $q0, $q0; copysign_sp_f64x2 $q0, $q0, $q0; copysign_ss_f64x2 $q0, $q0, $q0; - copysign_pp_f64x2 $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71), $q0; - copysign_pp_f64x2 $q0, $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71); + copysign_pp_f64x2 $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b), $q0; + copysign_pp_f64x2 $q0, $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b); // // Next sample // @@ -2802,7 +2802,7 @@ fract_s_f16x8 $q0, $q0; // fract_p_f16x8 $q0, $q0; - fract_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + fract_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); fract_ftz_s_f16x8 $q0, $q0; fract_near_s_f16x8 $q0, $q0; fract_zero_s_f16x8 $q0, $q0; @@ -2814,7 +2814,7 @@ fract_s_f32x4 $q0, $q0; // fract_p_f32x4 $q0, $q0; - fract_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + fract_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); fract_ftz_s_f32x4 $q0, $q0; fract_near_s_f32x4 $q0, $q0; fract_zero_s_f32x4 $q0, $q0; @@ -2826,7 +2826,7 @@ fract_s_f64x2 $q0, $q0; // fract_p_f64x2 $q0, $q0; - fract_s_f64x2 $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71); + fract_s_f64x2 $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b); fract_ftz_s_f64x2 $q0, $q0; fract_near_s_f64x2 $q0, $q0; fract_zero_s_f64x2 $q0, $q0; @@ -2907,7 +2907,7 @@ sqrt_s_f16x8 $q0, $q0; // sqrt_p_f16x8 $q0, $q0; - sqrt_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + sqrt_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); sqrt_ftz_s_f16x8 $q0, $q0; sqrt_near_s_f16x8 $q0, $q0; sqrt_zero_s_f16x8 $q0, $q0; @@ -2919,7 +2919,7 @@ sqrt_s_f32x4 $q0, $q0; // sqrt_p_f32x4 $q0, $q0; - sqrt_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + sqrt_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); sqrt_ftz_s_f32x4 $q0, $q0; sqrt_near_s_f32x4 $q0, $q0; sqrt_zero_s_f32x4 $q0, $q0; @@ -2931,7 +2931,7 @@ sqrt_s_f64x2 $q0, $q0; // sqrt_p_f64x2 $q0, $q0; - sqrt_s_f64x2 $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71); + sqrt_s_f64x2 $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b); sqrt_ftz_s_f64x2 $q0, $q0; sqrt_near_s_f64x2 $q0, $q0; sqrt_zero_s_f64x2 $q0, $q0; @@ -2988,7 +2988,7 @@ ceil_s_f16x8 $q0, $q0; // ceil_p_f16x8 $q0, $q0; - ceil_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + ceil_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); ceil_ftz_s_f16x8 $q0, $q0; // // Next sample @@ -2996,7 +2996,7 @@ ceil_s_f32x4 $q0, $q0; // ceil_p_f32x4 $q0, $q0; - ceil_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + ceil_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); ceil_ftz_s_f32x4 $q0, $q0; // // Next sample @@ -3004,7 +3004,7 @@ ceil_s_f64x2 $q0, $q0; // ceil_p_f64x2 $q0, $q0; - ceil_s_f64x2 $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71); + ceil_s_f64x2 $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b); ceil_ftz_s_f64x2 $q0, $q0; // // Next sample @@ -3057,7 +3057,7 @@ floor_s_f16x8 $q0, $q0; // floor_p_f16x8 $q0, $q0; - floor_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + floor_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); floor_ftz_s_f16x8 $q0, $q0; // // Next sample @@ -3065,7 +3065,7 @@ floor_s_f32x4 $q0, $q0; // floor_p_f32x4 $q0, $q0; - floor_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + floor_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); floor_ftz_s_f32x4 $q0, $q0; // // Next sample @@ -3073,7 +3073,7 @@ floor_s_f64x2 $q0, $q0; // floor_p_f64x2 $q0, $q0; - floor_s_f64x2 $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71); + floor_s_f64x2 $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b); floor_ftz_s_f64x2 $q0, $q0; // // Next sample @@ -3126,7 +3126,7 @@ rint_s_f16x8 $q0, $q0; // rint_p_f16x8 $q0, $q0; - rint_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + rint_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); rint_ftz_s_f16x8 $q0, $q0; // // Next sample @@ -3134,7 +3134,7 @@ rint_s_f32x4 $q0, $q0; // rint_p_f32x4 $q0, $q0; - rint_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + rint_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); rint_ftz_s_f32x4 $q0, $q0; // // Next sample @@ -3142,7 +3142,7 @@ rint_s_f64x2 $q0, $q0; // rint_p_f64x2 $q0, $q0; - rint_s_f64x2 $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71); + rint_s_f64x2 $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b); rint_ftz_s_f64x2 $q0, $q0; // // Next sample @@ -3195,7 +3195,7 @@ trunc_s_f16x8 $q0, $q0; // trunc_p_f16x8 $q0, $q0; - trunc_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + trunc_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); trunc_ftz_s_f16x8 $q0, $q0; // // Next sample @@ -3203,7 +3203,7 @@ trunc_s_f32x4 $q0, $q0; // trunc_p_f32x4 $q0, $q0; - trunc_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + trunc_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); trunc_ftz_s_f32x4 $q0, $q0; // // Next sample @@ -3211,7 +3211,7 @@ trunc_s_f64x2 $q0, $q0; // trunc_p_f64x2 $q0, $q0; - trunc_s_f64x2 $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71); + trunc_s_f64x2 $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b); trunc_ftz_s_f64x2 $q0, $q0; // // Next sample @@ -3456,7 +3456,7 @@ // shl_u8x4 $s0, $s0, $s0; // - shl_u8x4 $s0, u8x4(255,255,255,255), $s0; + shl_u8x4 $s0, u8x4(0,0,0,0), $s0; shl_u8x4 $s0, $s0, -1; shl_u8x4 $s0, $s0, WAVESIZE; // @@ -3488,7 +3488,7 @@ // shl_u8x8 $d0, $d0, $s0; // - shl_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $s0; + shl_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $s0; shl_u8x8 $d0, $d0, -1; shl_u8x8 $d0, $d0, WAVESIZE; // @@ -3536,7 +3536,7 @@ // shl_u8x16 $q0, $q0, $s0; // - shl_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $s0; + shl_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $s0; shl_u8x16 $q0, $q0, -1; shl_u8x16 $q0, $q0, WAVESIZE; // @@ -3544,7 +3544,7 @@ // shl_u16x8 $q0, $q0, $s0; // - shl_u16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $s0; + shl_u16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $s0; shl_u16x8 $q0, $q0, -1; shl_u16x8 $q0, $q0, WAVESIZE; // @@ -3552,7 +3552,7 @@ // shl_u32x4 $q0, $q0, $s0; // - shl_u32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $s0; + shl_u32x4 $q0, u32x4(0,0,0,0), $s0; shl_u32x4 $q0, $q0, -1; shl_u32x4 $q0, $q0, WAVESIZE; // @@ -3568,7 +3568,7 @@ // shl_s8x16 $q0, $q0, $s0; // - shl_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $s0; + shl_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $s0; shl_s8x16 $q0, $q0, -1; shl_s8x16 $q0, $q0, WAVESIZE; // @@ -3576,7 +3576,7 @@ // shl_s16x8 $q0, $q0, $s0; // - shl_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $s0; + shl_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0), $s0; shl_s16x8 $q0, $q0, -1; shl_s16x8 $q0, $q0, WAVESIZE; // @@ -3584,7 +3584,7 @@ // shl_s32x4 $q0, $q0, $s0; // - shl_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $s0; + shl_s32x4 $q0, s32x4(0,0,0,0), $s0; shl_s32x4 $q0, $q0, -1; shl_s32x4 $q0, $q0, WAVESIZE; // @@ -3636,7 +3636,7 @@ // shr_u8x4 $s0, $s0, $s0; // - shr_u8x4 $s0, u8x4(255,255,255,255), $s0; + shr_u8x4 $s0, u8x4(0,0,0,0), $s0; shr_u8x4 $s0, $s0, -1; shr_u8x4 $s0, $s0, WAVESIZE; // @@ -3668,7 +3668,7 @@ // shr_u8x8 $d0, $d0, $s0; // - shr_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $s0; + shr_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $s0; shr_u8x8 $d0, $d0, -1; shr_u8x8 $d0, $d0, WAVESIZE; // @@ -3716,7 +3716,7 @@ // shr_u8x16 $q0, $q0, $s0; // - shr_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $s0; + shr_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $s0; shr_u8x16 $q0, $q0, -1; shr_u8x16 $q0, $q0, WAVESIZE; // @@ -3724,7 +3724,7 @@ // shr_u16x8 $q0, $q0, $s0; // - shr_u16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $s0; + shr_u16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $s0; shr_u16x8 $q0, $q0, -1; shr_u16x8 $q0, $q0, WAVESIZE; // @@ -3732,7 +3732,7 @@ // shr_u32x4 $q0, $q0, $s0; // - shr_u32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $s0; + shr_u32x4 $q0, u32x4(0,0,0,0), $s0; shr_u32x4 $q0, $q0, -1; shr_u32x4 $q0, $q0, WAVESIZE; // @@ -3748,7 +3748,7 @@ // shr_s8x16 $q0, $q0, $s0; // - shr_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $s0; + shr_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $s0; shr_s8x16 $q0, $q0, -1; shr_s8x16 $q0, $q0, WAVESIZE; // @@ -3756,7 +3756,7 @@ // shr_s16x8 $q0, $q0, $s0; // - shr_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $s0; + shr_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0), $s0; shr_s16x8 $q0, $q0, -1; shr_s16x8 $q0, $q0, WAVESIZE; // @@ -3764,7 +3764,7 @@ // shr_s32x4 $q0, $q0, $s0; // - shr_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $s0; + shr_s32x4 $q0, s32x4(0,0,0,0), $s0; shr_s32x4 $q0, $q0, -1; shr_s32x4 $q0, $q0, WAVESIZE; // @@ -3780,9 +3780,9 @@ // and_b1 $c0, $c0, $c0; // - and_b1 $c0, 1, $c0; + and_b1 $c0, 0, $c0; and_b1 $c0, WAVESIZE, $c0; - and_b1 $c0, $c0, 1; + and_b1 $c0, $c0, 0; and_b1 $c0, $c0, WAVESIZE; // // Next sample @@ -3807,9 +3807,9 @@ // or_b1 $c0, $c0, $c0; // - or_b1 $c0, 1, $c0; + or_b1 $c0, 0, $c0; or_b1 $c0, WAVESIZE, $c0; - or_b1 $c0, $c0, 1; + or_b1 $c0, $c0, 0; or_b1 $c0, $c0, WAVESIZE; // // Next sample @@ -3834,9 +3834,9 @@ // xor_b1 $c0, $c0, $c0; // - xor_b1 $c0, 1, $c0; + xor_b1 $c0, 0, $c0; xor_b1 $c0, WAVESIZE, $c0; - xor_b1 $c0, $c0, 1; + xor_b1 $c0, $c0, 0; xor_b1 $c0, $c0, WAVESIZE; // // Next sample @@ -3861,7 +3861,7 @@ // not_b1 $c0, $c0; // - not_b1 $c0, 1; + not_b1 $c0, 0; not_b1 $c0, WAVESIZE; // // Next sample @@ -4133,13 +4133,13 @@ // expand_v4_b32_b128 ($s0, $s1, $s2, $s3), $q0; // - expand_v4_b32_b128 ($s0, $s1, $s2, $s3), u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + expand_v4_b32_b128 ($s0, $s1, $s2, $s3), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // expand_v2_b64_b128 ($d0, $d1), $q0; // - expand_v2_b64_b128 ($d0, $d1), u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + expand_v2_b64_b128 ($d0, $d1), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // @@ -4191,7 +4191,7 @@ // mov_b1 $c0, $c0; // - mov_b1 $c0, 1; + mov_b1 $c0, 0; mov_b1 $c0, WAVESIZE; // // Next sample @@ -4212,7 +4212,7 @@ // mov_b128 $q0, $q0; // - mov_b128 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + mov_b128 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // @@ -4283,8 +4283,8 @@ // shuffle_u8x4 $s0, $s0, $s0, -1; // - shuffle_u8x4 $s0, u8x4(255,255,255,255), $s0, -1; - shuffle_u8x4 $s0, $s0, u8x4(255,255,255,255), -1; + shuffle_u8x4 $s0, u8x4(0,0,0,0), $s0, -1; + shuffle_u8x4 $s0, $s0, u8x4(0,0,0,0), -1; // // Next sample // @@ -4318,8 +4318,8 @@ // shuffle_u8x8 $d0, $d0, $d0, -1; // - shuffle_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0, -1; - shuffle_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255), -1; + shuffle_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0, -1; + shuffle_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0), -1; // // Next sample // @@ -4374,8 +4374,8 @@ // unpacklo_u8x4 $s0, $s0, $s0; // - unpacklo_u8x4 $s0, u8x4(255,255,255,255), $s0; - unpacklo_u8x4 $s0, $s0, u8x4(255,255,255,255); + unpacklo_u8x4 $s0, u8x4(0,0,0,0), $s0; + unpacklo_u8x4 $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -4409,8 +4409,8 @@ // unpacklo_u8x8 $d0, $d0, $d0; // - unpacklo_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0; - unpacklo_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255); + unpacklo_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0; + unpacklo_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -4465,8 +4465,8 @@ // unpackhi_u8x4 $s0, $s0, $s0; // - unpackhi_u8x4 $s0, u8x4(255,255,255,255), $s0; - unpackhi_u8x4 $s0, $s0, u8x4(255,255,255,255); + unpackhi_u8x4 $s0, u8x4(0,0,0,0), $s0; + unpackhi_u8x4 $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -4500,8 +4500,8 @@ // unpackhi_u8x8 $d0, $d0, $d0; // - unpackhi_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0; - unpackhi_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255); + unpackhi_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0; + unpackhi_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -4556,7 +4556,7 @@ // pack_u8x4_u32 $s0, $s0, $s0, $s0; // - pack_u8x4_u32 $s0, u8x4(255,255,255,255), $s0, $s0; + pack_u8x4_u32 $s0, u8x4(0,0,0,0), $s0, $s0; pack_u8x4_u32 $s0, $s0, -1, $s0; pack_u8x4_u32 $s0, $s0, WAVESIZE, $s0; pack_u8x4_u32 $s0, $s0, $s0, -1; @@ -4576,7 +4576,7 @@ // pack_u8x8_u32 $d0, $d0, $s0, $s0; // - pack_u8x8_u32 $d0, u8x8(255,255,255,255,255,255,255,255), $s0, $s0; + pack_u8x8_u32 $d0, u8x8(0,0,0,0,0,0,0,0), $s0, $s0; pack_u8x8_u32 $d0, $d0, -1, $s0; pack_u8x8_u32 $d0, $d0, WAVESIZE, $s0; pack_u8x8_u32 $d0, $d0, $s0, -1; @@ -4606,7 +4606,7 @@ // pack_u8x16_u32 $q0, $q0, $s0, $s0; // - pack_u8x16_u32 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $s0, $s0; + pack_u8x16_u32 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $s0, $s0; pack_u8x16_u32 $q0, $q0, -1, $s0; pack_u8x16_u32 $q0, $q0, WAVESIZE, $s0; pack_u8x16_u32 $q0, $q0, $s0, -1; @@ -4616,7 +4616,7 @@ // pack_u16x8_u32 $q0, $q0, $s0, $s0; // - pack_u16x8_u32 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $s0, $s0; + pack_u16x8_u32 $q0, u16x8(0,0,0,0,0,0,0,0), $s0, $s0; pack_u16x8_u32 $q0, $q0, -1, $s0; pack_u16x8_u32 $q0, $q0, WAVESIZE, $s0; pack_u16x8_u32 $q0, $q0, $s0, -1; @@ -4626,7 +4626,7 @@ // pack_u32x4_u32 $q0, $q0, $s0, $s0; // - pack_u32x4_u32 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $s0, $s0; + pack_u32x4_u32 $q0, u32x4(0,0,0,0), $s0, $s0; pack_u32x4_u32 $q0, $q0, -1, $s0; pack_u32x4_u32 $q0, $q0, WAVESIZE, $s0; pack_u32x4_u32 $q0, $q0, $s0, -1; @@ -4696,7 +4696,7 @@ // pack_s8x16_s32 $q0, $q0, $s0, $s0; // - pack_s8x16_s32 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $s0, $s0; + pack_s8x16_s32 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $s0, $s0; pack_s8x16_s32 $q0, $q0, -1, $s0; pack_s8x16_s32 $q0, $q0, WAVESIZE, $s0; pack_s8x16_s32 $q0, $q0, $s0, -1; @@ -4706,7 +4706,7 @@ // pack_s16x8_s32 $q0, $q0, $s0, $s0; // - pack_s16x8_s32 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $s0, $s0; + pack_s16x8_s32 $q0, s16x8(0,0,0,0,0,0,0,0), $s0, $s0; pack_s16x8_s32 $q0, $q0, -1, $s0; pack_s16x8_s32 $q0, $q0, WAVESIZE, $s0; pack_s16x8_s32 $q0, $q0, $s0, -1; @@ -4716,7 +4716,7 @@ // pack_s32x4_s32 $q0, $q0, $s0, $s0; // - pack_s32x4_s32 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $s0, $s0; + pack_s32x4_s32 $q0, s32x4(0,0,0,0), $s0, $s0; pack_s32x4_s32 $q0, $q0, -1, $s0; pack_s32x4_s32 $q0, $q0, WAVESIZE, $s0; pack_s32x4_s32 $q0, $q0, $s0, -1; @@ -4754,7 +4754,7 @@ // pack_f16x8_f16 $q0, $q0, $s0, $s0; // - pack_f16x8_f16 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $s0, $s0; + pack_f16x8_f16 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $s0, $s0; pack_f16x8_f16 $q0, $q0, 0Hffff, $s0; pack_f16x8_f16 $q0, $q0, $s0, -1; pack_f16x8_f16 $q0, $q0, $s0, WAVESIZE; @@ -4772,7 +4772,7 @@ // pack_f32x4_f32 $q0, $q0, $s0, $s0; // - pack_f32x4_f32 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $s0, $s0; + pack_f32x4_f32 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $s0, $s0; pack_f32x4_f32 $q0, $q0, 0Fffffffff, $s0; pack_f32x4_f32 $q0, $q0, $s0, -1; pack_f32x4_f32 $q0, $q0, $s0, WAVESIZE; @@ -4781,7 +4781,7 @@ // pack_f64x2_f64 $q0, $q0, $d0, $s0; // - pack_f64x2_f64 $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71), $d0, $s0; + pack_f64x2_f64 $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b), $d0, $s0; pack_f64x2_f64 $q0, $q0, 0Dffffffffffffffff, $s0; pack_f64x2_f64 $q0, $q0, $d0, -1; pack_f64x2_f64 $q0, $q0, $d0, WAVESIZE; @@ -4790,7 +4790,7 @@ // unpack_u32_u8x4 $s0, $s0, $s0; // - unpack_u32_u8x4 $s0, u8x4(255,255,255,255), $s0; + unpack_u32_u8x4 $s0, u8x4(0,0,0,0), $s0; unpack_u32_u8x4 $s0, $s0, -1; unpack_u32_u8x4 $s0, $s0, WAVESIZE; // @@ -4830,7 +4830,7 @@ // unpack_u32_u8x8 $s0, $d0, $s0; // - unpack_u32_u8x8 $s0, u8x8(255,255,255,255,255,255,255,255), $s0; + unpack_u32_u8x8 $s0, u8x8(0,0,0,0,0,0,0,0), $s0; unpack_u32_u8x8 $s0, $d0, -1; unpack_u32_u8x8 $s0, $d0, WAVESIZE; // @@ -4894,7 +4894,7 @@ // unpack_u32_u8x16 $s0, $q0, $s0; // - unpack_u32_u8x16 $s0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $s0; + unpack_u32_u8x16 $s0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $s0; unpack_u32_u8x16 $s0, $q0, -1; unpack_u32_u8x16 $s0, $q0, WAVESIZE; // @@ -4902,7 +4902,7 @@ // unpack_u32_u16x8 $s0, $q0, $s0; // - unpack_u32_u16x8 $s0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $s0; + unpack_u32_u16x8 $s0, u16x8(0,0,0,0,0,0,0,0), $s0; unpack_u32_u16x8 $s0, $q0, -1; unpack_u32_u16x8 $s0, $q0, WAVESIZE; // @@ -4910,7 +4910,7 @@ // unpack_u32_u32x4 $s0, $q0, $s0; // - unpack_u32_u32x4 $s0, u32x4(0,0,0x6bf037ae,0x325f1c71), $s0; + unpack_u32_u32x4 $s0, u32x4(0,0,0,0), $s0; unpack_u32_u32x4 $s0, $q0, -1; unpack_u32_u32x4 $s0, $q0, WAVESIZE; // @@ -4926,7 +4926,7 @@ // unpack_s32_s8x16 $s0, $q0, $s0; // - unpack_s32_s8x16 $s0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $s0; + unpack_s32_s8x16 $s0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $s0; unpack_s32_s8x16 $s0, $q0, -1; unpack_s32_s8x16 $s0, $q0, WAVESIZE; // @@ -4934,7 +4934,7 @@ // unpack_s32_s16x8 $s0, $q0, $s0; // - unpack_s32_s16x8 $s0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $s0; + unpack_s32_s16x8 $s0, s16x8(0,0,0,0,0,0,0,0), $s0; unpack_s32_s16x8 $s0, $q0, -1; unpack_s32_s16x8 $s0, $q0, WAVESIZE; // @@ -4942,7 +4942,7 @@ // unpack_s32_s32x4 $s0, $q0, $s0; // - unpack_s32_s32x4 $s0, s32x4(0,0,0x6bf037ae,0x325f1c71), $s0; + unpack_s32_s32x4 $s0, s32x4(0,0,0,0), $s0; unpack_s32_s32x4 $s0, $q0, -1; unpack_s32_s32x4 $s0, $q0, WAVESIZE; // @@ -4958,7 +4958,7 @@ // unpack_f16_f16x8 $s0, $q0, $s0; // - unpack_f16_f16x8 $s0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $s0; + unpack_f16_f16x8 $s0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $s0; unpack_f16_f16x8 $s0, $q0, -1; unpack_f16_f16x8 $s0, $q0, WAVESIZE; // @@ -4966,7 +4966,7 @@ // unpack_f32_f32x4 $s0, $q0, $s0; // - unpack_f32_f32x4 $s0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $s0; + unpack_f32_f32x4 $s0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $s0; unpack_f32_f32x4 $s0, $q0, -1; unpack_f32_f32x4 $s0, $q0, WAVESIZE; // @@ -4974,7 +4974,7 @@ // unpack_f64_f64x2 $d0, $q0, $s0; // - unpack_f64_f64x2 $d0, f64x2(0D0000000000000000,0D6bf037ae325f1c71), $s0; + unpack_f64_f64x2 $d0, f64x2(0D0000000000000000,0D711c5f32ae37f06b), $s0; unpack_f64_f64x2 $d0, $q0, -1; unpack_f64_f64x2 $d0, $q0, WAVESIZE; // @@ -4982,18 +4982,18 @@ // cmov_b1 $c0, $c0, $c0, $c0; // - cmov_b1 $c0, 1, $c0, $c0; + cmov_b1 $c0, 0, $c0, $c0; cmov_b1 $c0, WAVESIZE, $c0, $c0; - cmov_b1 $c0, $c0, 1, $c0; + cmov_b1 $c0, $c0, 0, $c0; cmov_b1 $c0, $c0, WAVESIZE, $c0; - cmov_b1 $c0, $c0, $c0, 1; + cmov_b1 $c0, $c0, $c0, 0; cmov_b1 $c0, $c0, $c0, WAVESIZE; // // Next sample // cmov_b32 $s0, $c0, $s0, $s0; // - cmov_b32 $s0, 1, $s0, $s0; + cmov_b32 $s0, 0, $s0, $s0; cmov_b32 $s0, WAVESIZE, $s0, $s0; cmov_b32 $s0, $c0, -1, $s0; cmov_b32 $s0, $c0, WAVESIZE, $s0; @@ -5004,7 +5004,7 @@ // cmov_b64 $d0, $c0, $d0, $d0; // - cmov_b64 $d0, 1, $d0, $d0; + cmov_b64 $d0, 0, $d0, $d0; cmov_b64 $d0, WAVESIZE, $d0, $d0; cmov_b64 $d0, $c0, -1, $d0; cmov_b64 $d0, $c0, WAVESIZE, $d0; @@ -5015,9 +5015,9 @@ // cmov_u8x4 $s0, $s0, $s0, $s0; // - cmov_u8x4 $s0, u8x4(255,255,255,255), $s0, $s0; - cmov_u8x4 $s0, $s0, u8x4(255,255,255,255), $s0; - cmov_u8x4 $s0, $s0, $s0, u8x4(255,255,255,255); + cmov_u8x4 $s0, u8x4(0,0,0,0), $s0, $s0; + cmov_u8x4 $s0, $s0, u8x4(0,0,0,0), $s0; + cmov_u8x4 $s0, $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -5031,7 +5031,7 @@ // cmov_s8x4 $s0, $s0, $s0, $s0; // - cmov_s8x4 $s0, u8x4(255,255,255,255), $s0, $s0; + cmov_s8x4 $s0, u8x4(0,0,0,0), $s0, $s0; cmov_s8x4 $s0, $s0, s8x4(-1,-1,-1,-1), $s0; cmov_s8x4 $s0, $s0, $s0, s8x4(-1,-1,-1,-1); // @@ -5055,9 +5055,9 @@ // cmov_u8x8 $d0, $d0, $d0, $d0; // - cmov_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0, $d0; - cmov_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255), $d0; - cmov_u8x8 $d0, $d0, $d0, u8x8(255,255,255,255,255,255,255,255); + cmov_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0, $d0; + cmov_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0), $d0; + cmov_u8x8 $d0, $d0, $d0, u8x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -5079,7 +5079,7 @@ // cmov_s8x8 $d0, $d0, $d0, $d0; // - cmov_s8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0, $d0; + cmov_s8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0, $d0; cmov_s8x8 $d0, $d0, s8x8(-1,-1,-1,-1,-1,-1,-1,-1), $d0; cmov_s8x8 $d0, $d0, $d0, s8x8(-1,-1,-1,-1,-1,-1,-1,-1); // @@ -5119,25 +5119,25 @@ // cmov_u8x16 $q0, $q0, $q0, $q0; // - cmov_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $q0, $q0; - cmov_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $q0; - cmov_u8x16 $q0, $q0, $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + cmov_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0, $q0; + cmov_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0; + cmov_u8x16 $q0, $q0, $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // cmov_u16x8 $q0, $q0, $q0, $q0; // - cmov_u16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0, $q0; - cmov_u16x8 $q0, $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - cmov_u16x8 $q0, $q0, $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + cmov_u16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $q0, $q0; + cmov_u16x8 $q0, $q0, u16x8(0,0,0,0,0,0,0,0), $q0; + cmov_u16x8 $q0, $q0, $q0, u16x8(0,0,0,0,0,0,0,0); // // Next sample // cmov_u32x4 $q0, $q0, $q0, $q0; // - cmov_u32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0, $q0; - cmov_u32x4 $q0, $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - cmov_u32x4 $q0, $q0, $q0, u32x4(0,0,0x6bf037ae,0x325f1c71); + cmov_u32x4 $q0, u32x4(0,0,0,0), $q0, $q0; + cmov_u32x4 $q0, $q0, u32x4(0,0,0,0), $q0; + cmov_u32x4 $q0, $q0, $q0, u32x4(0,0,0,0); // // Next sample // @@ -5151,25 +5151,25 @@ // cmov_s8x16 $q0, $q0, $q0, $q0; // - cmov_s8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $q0, $q0; - cmov_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $q0; - cmov_s8x16 $q0, $q0, $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113); + cmov_s8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0, $q0; + cmov_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $q0; + cmov_s8x16 $q0, $q0, $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0); // // Next sample // cmov_s16x8 $q0, $q0, $q0, $q0; // - cmov_s16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0, $q0; - cmov_s16x8 $q0, $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - cmov_s16x8 $q0, $q0, $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + cmov_s16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $q0, $q0; + cmov_s16x8 $q0, $q0, s16x8(0,0,0,0,0,0,0,0), $q0; + cmov_s16x8 $q0, $q0, $q0, s16x8(0,0,0,0,0,0,0,0); // // Next sample // cmov_s32x4 $q0, $q0, $q0, $q0; // - cmov_s32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0, $q0; - cmov_s32x4 $q0, $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - cmov_s32x4 $q0, $q0, $q0, s32x4(0,0,0x6bf037ae,0x325f1c71); + cmov_s32x4 $q0, u32x4(0,0,0,0), $q0, $q0; + cmov_s32x4 $q0, $q0, s32x4(0,0,0,0), $q0; + cmov_s32x4 $q0, $q0, $q0, s32x4(0,0,0,0); // // Next sample // @@ -5183,25 +5183,25 @@ // cmov_f16x8 $q0, $q0, $q0, $q0; // - cmov_f16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0, $q0; - cmov_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $q0; - cmov_f16x8 $q0, $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + cmov_f16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $q0, $q0; + cmov_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $q0; + cmov_f16x8 $q0, $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // cmov_f32x4 $q0, $q0, $q0, $q0; // - cmov_f32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0, $q0; - cmov_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $q0; - cmov_f32x4 $q0, $q0, $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + cmov_f32x4 $q0, u32x4(0,0,0,0), $q0, $q0; + cmov_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $q0; + cmov_f32x4 $q0, $q0, $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // cmov_f64x2 $q0, $q0, $q0, $q0; // cmov_f64x2 $q0, u64x2(0,0x6bf037ae325f1c71), $q0, $q0; - cmov_f64x2 $q0, $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71), $q0; - cmov_f64x2 $q0, $q0, $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71); + cmov_f64x2 $q0, $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b), $q0; + cmov_f64x2 $q0, $q0, $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b); // // Next sample // @@ -5352,9 +5352,9 @@ // lerp_u8x4 $s0, $s0, $s0, $s0; // - lerp_u8x4 $s0, u8x4(255,255,255,255), $s0, $s0; - lerp_u8x4 $s0, $s0, u8x4(255,255,255,255), $s0; - lerp_u8x4 $s0, $s0, $s0, u8x4(255,255,255,255); + lerp_u8x4 $s0, u8x4(0,0,0,0), $s0, $s0; + lerp_u8x4 $s0, $s0, u8x4(0,0,0,0), $s0; + lerp_u8x4 $s0, $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -5371,8 +5371,8 @@ // sad_u32_u8x4 $s0, $s0, $s0, $s0; // - sad_u32_u8x4 $s0, u8x4(255,255,255,255), $s0, $s0; - sad_u32_u8x4 $s0, $s0, u8x4(255,255,255,255), $s0; + sad_u32_u8x4 $s0, u8x4(0,0,0,0), $s0, $s0; + sad_u32_u8x4 $s0, $s0, u8x4(0,0,0,0), $s0; sad_u32_u8x4 $s0, $s0, $s0, -1; sad_u32_u8x4 $s0, $s0, $s0, WAVESIZE; // @@ -5389,8 +5389,8 @@ // sadhi_u16x2_u8x4 $s0, $s0, $s0, $s0; // - sadhi_u16x2_u8x4 $s0, u8x4(255,255,255,255), $s0, $s0; - sadhi_u16x2_u8x4 $s0, $s0, u8x4(255,255,255,255), $s0; + sadhi_u16x2_u8x4 $s0, u8x4(0,0,0,0), $s0, $s0; + sadhi_u16x2_u8x4 $s0, $s0, u8x4(0,0,0,0), $s0; sadhi_u16x2_u8x4 $s0, $s0, $s0, u16x2(-1,-1); // // Next sample @@ -5406,10 +5406,10 @@ // unpackcvt_f32_u8x4 $s0, $s0, 0; // - unpackcvt_f32_u8x4 $s0, u8x4(255,255,255,255), 0; - unpackcvt_f32_u8x4 $s0, $s0, 1; - unpackcvt_f32_u8x4 $s0, $s0, 2; - unpackcvt_f32_u8x4 $s0, $s0, 3; + unpackcvt_f32_u8x4 $s0, u8x4(0,0,0,0), 0; + unpackcvt_f32_u8x4 $s0, $s0, 0; + unpackcvt_f32_u8x4 $s0, $s0, 0; + unpackcvt_f32_u8x4 $s0, $s0, 0; // // Next sample // @@ -6760,9 +6760,9 @@ cmp_eq_u32_b1 $s0, $c0, $c0; // cmp_ne_u32_b1 $s0, $c0, $c0; - cmp_eq_u32_b1 $s0, 1, $c0; + cmp_eq_u32_b1 $s0, 0, $c0; cmp_eq_u32_b1 $s0, WAVESIZE, $c0; - cmp_eq_u32_b1 $s0, $c0, 1; + cmp_eq_u32_b1 $s0, $c0, 0; cmp_eq_u32_b1 $s0, $c0, WAVESIZE; // // Next sample @@ -6770,9 +6770,9 @@ cmp_eq_u64_b1 $d0, $c0, $c0; // cmp_ne_u64_b1 $d0, $c0, $c0; - cmp_eq_u64_b1 $d0, 1, $c0; + cmp_eq_u64_b1 $d0, 0, $c0; cmp_eq_u64_b1 $d0, WAVESIZE, $c0; - cmp_eq_u64_b1 $d0, $c0, 1; + cmp_eq_u64_b1 $d0, $c0, 0; cmp_eq_u64_b1 $d0, $c0, WAVESIZE; // // Next sample @@ -6780,9 +6780,9 @@ cmp_eq_s32_b1 $s0, $c0, $c0; // cmp_ne_s32_b1 $s0, $c0, $c0; - cmp_eq_s32_b1 $s0, 1, $c0; + cmp_eq_s32_b1 $s0, 0, $c0; cmp_eq_s32_b1 $s0, WAVESIZE, $c0; - cmp_eq_s32_b1 $s0, $c0, 1; + cmp_eq_s32_b1 $s0, $c0, 0; cmp_eq_s32_b1 $s0, $c0, WAVESIZE; // // Next sample @@ -6790,9 +6790,9 @@ cmp_eq_s64_b1 $d0, $c0, $c0; // cmp_ne_s64_b1 $d0, $c0, $c0; - cmp_eq_s64_b1 $d0, 1, $c0; + cmp_eq_s64_b1 $d0, 0, $c0; cmp_eq_s64_b1 $d0, WAVESIZE, $c0; - cmp_eq_s64_b1 $d0, $c0, 1; + cmp_eq_s64_b1 $d0, $c0, 0; cmp_eq_s64_b1 $d0, $c0, WAVESIZE; // // Next sample @@ -6800,9 +6800,9 @@ cmp_eq_f16_b1 $s0, $c0, $c0; // cmp_ne_f16_b1 $s0, $c0, $c0; - cmp_eq_f16_b1 $s0, 1, $c0; + cmp_eq_f16_b1 $s0, 0, $c0; cmp_eq_f16_b1 $s0, WAVESIZE, $c0; - cmp_eq_f16_b1 $s0, $c0, 1; + cmp_eq_f16_b1 $s0, $c0, 0; cmp_eq_f16_b1 $s0, $c0, WAVESIZE; // // Next sample @@ -6810,9 +6810,9 @@ cmp_eq_f32_b1 $s0, $c0, $c0; // cmp_ne_f32_b1 $s0, $c0, $c0; - cmp_eq_f32_b1 $s0, 1, $c0; + cmp_eq_f32_b1 $s0, 0, $c0; cmp_eq_f32_b1 $s0, WAVESIZE, $c0; - cmp_eq_f32_b1 $s0, $c0, 1; + cmp_eq_f32_b1 $s0, $c0, 0; cmp_eq_f32_b1 $s0, $c0, WAVESIZE; // // Next sample @@ -6820,9 +6820,9 @@ cmp_eq_f64_b1 $d0, $c0, $c0; // cmp_ne_f64_b1 $d0, $c0, $c0; - cmp_eq_f64_b1 $d0, 1, $c0; + cmp_eq_f64_b1 $d0, 0, $c0; cmp_eq_f64_b1 $d0, WAVESIZE, $c0; - cmp_eq_f64_b1 $d0, $c0, 1; + cmp_eq_f64_b1 $d0, $c0, 0; cmp_eq_f64_b1 $d0, $c0, WAVESIZE; // // Next sample @@ -6830,9 +6830,9 @@ cmp_eq_b1_b1 $c0, $c0, $c0; // cmp_ne_b1_b1 $c0, $c0, $c0; - cmp_eq_b1_b1 $c0, 1, $c0; + cmp_eq_b1_b1 $c0, 0, $c0; cmp_eq_b1_b1 $c0, WAVESIZE, $c0; - cmp_eq_b1_b1 $c0, $c0, 1; + cmp_eq_b1_b1 $c0, $c0, 0; cmp_eq_b1_b1 $c0, $c0, WAVESIZE; // // Next sample @@ -7004,8 +7004,8 @@ cmp_le_pp_u8x4_u8x4 $s0, $s0, $s0; cmp_gt_pp_u8x4_u8x4 $s0, $s0, $s0; cmp_ge_pp_u8x4_u8x4 $s0, $s0, $s0; - cmp_eq_pp_u8x4_u8x4 $s0, u8x4(255,255,255,255), $s0; - cmp_eq_pp_u8x4_u8x4 $s0, $s0, u8x4(255,255,255,255); + cmp_eq_pp_u8x4_u8x4 $s0, u8x4(0,0,0,0), $s0; + cmp_eq_pp_u8x4_u8x4 $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -7087,8 +7087,8 @@ cmp_le_pp_u8x8_u8x8 $d0, $d0, $d0; cmp_gt_pp_u8x8_u8x8 $d0, $d0, $d0; cmp_ge_pp_u8x8_u8x8 $d0, $d0, $d0; - cmp_eq_pp_u8x8_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0; - cmp_eq_pp_u8x8_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255); + cmp_eq_pp_u8x8_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0; + cmp_eq_pp_u8x8_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -7229,8 +7229,8 @@ cmp_le_pp_u8x16_u8x16 $q0, $q0, $q0; cmp_gt_pp_u8x16_u8x16 $q0, $q0, $q0; cmp_ge_pp_u8x16_u8x16 $q0, $q0, $q0; - cmp_eq_pp_u8x16_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $q0; - cmp_eq_pp_u8x16_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + cmp_eq_pp_u8x16_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0; + cmp_eq_pp_u8x16_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // @@ -7241,8 +7241,8 @@ cmp_le_pp_u16x8_u16x8 $q0, $q0, $q0; cmp_gt_pp_u16x8_u16x8 $q0, $q0, $q0; cmp_ge_pp_u16x8_u16x8 $q0, $q0, $q0; - cmp_eq_pp_u16x8_u16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - cmp_eq_pp_u16x8_u16x8 $q0, $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + cmp_eq_pp_u16x8_u16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $q0; + cmp_eq_pp_u16x8_u16x8 $q0, $q0, u16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -7253,8 +7253,8 @@ cmp_le_pp_u32x4_u32x4 $q0, $q0, $q0; cmp_gt_pp_u32x4_u32x4 $q0, $q0, $q0; cmp_ge_pp_u32x4_u32x4 $q0, $q0, $q0; - cmp_eq_pp_u32x4_u32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - cmp_eq_pp_u32x4_u32x4 $q0, $q0, u32x4(0,0,0x6bf037ae,0x325f1c71); + cmp_eq_pp_u32x4_u32x4 $q0, u32x4(0,0,0,0), $q0; + cmp_eq_pp_u32x4_u32x4 $q0, $q0, u32x4(0,0,0,0); // // Next sample // @@ -7277,8 +7277,8 @@ cmp_le_pp_u8x16_s8x16 $q0, $q0, $q0; cmp_gt_pp_u8x16_s8x16 $q0, $q0, $q0; cmp_ge_pp_u8x16_s8x16 $q0, $q0, $q0; - cmp_eq_pp_u8x16_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $q0; - cmp_eq_pp_u8x16_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113); + cmp_eq_pp_u8x16_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $q0; + cmp_eq_pp_u8x16_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0); // // Next sample // @@ -7289,8 +7289,8 @@ cmp_le_pp_u16x8_s16x8 $q0, $q0, $q0; cmp_gt_pp_u16x8_s16x8 $q0, $q0, $q0; cmp_ge_pp_u16x8_s16x8 $q0, $q0, $q0; - cmp_eq_pp_u16x8_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - cmp_eq_pp_u16x8_s16x8 $q0, $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + cmp_eq_pp_u16x8_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0), $q0; + cmp_eq_pp_u16x8_s16x8 $q0, $q0, s16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -7301,8 +7301,8 @@ cmp_le_pp_u32x4_s32x4 $q0, $q0, $q0; cmp_gt_pp_u32x4_s32x4 $q0, $q0, $q0; cmp_ge_pp_u32x4_s32x4 $q0, $q0, $q0; - cmp_eq_pp_u32x4_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - cmp_eq_pp_u32x4_s32x4 $q0, $q0, s32x4(0,0,0x6bf037ae,0x325f1c71); + cmp_eq_pp_u32x4_s32x4 $q0, s32x4(0,0,0,0), $q0; + cmp_eq_pp_u32x4_s32x4 $q0, $q0, s32x4(0,0,0,0); // // Next sample // @@ -7348,8 +7348,8 @@ cmp_snan_pp_u16x8_f16x8 $q0, $q0, $q0; cmp_sgtu_pp_u16x8_f16x8 $q0, $q0, $q0; cmp_eq_ftz_pp_u16x8_f16x8 $q0, $q0, $q0; - cmp_eq_pp_u16x8_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $q0; - cmp_eq_pp_u16x8_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + cmp_eq_pp_u16x8_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $q0; + cmp_eq_pp_u16x8_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // @@ -7383,8 +7383,8 @@ cmp_snan_pp_u32x4_f32x4 $q0, $q0, $q0; cmp_sgtu_pp_u32x4_f32x4 $q0, $q0, $q0; cmp_eq_ftz_pp_u32x4_f32x4 $q0, $q0, $q0; - cmp_eq_pp_u32x4_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $q0; - cmp_eq_pp_u32x4_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + cmp_eq_pp_u32x4_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $q0; + cmp_eq_pp_u32x4_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -7418,49 +7418,49 @@ cmp_snan_pp_u64x2_f64x2 $q0, $q0, $q0; cmp_sgtu_pp_u64x2_f64x2 $q0, $q0, $q0; cmp_eq_ftz_pp_u64x2_f64x2 $q0, $q0, $q0; - cmp_eq_pp_u64x2_f64x2 $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71), $q0; - cmp_eq_pp_u64x2_f64x2 $q0, $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71); + cmp_eq_pp_u64x2_f64x2 $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b), $q0; + cmp_eq_pp_u64x2_f64x2 $q0, $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b); // // Next sample // cvt_u16_u8 $s0, $s0; // - cvt_u16_u8 $s0, 1; + cvt_u16_u8 $s0, 0; cvt_u16_u8 $s0, WAVESIZE; // // Next sample // cvt_u32_u8 $s0, $s0; // - cvt_u32_u8 $s0, 1; + cvt_u32_u8 $s0, 0; cvt_u32_u8 $s0, WAVESIZE; // // Next sample // cvt_u64_u8 $d0, $s0; // - cvt_u64_u8 $d0, 1; + cvt_u64_u8 $d0, 0; cvt_u64_u8 $d0, WAVESIZE; // // Next sample // cvt_s16_u8 $s0, $s0; // - cvt_s16_u8 $s0, 1; + cvt_s16_u8 $s0, 0; cvt_s16_u8 $s0, WAVESIZE; // // Next sample // cvt_s32_u8 $s0, $s0; // - cvt_s32_u8 $s0, 1; + cvt_s32_u8 $s0, 0; cvt_s32_u8 $s0, WAVESIZE; // // Next sample // cvt_s64_u8 $d0, $s0; // - cvt_s64_u8 $d0, 1; + cvt_s64_u8 $d0, 0; cvt_s64_u8 $d0, WAVESIZE; // // Next sample @@ -7471,7 +7471,7 @@ cvt_zero_f16_u8 $s0, $s0; cvt_up_f16_u8 $s0, $s0; cvt_down_f16_u8 $s0, $s0; - cvt_f16_u8 $s0, 1; + cvt_f16_u8 $s0, 0; cvt_f16_u8 $s0, WAVESIZE; // // Next sample @@ -7482,7 +7482,7 @@ cvt_zero_f32_u8 $s0, $s0; cvt_up_f32_u8 $s0, $s0; cvt_down_f32_u8 $s0, $s0; - cvt_f32_u8 $s0, 1; + cvt_f32_u8 $s0, 0; cvt_f32_u8 $s0, WAVESIZE; // // Next sample @@ -7493,14 +7493,14 @@ cvt_zero_f64_u8 $d0, $s0; cvt_up_f64_u8 $d0, $s0; cvt_down_f64_u8 $d0, $s0; - cvt_f64_u8 $d0, 1; + cvt_f64_u8 $d0, 0; cvt_f64_u8 $d0, WAVESIZE; // // Next sample // cvt_b1_u8 $c0, $s0; // - cvt_b1_u8 $c0, 1; + cvt_b1_u8 $c0, 0; cvt_b1_u8 $c0, WAVESIZE; // // Next sample @@ -7753,42 +7753,42 @@ // cvt_u16_s8 $s0, $s0; // - cvt_u16_s8 $s0, 1; + cvt_u16_s8 $s0, 0; cvt_u16_s8 $s0, WAVESIZE; // // Next sample // cvt_u32_s8 $s0, $s0; // - cvt_u32_s8 $s0, 1; + cvt_u32_s8 $s0, 0; cvt_u32_s8 $s0, WAVESIZE; // // Next sample // cvt_u64_s8 $d0, $s0; // - cvt_u64_s8 $d0, 1; + cvt_u64_s8 $d0, 0; cvt_u64_s8 $d0, WAVESIZE; // // Next sample // cvt_s16_s8 $s0, $s0; // - cvt_s16_s8 $s0, 1; + cvt_s16_s8 $s0, 0; cvt_s16_s8 $s0, WAVESIZE; // // Next sample // cvt_s32_s8 $s0, $s0; // - cvt_s32_s8 $s0, 1; + cvt_s32_s8 $s0, 0; cvt_s32_s8 $s0, WAVESIZE; // // Next sample // cvt_s64_s8 $d0, $s0; // - cvt_s64_s8 $d0, 1; + cvt_s64_s8 $d0, 0; cvt_s64_s8 $d0, WAVESIZE; // // Next sample @@ -7799,7 +7799,7 @@ cvt_zero_f16_s8 $s0, $s0; cvt_up_f16_s8 $s0, $s0; cvt_down_f16_s8 $s0, $s0; - cvt_f16_s8 $s0, 1; + cvt_f16_s8 $s0, 0; cvt_f16_s8 $s0, WAVESIZE; // // Next sample @@ -7810,7 +7810,7 @@ cvt_zero_f32_s8 $s0, $s0; cvt_up_f32_s8 $s0, $s0; cvt_down_f32_s8 $s0, $s0; - cvt_f32_s8 $s0, 1; + cvt_f32_s8 $s0, 0; cvt_f32_s8 $s0, WAVESIZE; // // Next sample @@ -7821,14 +7821,14 @@ cvt_zero_f64_s8 $d0, $s0; cvt_up_f64_s8 $d0, $s0; cvt_down_f64_s8 $d0, $s0; - cvt_f64_s8 $d0, 1; + cvt_f64_s8 $d0, 0; cvt_f64_s8 $d0, WAVESIZE; // // Next sample // cvt_b1_s8 $c0, $s0; // - cvt_b1_s8 $c0, 1; + cvt_b1_s8 $c0, 0; cvt_b1_s8 $c0, WAVESIZE; // // Next sample @@ -8684,77 +8684,77 @@ // cvt_u8_b1 $s0, $c0; // - cvt_u8_b1 $s0, 1; + cvt_u8_b1 $s0, 0; cvt_u8_b1 $s0, WAVESIZE; // // Next sample // cvt_u16_b1 $s0, $c0; // - cvt_u16_b1 $s0, 1; + cvt_u16_b1 $s0, 0; cvt_u16_b1 $s0, WAVESIZE; // // Next sample // cvt_u32_b1 $s0, $c0; // - cvt_u32_b1 $s0, 1; + cvt_u32_b1 $s0, 0; cvt_u32_b1 $s0, WAVESIZE; // // Next sample // cvt_u64_b1 $d0, $c0; // - cvt_u64_b1 $d0, 1; + cvt_u64_b1 $d0, 0; cvt_u64_b1 $d0, WAVESIZE; // // Next sample // cvt_s8_b1 $s0, $c0; // - cvt_s8_b1 $s0, 1; + cvt_s8_b1 $s0, 0; cvt_s8_b1 $s0, WAVESIZE; // // Next sample // cvt_s16_b1 $s0, $c0; // - cvt_s16_b1 $s0, 1; + cvt_s16_b1 $s0, 0; cvt_s16_b1 $s0, WAVESIZE; // // Next sample // cvt_s32_b1 $s0, $c0; // - cvt_s32_b1 $s0, 1; + cvt_s32_b1 $s0, 0; cvt_s32_b1 $s0, WAVESIZE; // // Next sample // cvt_s64_b1 $d0, $c0; // - cvt_s64_b1 $d0, 1; + cvt_s64_b1 $d0, 0; cvt_s64_b1 $d0, WAVESIZE; // // Next sample // cvt_f16_b1 $s0, $c0; // - cvt_f16_b1 $s0, 1; + cvt_f16_b1 $s0, 0; cvt_f16_b1 $s0, WAVESIZE; // // Next sample // cvt_f32_b1 $s0, $c0; // - cvt_f32_b1 $s0, 1; + cvt_f32_b1 $s0, 0; cvt_f32_b1 $s0, WAVESIZE; // // Next sample // cvt_f64_b1 $d0, $c0; // - cvt_f64_b1 $d0, 1; + cvt_f64_b1 $d0, 0; cvt_f64_b1 $d0, WAVESIZE; // // Next sample @@ -13845,16 +13845,16 @@ st_v2_u8 ($s0, $s0), [$d0+2]; st_v3_u8 ($s0, $s0, $s0), [$d0+2]; st_v4_u8 ($s0, $s0, $s0, $s0), [$d0+2]; - st_v2_u8 (WAVESIZE, 255), [$d0+2]; - st_v3_u8 (WAVESIZE, 255, 255), [$d0+2]; - st_v4_u8 (WAVESIZE, 255, 255, 255), [$d0+2]; + st_v2_u8 (WAVESIZE, 0), [$d0+2]; + st_v3_u8 (WAVESIZE, 0, 0), [$d0+2]; + st_v4_u8 (WAVESIZE, 0, 0, 0), [$d0+2]; st_v2_u8 (0, $s0), [$d0+2]; - st_v3_u8 (0, 255, $s0), [$d0+2]; - st_v4_u8 (0, 255, $s0, $s0), [$d0+2]; + st_v3_u8 (0, 0, $s0), [$d0+2]; + st_v4_u8 (0, 0, $s0, $s0), [$d0+2]; st_v2_u8 ($s0, $s1), [$d0+2]; st_v3_u8 ($s0, $s1, $s2), [$d0+2]; st_v4_u8 ($s0, $s1, $s2, $s3), [$d0+2]; - st_u8 1, [$d0+2]; + st_u8 0, [$d0+2]; st_u8 WAVESIZE, [$d0+2]; st_u8 $s0, [$d0+3]; st_u8 $s0, [$d0+5]; @@ -13879,16 +13879,16 @@ st_v2_global_u8 ($s0, $s0), [&GlobalVar]; st_v3_global_u8 ($s0, $s0, $s0), [&GlobalVar]; st_v4_global_u8 ($s0, $s0, $s0, $s0), [&GlobalVar]; - st_v2_global_u8 (WAVESIZE, 255), [&GlobalVar]; - st_v3_global_u8 (WAVESIZE, 255, 255), [&GlobalVar]; - st_v4_global_u8 (WAVESIZE, 255, 255, 255), [&GlobalVar]; + st_v2_global_u8 (WAVESIZE, 0), [&GlobalVar]; + st_v3_global_u8 (WAVESIZE, 0, 0), [&GlobalVar]; + st_v4_global_u8 (WAVESIZE, 0, 0, 0), [&GlobalVar]; st_v2_global_u8 (0, $s0), [&GlobalVar]; - st_v3_global_u8 (0, 255, $s0), [&GlobalVar]; - st_v4_global_u8 (0, 255, $s0, $s0), [&GlobalVar]; + st_v3_global_u8 (0, 0, $s0), [&GlobalVar]; + st_v4_global_u8 (0, 0, $s0, $s0), [&GlobalVar]; st_v2_global_u8 ($s0, $s1), [&GlobalVar]; st_v3_global_u8 ($s0, $s1, $s2), [&GlobalVar]; st_v4_global_u8 ($s0, $s1, $s2, $s3), [&GlobalVar]; - st_global_u8 1, [&GlobalVar]; + st_global_u8 0, [&GlobalVar]; st_global_u8 WAVESIZE, [&GlobalVar]; st_global_u8 $s0, [$d0+2]; st_global_u8 $s0, [$d0+3]; @@ -13914,16 +13914,16 @@ st_v2_group_u8 ($s0, $s0), [&GroupVar]; st_v3_group_u8 ($s0, $s0, $s0), [&GroupVar]; st_v4_group_u8 ($s0, $s0, $s0, $s0), [&GroupVar]; - st_v2_group_u8 (WAVESIZE, 255), [&GroupVar]; - st_v3_group_u8 (WAVESIZE, 255, 255), [&GroupVar]; - st_v4_group_u8 (WAVESIZE, 255, 255, 255), [&GroupVar]; + st_v2_group_u8 (WAVESIZE, 0), [&GroupVar]; + st_v3_group_u8 (WAVESIZE, 0, 0), [&GroupVar]; + st_v4_group_u8 (WAVESIZE, 0, 0, 0), [&GroupVar]; st_v2_group_u8 (0, $s0), [&GroupVar]; - st_v3_group_u8 (0, 255, $s0), [&GroupVar]; - st_v4_group_u8 (0, 255, $s0, $s0), [&GroupVar]; + st_v3_group_u8 (0, 0, $s0), [&GroupVar]; + st_v4_group_u8 (0, 0, $s0, $s0), [&GroupVar]; st_v2_group_u8 ($s0, $s1), [&GroupVar]; st_v3_group_u8 ($s0, $s1, $s2), [&GroupVar]; st_v4_group_u8 ($s0, $s1, $s2, $s3), [&GroupVar]; - st_group_u8 1, [&GroupVar]; + st_group_u8 0, [&GroupVar]; st_group_u8 WAVESIZE, [&GroupVar]; st_group_u8 $s0, [$s0+2]; st_group_u8 $s0, [$s0+3]; @@ -13949,16 +13949,16 @@ st_v2_private_u8 ($s0, $s0), [&PrivateVar]; st_v3_private_u8 ($s0, $s0, $s0), [&PrivateVar]; st_v4_private_u8 ($s0, $s0, $s0, $s0), [&PrivateVar]; - st_v2_private_u8 (WAVESIZE, 255), [&PrivateVar]; - st_v3_private_u8 (WAVESIZE, 255, 255), [&PrivateVar]; - st_v4_private_u8 (WAVESIZE, 255, 255, 255), [&PrivateVar]; + st_v2_private_u8 (WAVESIZE, 0), [&PrivateVar]; + st_v3_private_u8 (WAVESIZE, 0, 0), [&PrivateVar]; + st_v4_private_u8 (WAVESIZE, 0, 0, 0), [&PrivateVar]; st_v2_private_u8 (0, $s0), [&PrivateVar]; - st_v3_private_u8 (0, 255, $s0), [&PrivateVar]; - st_v4_private_u8 (0, 255, $s0, $s0), [&PrivateVar]; + st_v3_private_u8 (0, 0, $s0), [&PrivateVar]; + st_v4_private_u8 (0, 0, $s0, $s0), [&PrivateVar]; st_v2_private_u8 ($s0, $s1), [&PrivateVar]; st_v3_private_u8 ($s0, $s1, $s2), [&PrivateVar]; st_v4_private_u8 ($s0, $s1, $s2, $s3), [&PrivateVar]; - st_private_u8 1, [&PrivateVar]; + st_private_u8 0, [&PrivateVar]; st_private_u8 WAVESIZE, [&PrivateVar]; st_private_u8 $s0, [$s0+2]; st_private_u8 $s0, [$s0+3]; @@ -13984,16 +13984,16 @@ st_v2_spill_u8 ($s0, $s0), [%SpillVar]; st_v3_spill_u8 ($s0, $s0, $s0), [%SpillVar]; st_v4_spill_u8 ($s0, $s0, $s0, $s0), [%SpillVar]; - st_v2_spill_u8 (WAVESIZE, 255), [%SpillVar]; - st_v3_spill_u8 (WAVESIZE, 255, 255), [%SpillVar]; - st_v4_spill_u8 (WAVESIZE, 255, 255, 255), [%SpillVar]; + st_v2_spill_u8 (WAVESIZE, 0), [%SpillVar]; + st_v3_spill_u8 (WAVESIZE, 0, 0), [%SpillVar]; + st_v4_spill_u8 (WAVESIZE, 0, 0, 0), [%SpillVar]; st_v2_spill_u8 (0, $s0), [%SpillVar]; - st_v3_spill_u8 (0, 255, $s0), [%SpillVar]; - st_v4_spill_u8 (0, 255, $s0, $s0), [%SpillVar]; + st_v3_spill_u8 (0, 0, $s0), [%SpillVar]; + st_v4_spill_u8 (0, 0, $s0, $s0), [%SpillVar]; st_v2_spill_u8 ($s0, $s1), [%SpillVar]; st_v3_spill_u8 ($s0, $s1, $s2), [%SpillVar]; st_v4_spill_u8 ($s0, $s1, $s2, $s3), [%SpillVar]; - st_spill_u8 1, [%SpillVar]; + st_spill_u8 0, [%SpillVar]; st_spill_u8 WAVESIZE, [%SpillVar]; st_spill_align(2)_u8 $s0, [%SpillVar]; st_spill_align(4)_u8 $s0, [%SpillVar]; @@ -14530,7 +14530,7 @@ st_v2_s8 ($s0, $s1), [$d0+2]; st_v3_s8 ($s0, $s1, $s2), [$d0+2]; st_v4_s8 ($s0, $s1, $s2, $s3), [$d0+2]; - st_s8 1, [$d0+2]; + st_s8 0, [$d0+2]; st_s8 WAVESIZE, [$d0+2]; st_s8 $s0, [$d0+3]; st_s8 $s0, [$d0+5]; @@ -14564,7 +14564,7 @@ st_v2_global_s8 ($s0, $s1), [&GlobalVar]; st_v3_global_s8 ($s0, $s1, $s2), [&GlobalVar]; st_v4_global_s8 ($s0, $s1, $s2, $s3), [&GlobalVar]; - st_global_s8 1, [&GlobalVar]; + st_global_s8 0, [&GlobalVar]; st_global_s8 WAVESIZE, [&GlobalVar]; st_global_s8 $s0, [$d0+2]; st_global_s8 $s0, [$d0+3]; @@ -14599,7 +14599,7 @@ st_v2_group_s8 ($s0, $s1), [&GroupVar]; st_v3_group_s8 ($s0, $s1, $s2), [&GroupVar]; st_v4_group_s8 ($s0, $s1, $s2, $s3), [&GroupVar]; - st_group_s8 1, [&GroupVar]; + st_group_s8 0, [&GroupVar]; st_group_s8 WAVESIZE, [&GroupVar]; st_group_s8 $s0, [$s0+2]; st_group_s8 $s0, [$s0+3]; @@ -14634,7 +14634,7 @@ st_v2_private_s8 ($s0, $s1), [&PrivateVar]; st_v3_private_s8 ($s0, $s1, $s2), [&PrivateVar]; st_v4_private_s8 ($s0, $s1, $s2, $s3), [&PrivateVar]; - st_private_s8 1, [&PrivateVar]; + st_private_s8 0, [&PrivateVar]; st_private_s8 WAVESIZE, [&PrivateVar]; st_private_s8 $s0, [$s0+2]; st_private_s8 $s0, [$s0+3]; @@ -14669,7 +14669,7 @@ st_v2_spill_s8 ($s0, $s1), [%SpillVar]; st_v3_spill_s8 ($s0, $s1, $s2), [%SpillVar]; st_v4_spill_s8 ($s0, $s1, $s2, $s3), [%SpillVar]; - st_spill_s8 1, [%SpillVar]; + st_spill_s8 0, [%SpillVar]; st_spill_s8 WAVESIZE, [%SpillVar]; st_spill_align(2)_s8 $s0, [%SpillVar]; st_spill_align(4)_s8 $s0, [%SpillVar]; @@ -15644,16 +15644,16 @@ st_v2_b128 ($q0, $q0), [$d0+2]; st_v3_b128 ($q0, $q0, $q0), [$d0+2]; st_v4_b128 ($q0, $q0, $q0, $q0), [$d0+2]; - st_v2_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [$d0+2]; - st_v3_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [$d0+2]; - st_v4_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [$d0+2]; + st_v2_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [$d0+2]; + st_v3_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [$d0+2]; + st_v4_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [$d0+2]; st_v2_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [$d0+2]; - st_v3_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0), [$d0+2]; - st_v4_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0, $q0), [$d0+2]; + st_v3_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [$d0+2]; + st_v4_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0, $q0), [$d0+2]; st_v2_b128 ($q0, $q1), [$d0+2]; st_v3_b128 ($q0, $q1, $q2), [$d0+2]; st_v4_b128 ($q0, $q1, $q2, $q3), [$d0+2]; - st_b128 u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), [$d0+2]; + st_b128 u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), [$d0+2]; st_b128 $q0, [$d0+3]; st_b128 $q0, [$d0+5]; st_b128 $q0, [$d0+6]; @@ -15677,16 +15677,16 @@ st_v2_global_b128 ($q0, $q0), [&GlobalVar]; st_v3_global_b128 ($q0, $q0, $q0), [&GlobalVar]; st_v4_global_b128 ($q0, $q0, $q0, $q0), [&GlobalVar]; - st_v2_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [&GlobalVar]; - st_v3_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [&GlobalVar]; - st_v4_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [&GlobalVar]; + st_v2_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [&GlobalVar]; + st_v3_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [&GlobalVar]; + st_v4_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [&GlobalVar]; st_v2_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [&GlobalVar]; - st_v3_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0), [&GlobalVar]; - st_v4_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0, $q0), [&GlobalVar]; + st_v3_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [&GlobalVar]; + st_v4_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0, $q0), [&GlobalVar]; st_v2_global_b128 ($q0, $q1), [&GlobalVar]; st_v3_global_b128 ($q0, $q1, $q2), [&GlobalVar]; st_v4_global_b128 ($q0, $q1, $q2, $q3), [&GlobalVar]; - st_global_b128 u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), [&GlobalVar]; + st_global_b128 u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), [&GlobalVar]; st_global_b128 $q0, [$d0+2]; st_global_b128 $q0, [$d0+3]; st_global_b128 $q0, [$d0+5]; @@ -15711,16 +15711,16 @@ st_v2_group_b128 ($q0, $q0), [&GroupVar]; st_v3_group_b128 ($q0, $q0, $q0), [&GroupVar]; st_v4_group_b128 ($q0, $q0, $q0, $q0), [&GroupVar]; - st_v2_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [&GroupVar]; - st_v3_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [&GroupVar]; - st_v4_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [&GroupVar]; + st_v2_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [&GroupVar]; + st_v3_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [&GroupVar]; + st_v4_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [&GroupVar]; st_v2_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [&GroupVar]; - st_v3_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0), [&GroupVar]; - st_v4_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0, $q0), [&GroupVar]; + st_v3_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [&GroupVar]; + st_v4_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0, $q0), [&GroupVar]; st_v2_group_b128 ($q0, $q1), [&GroupVar]; st_v3_group_b128 ($q0, $q1, $q2), [&GroupVar]; st_v4_group_b128 ($q0, $q1, $q2, $q3), [&GroupVar]; - st_group_b128 u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), [&GroupVar]; + st_group_b128 u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), [&GroupVar]; st_group_b128 $q0, [$s0+2]; st_group_b128 $q0, [$s0+3]; st_group_b128 $q0, [$s0+5]; @@ -15745,16 +15745,16 @@ st_v2_private_b128 ($q0, $q0), [&PrivateVar]; st_v3_private_b128 ($q0, $q0, $q0), [&PrivateVar]; st_v4_private_b128 ($q0, $q0, $q0, $q0), [&PrivateVar]; - st_v2_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [&PrivateVar]; - st_v3_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [&PrivateVar]; - st_v4_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [&PrivateVar]; + st_v2_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [&PrivateVar]; + st_v3_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [&PrivateVar]; + st_v4_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [&PrivateVar]; st_v2_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [&PrivateVar]; - st_v3_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0), [&PrivateVar]; - st_v4_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0, $q0), [&PrivateVar]; + st_v3_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [&PrivateVar]; + st_v4_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0, $q0), [&PrivateVar]; st_v2_private_b128 ($q0, $q1), [&PrivateVar]; st_v3_private_b128 ($q0, $q1, $q2), [&PrivateVar]; st_v4_private_b128 ($q0, $q1, $q2, $q3), [&PrivateVar]; - st_private_b128 u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), [&PrivateVar]; + st_private_b128 u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), [&PrivateVar]; st_private_b128 $q0, [$s0+2]; st_private_b128 $q0, [$s0+3]; st_private_b128 $q0, [$s0+5]; @@ -15779,16 +15779,16 @@ st_v2_spill_b128 ($q0, $q0), [%SpillVar]; st_v3_spill_b128 ($q0, $q0, $q0), [%SpillVar]; st_v4_spill_b128 ($q0, $q0, $q0, $q0), [%SpillVar]; - st_v2_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [%SpillVar]; - st_v3_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [%SpillVar]; - st_v4_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [%SpillVar]; + st_v2_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [%SpillVar]; + st_v3_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [%SpillVar]; + st_v4_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [%SpillVar]; st_v2_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [%SpillVar]; - st_v3_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0), [%SpillVar]; - st_v4_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0, $q0), [%SpillVar]; + st_v3_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [%SpillVar]; + st_v4_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0, $q0), [%SpillVar]; st_v2_spill_b128 ($q0, $q1), [%SpillVar]; st_v3_spill_b128 ($q0, $q1, $q2), [%SpillVar]; st_v4_spill_b128 ($q0, $q1, $q2, $q3), [%SpillVar]; - st_spill_b128 u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), [%SpillVar]; + st_spill_b128 u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), [%SpillVar]; st_spill_align(2)_b128 $q0, [%SpillVar]; st_spill_align(4)_b128 $q0, [%SpillVar]; st_spill_align(8)_b128 $q0, [%SpillVar]; @@ -19819,7 +19819,7 @@ // cbr_b1 $c0, @TestLabel; // - cbr_b1 1, @TestLabel; + cbr_b1 0, @TestLabel; cbr_b1 WAVESIZE, @TestLabel; cbr_width(2)_b1 $c0, @TestLabel; cbr_width(4)_b1 $c0, @TestLabel; @@ -20103,7 +20103,7 @@ // activelanemask_v4_b64_b1 ($d0, $d1, $d2, $d3), $c0; // - activelanemask_v4_b64_b1 ($d0, $d1, $d2, $d3), 1; + activelanemask_v4_b64_b1 ($d0, $d1, $d2, $d3), 0; activelanemask_v4_b64_b1 ($d0, $d1, $d2, $d3), WAVESIZE; activelanemask_v4_width(2)_b64_b1 ($d0, $d1, $d2, $d3), $c0; activelanemask_v4_width(4)_b64_b1 ($d0, $d1, $d2, $d3), $c0; @@ -20143,7 +20143,7 @@ // activelanecount_u32_b1 $s0, $c0; // - activelanecount_u32_b1 $s0, 1; + activelanecount_u32_b1 $s0, 0; activelanecount_u32_b1 $s0, WAVESIZE; activelanecount_width(2)_u32_b1 $s0, $c0; activelanecount_width(4)_u32_b1 $s0, $c0; @@ -20221,13 +20221,13 @@ // activelanepermute_b1 $c0, $c0, $s0, $c0, $c0; // - activelanepermute_b1 $c0, 1, $s0, $c0, $c0; + activelanepermute_b1 $c0, 0, $s0, $c0, $c0; activelanepermute_b1 $c0, WAVESIZE, $s0, $c0, $c0; activelanepermute_b1 $c0, $c0, -1, $c0, $c0; activelanepermute_b1 $c0, $c0, WAVESIZE, $c0, $c0; - activelanepermute_b1 $c0, $c0, $s0, 1, $c0; + activelanepermute_b1 $c0, $c0, $s0, 0, $c0; activelanepermute_b1 $c0, $c0, $s0, WAVESIZE, $c0; - activelanepermute_b1 $c0, $c0, $s0, $c0, 1; + activelanepermute_b1 $c0, $c0, $s0, $c0, 0; activelanepermute_b1 $c0, $c0, $s0, $c0, WAVESIZE; activelanepermute_width(2)_b1 $c0, $c0, $s0, $c0, $c0; activelanepermute_width(4)_b1 $c0, $c0, $s0, $c0, $c0; @@ -20273,7 +20273,7 @@ activelanepermute_b32 $s0, $s0, WAVESIZE, $s0, $c0; activelanepermute_b32 $s0, $s0, $s0, -1, $c0; activelanepermute_b32 $s0, $s0, $s0, WAVESIZE, $c0; - activelanepermute_b32 $s0, $s0, $s0, $s0, 1; + activelanepermute_b32 $s0, $s0, $s0, $s0, 0; activelanepermute_b32 $s0, $s0, $s0, $s0, WAVESIZE; activelanepermute_width(2)_b32 $s0, $s0, $s0, $s0, $c0; activelanepermute_width(4)_b32 $s0, $s0, $s0, $s0, $c0; @@ -20319,7 +20319,7 @@ activelanepermute_b64 $d0, $d0, WAVESIZE, $d0, $c0; activelanepermute_b64 $d0, $d0, $s0, -1, $c0; activelanepermute_b64 $d0, $d0, $s0, WAVESIZE, $c0; - activelanepermute_b64 $d0, $d0, $s0, $d0, 1; + activelanepermute_b64 $d0, $d0, $s0, $d0, 0; activelanepermute_b64 $d0, $d0, $s0, $d0, WAVESIZE; activelanepermute_width(2)_b64 $d0, $d0, $s0, $d0, $c0; activelanepermute_width(4)_b64 $d0, $d0, $s0, $d0, $c0; @@ -20359,11 +20359,11 @@ // activelanepermute_b128 $q0, $q0, $s0, $q0, $c0; // - activelanepermute_b128 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $s0, $q0, $c0; + activelanepermute_b128 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $s0, $q0, $c0; activelanepermute_b128 $q0, $q0, -1, $q0, $c0; activelanepermute_b128 $q0, $q0, WAVESIZE, $q0, $c0; - activelanepermute_b128 $q0, $q0, $s0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $c0; - activelanepermute_b128 $q0, $q0, $s0, $q0, 1; + activelanepermute_b128 $q0, $q0, $s0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $c0; + activelanepermute_b128 $q0, $q0, $s0, $q0, 0; activelanepermute_b128 $q0, $q0, $s0, $q0, WAVESIZE; activelanepermute_width(2)_b128 $q0, $q0, $s0, $q0, $c0; activelanepermute_width(4)_b128 $q0, $q0, $s0, $q0, $c0; @@ -20485,64 +20485,64 @@ // currentworkgroupsize_u32 $s0, 0; // - currentworkgroupsize_u32 $s0, 1; - currentworkgroupsize_u32 $s0, 2; + currentworkgroupsize_u32 $s0, 0; + currentworkgroupsize_u32 $s0, 0; // // Next sample // gridgroups_u32 $s0, 0; // - gridgroups_u32 $s0, 1; - gridgroups_u32 $s0, 2; + gridgroups_u32 $s0, 0; + gridgroups_u32 $s0, 0; // // Next sample // workgroupid_u32 $s0, 0; // - workgroupid_u32 $s0, 1; - workgroupid_u32 $s0, 2; + workgroupid_u32 $s0, 0; + workgroupid_u32 $s0, 0; // // Next sample // workgroupsize_u32 $s0, 0; // - workgroupsize_u32 $s0, 1; - workgroupsize_u32 $s0, 2; + workgroupsize_u32 $s0, 0; + workgroupsize_u32 $s0, 0; // // Next sample // workitemid_u32 $s0, 0; // - workitemid_u32 $s0, 1; - workitemid_u32 $s0, 2; + workitemid_u32 $s0, 0; + workitemid_u32 $s0, 0; // // Next sample // gridsize_u32 $s0, 0; // - gridsize_u32 $s0, 1; - gridsize_u32 $s0, 2; + gridsize_u32 $s0, 0; + gridsize_u32 $s0, 0; // // Next sample // gridsize_u64 $d0, 0; // - gridsize_u64 $d0, 1; - gridsize_u64 $d0, 2; + gridsize_u64 $d0, 0; + gridsize_u64 $d0, 0; // // Next sample // workitemabsid_u32 $s0, 0; // - workitemabsid_u32 $s0, 1; - workitemabsid_u32 $s0, 2; + workitemabsid_u32 $s0, 0; + workitemabsid_u32 $s0, 0; // // Next sample // workitemabsid_u64 $d0, 0; // - workitemabsid_u64 $d0, 1; - workitemabsid_u64 $d0, 2; + workitemabsid_u64 $d0, 0; + workitemabsid_u64 $d0, 0; // // Next sample // 13/115 Test #15: 1.0/instruction/IMAGE-base-small .................. Passed 0.06 sec 14/115 Test #14: 1.0/instruction/IMAGE-base-large .................. Passed 0.07 sec 15/115 Test #13: 1.0/instruction/CORE-full-small ...................***Failed 0.14 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/instruction/CORE-full-small.hsail 2017-03-06 16:05:12.000000000 +0000 +++ CORE-full-small_2.hsail 2017-12-24 01:26:46.357154345 +0000 @@ -116,8 +116,8 @@ add_ps_sat_u8x4 $s0, $s0, $s0; add_sp_sat_u8x4 $s0, $s0, $s0; add_ss_sat_u8x4 $s0, $s0, $s0; - add_pp_u8x4 $s0, u8x4(255,255,255,255), $s0; - add_pp_u8x4 $s0, $s0, u8x4(255,255,255,255); + add_pp_u8x4 $s0, u8x4(0,0,0,0), $s0; + add_pp_u8x4 $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -187,8 +187,8 @@ add_ps_sat_u8x8 $d0, $d0, $d0; add_sp_sat_u8x8 $d0, $d0, $d0; add_ss_sat_u8x8 $d0, $d0, $d0; - add_pp_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0; - add_pp_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255); + add_pp_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0; + add_pp_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -301,8 +301,8 @@ add_ps_sat_u8x16 $q0, $q0, $q0; add_sp_sat_u8x16 $q0, $q0, $q0; add_ss_sat_u8x16 $q0, $q0, $q0; - add_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $q0; - add_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + add_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0; + add_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // @@ -315,8 +315,8 @@ add_ps_sat_u16x8 $q0, $q0, $q0; add_sp_sat_u16x8 $q0, $q0, $q0; add_ss_sat_u16x8 $q0, $q0, $q0; - add_pp_u16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - add_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + add_pp_u16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $q0; + add_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -329,8 +329,8 @@ add_ps_sat_u32x4 $q0, $q0, $q0; add_sp_sat_u32x4 $q0, $q0, $q0; add_ss_sat_u32x4 $q0, $q0, $q0; - add_pp_u32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - add_pp_u32x4 $q0, $q0, u32x4(0,0,0x6bf037ae,0x325f1c71); + add_pp_u32x4 $q0, u32x4(0,0,0,0), $q0; + add_pp_u32x4 $q0, $q0, u32x4(0,0,0,0); // // Next sample // @@ -357,8 +357,8 @@ add_ps_sat_s8x16 $q0, $q0, $q0; add_sp_sat_s8x16 $q0, $q0, $q0; add_ss_sat_s8x16 $q0, $q0, $q0; - add_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $q0; - add_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113); + add_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $q0; + add_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0); // // Next sample // @@ -371,8 +371,8 @@ add_ps_sat_s16x8 $q0, $q0, $q0; add_sp_sat_s16x8 $q0, $q0, $q0; add_ss_sat_s16x8 $q0, $q0, $q0; - add_pp_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - add_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + add_pp_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0), $q0; + add_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -385,8 +385,8 @@ add_ps_sat_s32x4 $q0, $q0, $q0; add_sp_sat_s32x4 $q0, $q0, $q0; add_ss_sat_s32x4 $q0, $q0, $q0; - add_pp_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - add_pp_s32x4 $q0, $q0, s32x4(0,0,0x6bf037ae,0x325f1c71); + add_pp_s32x4 $q0, s32x4(0,0,0,0), $q0; + add_pp_s32x4 $q0, $q0, s32x4(0,0,0,0); // // Next sample // @@ -414,8 +414,8 @@ add_zero_pp_f16x8 $q0, $q0, $q0; add_up_pp_f16x8 $q0, $q0, $q0; add_down_pp_f16x8 $q0, $q0, $q0; - add_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $q0; - add_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + add_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $q0; + add_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // @@ -429,8 +429,8 @@ add_zero_pp_f32x4 $q0, $q0, $q0; add_up_pp_f32x4 $q0, $q0, $q0; add_down_pp_f32x4 $q0, $q0, $q0; - add_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $q0; - add_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + add_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $q0; + add_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -444,8 +444,8 @@ add_zero_pp_f64x2 $q0, $q0, $q0; add_up_pp_f64x2 $q0, $q0, $q0; add_down_pp_f64x2 $q0, $q0, $q0; - add_pp_f64x2 $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71), $q0; - add_pp_f64x2 $q0, $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71); + add_pp_f64x2 $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b), $q0; + add_pp_f64x2 $q0, $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b); // // Next sample // @@ -530,8 +530,8 @@ sub_ps_sat_u8x4 $s0, $s0, $s0; sub_sp_sat_u8x4 $s0, $s0, $s0; sub_ss_sat_u8x4 $s0, $s0, $s0; - sub_pp_u8x4 $s0, u8x4(255,255,255,255), $s0; - sub_pp_u8x4 $s0, $s0, u8x4(255,255,255,255); + sub_pp_u8x4 $s0, u8x4(0,0,0,0), $s0; + sub_pp_u8x4 $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -601,8 +601,8 @@ sub_ps_sat_u8x8 $d0, $d0, $d0; sub_sp_sat_u8x8 $d0, $d0, $d0; sub_ss_sat_u8x8 $d0, $d0, $d0; - sub_pp_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0; - sub_pp_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255); + sub_pp_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0; + sub_pp_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -715,8 +715,8 @@ sub_ps_sat_u8x16 $q0, $q0, $q0; sub_sp_sat_u8x16 $q0, $q0, $q0; sub_ss_sat_u8x16 $q0, $q0, $q0; - sub_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $q0; - sub_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + sub_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0; + sub_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // @@ -729,8 +729,8 @@ sub_ps_sat_u16x8 $q0, $q0, $q0; sub_sp_sat_u16x8 $q0, $q0, $q0; sub_ss_sat_u16x8 $q0, $q0, $q0; - sub_pp_u16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - sub_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + sub_pp_u16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $q0; + sub_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -743,8 +743,8 @@ sub_ps_sat_u32x4 $q0, $q0, $q0; sub_sp_sat_u32x4 $q0, $q0, $q0; sub_ss_sat_u32x4 $q0, $q0, $q0; - sub_pp_u32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - sub_pp_u32x4 $q0, $q0, u32x4(0,0,0x6bf037ae,0x325f1c71); + sub_pp_u32x4 $q0, u32x4(0,0,0,0), $q0; + sub_pp_u32x4 $q0, $q0, u32x4(0,0,0,0); // // Next sample // @@ -771,8 +771,8 @@ sub_ps_sat_s8x16 $q0, $q0, $q0; sub_sp_sat_s8x16 $q0, $q0, $q0; sub_ss_sat_s8x16 $q0, $q0, $q0; - sub_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $q0; - sub_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113); + sub_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $q0; + sub_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0); // // Next sample // @@ -785,8 +785,8 @@ sub_ps_sat_s16x8 $q0, $q0, $q0; sub_sp_sat_s16x8 $q0, $q0, $q0; sub_ss_sat_s16x8 $q0, $q0, $q0; - sub_pp_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - sub_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + sub_pp_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0), $q0; + sub_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -799,8 +799,8 @@ sub_ps_sat_s32x4 $q0, $q0, $q0; sub_sp_sat_s32x4 $q0, $q0, $q0; sub_ss_sat_s32x4 $q0, $q0, $q0; - sub_pp_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - sub_pp_s32x4 $q0, $q0, s32x4(0,0,0x6bf037ae,0x325f1c71); + sub_pp_s32x4 $q0, s32x4(0,0,0,0), $q0; + sub_pp_s32x4 $q0, $q0, s32x4(0,0,0,0); // // Next sample // @@ -828,8 +828,8 @@ sub_zero_pp_f16x8 $q0, $q0, $q0; sub_up_pp_f16x8 $q0, $q0, $q0; sub_down_pp_f16x8 $q0, $q0, $q0; - sub_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $q0; - sub_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + sub_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $q0; + sub_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // @@ -843,8 +843,8 @@ sub_zero_pp_f32x4 $q0, $q0, $q0; sub_up_pp_f32x4 $q0, $q0, $q0; sub_down_pp_f32x4 $q0, $q0, $q0; - sub_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $q0; - sub_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + sub_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $q0; + sub_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -858,8 +858,8 @@ sub_zero_pp_f64x2 $q0, $q0, $q0; sub_up_pp_f64x2 $q0, $q0, $q0; sub_down_pp_f64x2 $q0, $q0, $q0; - sub_pp_f64x2 $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71), $q0; - sub_pp_f64x2 $q0, $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71); + sub_pp_f64x2 $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b), $q0; + sub_pp_f64x2 $q0, $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b); // // Next sample // @@ -928,8 +928,8 @@ max_ps_u8x4 $s0, $s0, $s0; max_sp_u8x4 $s0, $s0, $s0; max_ss_u8x4 $s0, $s0, $s0; - max_pp_u8x4 $s0, u8x4(255,255,255,255), $s0; - max_pp_u8x4 $s0, $s0, u8x4(255,255,255,255); + max_pp_u8x4 $s0, u8x4(0,0,0,0), $s0; + max_pp_u8x4 $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -979,8 +979,8 @@ max_ps_u8x8 $d0, $d0, $d0; max_sp_u8x8 $d0, $d0, $d0; max_ss_u8x8 $d0, $d0, $d0; - max_pp_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0; - max_pp_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255); + max_pp_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0; + max_pp_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -1061,8 +1061,8 @@ max_ps_u8x16 $q0, $q0, $q0; max_sp_u8x16 $q0, $q0, $q0; max_ss_u8x16 $q0, $q0, $q0; - max_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $q0; - max_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + max_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0; + max_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // @@ -1071,8 +1071,8 @@ max_ps_u16x8 $q0, $q0, $q0; max_sp_u16x8 $q0, $q0, $q0; max_ss_u16x8 $q0, $q0, $q0; - max_pp_u16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - max_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + max_pp_u16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $q0; + max_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -1081,8 +1081,8 @@ max_ps_u32x4 $q0, $q0, $q0; max_sp_u32x4 $q0, $q0, $q0; max_ss_u32x4 $q0, $q0, $q0; - max_pp_u32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - max_pp_u32x4 $q0, $q0, u32x4(0,0,0x6bf037ae,0x325f1c71); + max_pp_u32x4 $q0, u32x4(0,0,0,0), $q0; + max_pp_u32x4 $q0, $q0, u32x4(0,0,0,0); // // Next sample // @@ -1101,8 +1101,8 @@ max_ps_s8x16 $q0, $q0, $q0; max_sp_s8x16 $q0, $q0, $q0; max_ss_s8x16 $q0, $q0, $q0; - max_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $q0; - max_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113); + max_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $q0; + max_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0); // // Next sample // @@ -1111,8 +1111,8 @@ max_ps_s16x8 $q0, $q0, $q0; max_sp_s16x8 $q0, $q0, $q0; max_ss_s16x8 $q0, $q0, $q0; - max_pp_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - max_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + max_pp_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0), $q0; + max_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -1121,8 +1121,8 @@ max_ps_s32x4 $q0, $q0, $q0; max_sp_s32x4 $q0, $q0, $q0; max_ss_s32x4 $q0, $q0, $q0; - max_pp_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - max_pp_s32x4 $q0, $q0, s32x4(0,0,0x6bf037ae,0x325f1c71); + max_pp_s32x4 $q0, s32x4(0,0,0,0), $q0; + max_pp_s32x4 $q0, $q0, s32x4(0,0,0,0); // // Next sample // @@ -1142,8 +1142,8 @@ max_ps_f16x8 $q0, $q0, $q0; max_sp_f16x8 $q0, $q0, $q0; max_ss_f16x8 $q0, $q0, $q0; - max_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $q0; - max_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + max_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $q0; + max_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // @@ -1153,8 +1153,8 @@ max_ps_f32x4 $q0, $q0, $q0; max_sp_f32x4 $q0, $q0, $q0; max_ss_f32x4 $q0, $q0, $q0; - max_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $q0; - max_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + max_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $q0; + max_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -1164,8 +1164,8 @@ max_ps_f64x2 $q0, $q0, $q0; max_sp_f64x2 $q0, $q0, $q0; max_ss_f64x2 $q0, $q0, $q0; - max_pp_f64x2 $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71), $q0; - max_pp_f64x2 $q0, $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71); + max_pp_f64x2 $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b), $q0; + max_pp_f64x2 $q0, $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b); // // Next sample // @@ -1234,8 +1234,8 @@ min_ps_u8x4 $s0, $s0, $s0; min_sp_u8x4 $s0, $s0, $s0; min_ss_u8x4 $s0, $s0, $s0; - min_pp_u8x4 $s0, u8x4(255,255,255,255), $s0; - min_pp_u8x4 $s0, $s0, u8x4(255,255,255,255); + min_pp_u8x4 $s0, u8x4(0,0,0,0), $s0; + min_pp_u8x4 $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -1285,8 +1285,8 @@ min_ps_u8x8 $d0, $d0, $d0; min_sp_u8x8 $d0, $d0, $d0; min_ss_u8x8 $d0, $d0, $d0; - min_pp_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0; - min_pp_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255); + min_pp_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0; + min_pp_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -1367,8 +1367,8 @@ min_ps_u8x16 $q0, $q0, $q0; min_sp_u8x16 $q0, $q0, $q0; min_ss_u8x16 $q0, $q0, $q0; - min_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $q0; - min_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + min_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0; + min_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // @@ -1377,8 +1377,8 @@ min_ps_u16x8 $q0, $q0, $q0; min_sp_u16x8 $q0, $q0, $q0; min_ss_u16x8 $q0, $q0, $q0; - min_pp_u16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - min_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + min_pp_u16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $q0; + min_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -1387,8 +1387,8 @@ min_ps_u32x4 $q0, $q0, $q0; min_sp_u32x4 $q0, $q0, $q0; min_ss_u32x4 $q0, $q0, $q0; - min_pp_u32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - min_pp_u32x4 $q0, $q0, u32x4(0,0,0x6bf037ae,0x325f1c71); + min_pp_u32x4 $q0, u32x4(0,0,0,0), $q0; + min_pp_u32x4 $q0, $q0, u32x4(0,0,0,0); // // Next sample // @@ -1407,8 +1407,8 @@ min_ps_s8x16 $q0, $q0, $q0; min_sp_s8x16 $q0, $q0, $q0; min_ss_s8x16 $q0, $q0, $q0; - min_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $q0; - min_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113); + min_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $q0; + min_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0); // // Next sample // @@ -1417,8 +1417,8 @@ min_ps_s16x8 $q0, $q0, $q0; min_sp_s16x8 $q0, $q0, $q0; min_ss_s16x8 $q0, $q0, $q0; - min_pp_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - min_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + min_pp_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0), $q0; + min_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -1427,8 +1427,8 @@ min_ps_s32x4 $q0, $q0, $q0; min_sp_s32x4 $q0, $q0, $q0; min_ss_s32x4 $q0, $q0, $q0; - min_pp_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - min_pp_s32x4 $q0, $q0, s32x4(0,0,0x6bf037ae,0x325f1c71); + min_pp_s32x4 $q0, s32x4(0,0,0,0), $q0; + min_pp_s32x4 $q0, $q0, s32x4(0,0,0,0); // // Next sample // @@ -1448,8 +1448,8 @@ min_ps_f16x8 $q0, $q0, $q0; min_sp_f16x8 $q0, $q0, $q0; min_ss_f16x8 $q0, $q0, $q0; - min_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $q0; - min_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + min_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $q0; + min_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // @@ -1459,8 +1459,8 @@ min_ps_f32x4 $q0, $q0, $q0; min_sp_f32x4 $q0, $q0, $q0; min_ss_f32x4 $q0, $q0, $q0; - min_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $q0; - min_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + min_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $q0; + min_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -1470,8 +1470,8 @@ min_ps_f64x2 $q0, $q0, $q0; min_sp_f64x2 $q0, $q0, $q0; min_ss_f64x2 $q0, $q0, $q0; - min_pp_f64x2 $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71), $q0; - min_pp_f64x2 $q0, $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71); + min_pp_f64x2 $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b), $q0; + min_pp_f64x2 $q0, $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b); // // Next sample // @@ -1556,8 +1556,8 @@ mul_ps_sat_u8x4 $s0, $s0, $s0; mul_sp_sat_u8x4 $s0, $s0, $s0; mul_ss_sat_u8x4 $s0, $s0, $s0; - mul_pp_u8x4 $s0, u8x4(255,255,255,255), $s0; - mul_pp_u8x4 $s0, $s0, u8x4(255,255,255,255); + mul_pp_u8x4 $s0, u8x4(0,0,0,0), $s0; + mul_pp_u8x4 $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -1627,8 +1627,8 @@ mul_ps_sat_u8x8 $d0, $d0, $d0; mul_sp_sat_u8x8 $d0, $d0, $d0; mul_ss_sat_u8x8 $d0, $d0, $d0; - mul_pp_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0; - mul_pp_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255); + mul_pp_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0; + mul_pp_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -1741,8 +1741,8 @@ mul_ps_sat_u8x16 $q0, $q0, $q0; mul_sp_sat_u8x16 $q0, $q0, $q0; mul_ss_sat_u8x16 $q0, $q0, $q0; - mul_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $q0; - mul_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + mul_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0; + mul_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // @@ -1755,8 +1755,8 @@ mul_ps_sat_u16x8 $q0, $q0, $q0; mul_sp_sat_u16x8 $q0, $q0, $q0; mul_ss_sat_u16x8 $q0, $q0, $q0; - mul_pp_u16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - mul_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + mul_pp_u16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $q0; + mul_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -1769,8 +1769,8 @@ mul_ps_sat_u32x4 $q0, $q0, $q0; mul_sp_sat_u32x4 $q0, $q0, $q0; mul_ss_sat_u32x4 $q0, $q0, $q0; - mul_pp_u32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - mul_pp_u32x4 $q0, $q0, u32x4(0,0,0x6bf037ae,0x325f1c71); + mul_pp_u32x4 $q0, u32x4(0,0,0,0), $q0; + mul_pp_u32x4 $q0, $q0, u32x4(0,0,0,0); // // Next sample // @@ -1797,8 +1797,8 @@ mul_ps_sat_s8x16 $q0, $q0, $q0; mul_sp_sat_s8x16 $q0, $q0, $q0; mul_ss_sat_s8x16 $q0, $q0, $q0; - mul_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $q0; - mul_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113); + mul_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $q0; + mul_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0); // // Next sample // @@ -1811,8 +1811,8 @@ mul_ps_sat_s16x8 $q0, $q0, $q0; mul_sp_sat_s16x8 $q0, $q0, $q0; mul_ss_sat_s16x8 $q0, $q0, $q0; - mul_pp_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - mul_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + mul_pp_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0), $q0; + mul_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -1825,8 +1825,8 @@ mul_ps_sat_s32x4 $q0, $q0, $q0; mul_sp_sat_s32x4 $q0, $q0, $q0; mul_ss_sat_s32x4 $q0, $q0, $q0; - mul_pp_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - mul_pp_s32x4 $q0, $q0, s32x4(0,0,0x6bf037ae,0x325f1c71); + mul_pp_s32x4 $q0, s32x4(0,0,0,0), $q0; + mul_pp_s32x4 $q0, $q0, s32x4(0,0,0,0); // // Next sample // @@ -1854,8 +1854,8 @@ mul_zero_pp_f16x8 $q0, $q0, $q0; mul_up_pp_f16x8 $q0, $q0, $q0; mul_down_pp_f16x8 $q0, $q0, $q0; - mul_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $q0; - mul_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + mul_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $q0; + mul_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // @@ -1869,8 +1869,8 @@ mul_zero_pp_f32x4 $q0, $q0, $q0; mul_up_pp_f32x4 $q0, $q0, $q0; mul_down_pp_f32x4 $q0, $q0, $q0; - mul_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $q0; - mul_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + mul_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $q0; + mul_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -1884,8 +1884,8 @@ mul_zero_pp_f64x2 $q0, $q0, $q0; mul_up_pp_f64x2 $q0, $q0, $q0; mul_down_pp_f64x2 $q0, $q0, $q0; - mul_pp_f64x2 $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71), $q0; - mul_pp_f64x2 $q0, $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71); + mul_pp_f64x2 $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b), $q0; + mul_pp_f64x2 $q0, $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b); // // Next sample // @@ -1930,8 +1930,8 @@ mulhi_ps_u8x4 $s0, $s0, $s0; mulhi_sp_u8x4 $s0, $s0, $s0; mulhi_ss_u8x4 $s0, $s0, $s0; - mulhi_pp_u8x4 $s0, u8x4(255,255,255,255), $s0; - mulhi_pp_u8x4 $s0, $s0, u8x4(255,255,255,255); + mulhi_pp_u8x4 $s0, u8x4(0,0,0,0), $s0; + mulhi_pp_u8x4 $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -1970,8 +1970,8 @@ mulhi_ps_u8x8 $d0, $d0, $d0; mulhi_sp_u8x8 $d0, $d0, $d0; mulhi_ss_u8x8 $d0, $d0, $d0; - mulhi_pp_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0; - mulhi_pp_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255); + mulhi_pp_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0; + mulhi_pp_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -2030,8 +2030,8 @@ mulhi_ps_u8x16 $q0, $q0, $q0; mulhi_sp_u8x16 $q0, $q0, $q0; mulhi_ss_u8x16 $q0, $q0, $q0; - mulhi_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $q0; - mulhi_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + mulhi_pp_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0; + mulhi_pp_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // @@ -2040,8 +2040,8 @@ mulhi_ps_u16x8 $q0, $q0, $q0; mulhi_sp_u16x8 $q0, $q0, $q0; mulhi_ss_u16x8 $q0, $q0, $q0; - mulhi_pp_u16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - mulhi_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + mulhi_pp_u16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $q0; + mulhi_pp_u16x8 $q0, $q0, u16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -2050,8 +2050,8 @@ mulhi_ps_u32x4 $q0, $q0, $q0; mulhi_sp_u32x4 $q0, $q0, $q0; mulhi_ss_u32x4 $q0, $q0, $q0; - mulhi_pp_u32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - mulhi_pp_u32x4 $q0, $q0, u32x4(0,0,0x6bf037ae,0x325f1c71); + mulhi_pp_u32x4 $q0, u32x4(0,0,0,0), $q0; + mulhi_pp_u32x4 $q0, $q0, u32x4(0,0,0,0); // // Next sample // @@ -2070,8 +2070,8 @@ mulhi_ps_s8x16 $q0, $q0, $q0; mulhi_sp_s8x16 $q0, $q0, $q0; mulhi_ss_s8x16 $q0, $q0, $q0; - mulhi_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $q0; - mulhi_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113); + mulhi_pp_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $q0; + mulhi_pp_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0); // // Next sample // @@ -2080,8 +2080,8 @@ mulhi_ps_s16x8 $q0, $q0, $q0; mulhi_sp_s16x8 $q0, $q0, $q0; mulhi_ss_s16x8 $q0, $q0, $q0; - mulhi_pp_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - mulhi_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + mulhi_pp_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0), $q0; + mulhi_pp_s16x8 $q0, $q0, s16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -2090,8 +2090,8 @@ mulhi_ps_s32x4 $q0, $q0, $q0; mulhi_sp_s32x4 $q0, $q0, $q0; mulhi_ss_s32x4 $q0, $q0, $q0; - mulhi_pp_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - mulhi_pp_s32x4 $q0, $q0, s32x4(0,0,0x6bf037ae,0x325f1c71); + mulhi_pp_s32x4 $q0, s32x4(0,0,0,0), $q0; + mulhi_pp_s32x4 $q0, $q0, s32x4(0,0,0,0); // // Next sample // @@ -2232,8 +2232,8 @@ div_zero_pp_f16x8 $q0, $q0, $q0; div_up_pp_f16x8 $q0, $q0, $q0; div_down_pp_f16x8 $q0, $q0, $q0; - div_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $q0; - div_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + div_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $q0; + div_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // @@ -2247,8 +2247,8 @@ div_zero_pp_f32x4 $q0, $q0, $q0; div_up_pp_f32x4 $q0, $q0, $q0; div_down_pp_f32x4 $q0, $q0, $q0; - div_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $q0; - div_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + div_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $q0; + div_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -2262,8 +2262,8 @@ div_zero_pp_f64x2 $q0, $q0, $q0; div_up_pp_f64x2 $q0, $q0, $q0; div_down_pp_f64x2 $q0, $q0, $q0; - div_pp_f64x2 $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71), $q0; - div_pp_f64x2 $q0, $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71); + div_pp_f64x2 $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b), $q0; + div_pp_f64x2 $q0, $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b); // // Next sample // @@ -2466,21 +2466,21 @@ neg_s_s8x16 $q0, $q0; // neg_p_s8x16 $q0, $q0; - neg_s_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113); + neg_s_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0); // // Next sample // neg_s_s16x8 $q0, $q0; // neg_p_s16x8 $q0, $q0; - neg_s_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + neg_s_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0); // // Next sample // neg_s_s32x4 $q0, $q0; // neg_p_s32x4 $q0, $q0; - neg_s_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71); + neg_s_s32x4 $q0, s32x4(0,0,0,0); // // Next sample // @@ -2494,21 +2494,21 @@ neg_s_f16x8 $q0, $q0; // neg_p_f16x8 $q0, $q0; - neg_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + neg_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // neg_s_f32x4 $q0, $q0; // neg_p_f32x4 $q0, $q0; - neg_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + neg_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // neg_s_f64x2 $q0, $q0; // neg_p_f64x2 $q0, $q0; - neg_s_f64x2 $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71); + neg_s_f64x2 $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b); // // Next sample // @@ -2603,21 +2603,21 @@ abs_s_s8x16 $q0, $q0; // abs_p_s8x16 $q0, $q0; - abs_s_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113); + abs_s_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0); // // Next sample // abs_s_s16x8 $q0, $q0; // abs_p_s16x8 $q0, $q0; - abs_s_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + abs_s_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0); // // Next sample // abs_s_s32x4 $q0, $q0; // abs_p_s32x4 $q0, $q0; - abs_s_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71); + abs_s_s32x4 $q0, s32x4(0,0,0,0); // // Next sample // @@ -2631,21 +2631,21 @@ abs_s_f16x8 $q0, $q0; // abs_p_f16x8 $q0, $q0; - abs_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + abs_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // abs_s_f32x4 $q0, $q0; // abs_p_f32x4 $q0, $q0; - abs_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + abs_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // abs_s_f64x2 $q0, $q0; // abs_p_f64x2 $q0, $q0; - abs_s_f64x2 $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71); + abs_s_f64x2 $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b); // // Next sample // @@ -2705,8 +2705,8 @@ copysign_ps_f16x8 $q0, $q0, $q0; copysign_sp_f16x8 $q0, $q0, $q0; copysign_ss_f16x8 $q0, $q0, $q0; - copysign_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $q0; - copysign_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + copysign_pp_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $q0; + copysign_pp_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // @@ -2715,8 +2715,8 @@ copysign_ps_f32x4 $q0, $q0, $q0; copysign_sp_f32x4 $q0, $q0, $q0; copysign_ss_f32x4 $q0, $q0, $q0; - copysign_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $q0; - copysign_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + copysign_pp_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $q0; + copysign_pp_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -2725,8 +2725,8 @@ copysign_ps_f64x2 $q0, $q0, $q0; copysign_sp_f64x2 $q0, $q0, $q0; copysign_ss_f64x2 $q0, $q0, $q0; - copysign_pp_f64x2 $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71), $q0; - copysign_pp_f64x2 $q0, $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71); + copysign_pp_f64x2 $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b), $q0; + copysign_pp_f64x2 $q0, $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b); // // Next sample // @@ -2802,7 +2802,7 @@ fract_s_f16x8 $q0, $q0; // fract_p_f16x8 $q0, $q0; - fract_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + fract_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); fract_ftz_s_f16x8 $q0, $q0; fract_near_s_f16x8 $q0, $q0; fract_zero_s_f16x8 $q0, $q0; @@ -2814,7 +2814,7 @@ fract_s_f32x4 $q0, $q0; // fract_p_f32x4 $q0, $q0; - fract_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + fract_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); fract_ftz_s_f32x4 $q0, $q0; fract_near_s_f32x4 $q0, $q0; fract_zero_s_f32x4 $q0, $q0; @@ -2826,7 +2826,7 @@ fract_s_f64x2 $q0, $q0; // fract_p_f64x2 $q0, $q0; - fract_s_f64x2 $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71); + fract_s_f64x2 $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b); fract_ftz_s_f64x2 $q0, $q0; fract_near_s_f64x2 $q0, $q0; fract_zero_s_f64x2 $q0, $q0; @@ -2907,7 +2907,7 @@ sqrt_s_f16x8 $q0, $q0; // sqrt_p_f16x8 $q0, $q0; - sqrt_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + sqrt_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); sqrt_ftz_s_f16x8 $q0, $q0; sqrt_near_s_f16x8 $q0, $q0; sqrt_zero_s_f16x8 $q0, $q0; @@ -2919,7 +2919,7 @@ sqrt_s_f32x4 $q0, $q0; // sqrt_p_f32x4 $q0, $q0; - sqrt_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + sqrt_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); sqrt_ftz_s_f32x4 $q0, $q0; sqrt_near_s_f32x4 $q0, $q0; sqrt_zero_s_f32x4 $q0, $q0; @@ -2931,7 +2931,7 @@ sqrt_s_f64x2 $q0, $q0; // sqrt_p_f64x2 $q0, $q0; - sqrt_s_f64x2 $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71); + sqrt_s_f64x2 $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b); sqrt_ftz_s_f64x2 $q0, $q0; sqrt_near_s_f64x2 $q0, $q0; sqrt_zero_s_f64x2 $q0, $q0; @@ -2988,7 +2988,7 @@ ceil_s_f16x8 $q0, $q0; // ceil_p_f16x8 $q0, $q0; - ceil_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + ceil_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); ceil_ftz_s_f16x8 $q0, $q0; // // Next sample @@ -2996,7 +2996,7 @@ ceil_s_f32x4 $q0, $q0; // ceil_p_f32x4 $q0, $q0; - ceil_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + ceil_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); ceil_ftz_s_f32x4 $q0, $q0; // // Next sample @@ -3004,7 +3004,7 @@ ceil_s_f64x2 $q0, $q0; // ceil_p_f64x2 $q0, $q0; - ceil_s_f64x2 $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71); + ceil_s_f64x2 $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b); ceil_ftz_s_f64x2 $q0, $q0; // // Next sample @@ -3057,7 +3057,7 @@ floor_s_f16x8 $q0, $q0; // floor_p_f16x8 $q0, $q0; - floor_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + floor_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); floor_ftz_s_f16x8 $q0, $q0; // // Next sample @@ -3065,7 +3065,7 @@ floor_s_f32x4 $q0, $q0; // floor_p_f32x4 $q0, $q0; - floor_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + floor_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); floor_ftz_s_f32x4 $q0, $q0; // // Next sample @@ -3073,7 +3073,7 @@ floor_s_f64x2 $q0, $q0; // floor_p_f64x2 $q0, $q0; - floor_s_f64x2 $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71); + floor_s_f64x2 $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b); floor_ftz_s_f64x2 $q0, $q0; // // Next sample @@ -3126,7 +3126,7 @@ rint_s_f16x8 $q0, $q0; // rint_p_f16x8 $q0, $q0; - rint_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + rint_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); rint_ftz_s_f16x8 $q0, $q0; // // Next sample @@ -3134,7 +3134,7 @@ rint_s_f32x4 $q0, $q0; // rint_p_f32x4 $q0, $q0; - rint_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + rint_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); rint_ftz_s_f32x4 $q0, $q0; // // Next sample @@ -3142,7 +3142,7 @@ rint_s_f64x2 $q0, $q0; // rint_p_f64x2 $q0, $q0; - rint_s_f64x2 $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71); + rint_s_f64x2 $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b); rint_ftz_s_f64x2 $q0, $q0; // // Next sample @@ -3195,7 +3195,7 @@ trunc_s_f16x8 $q0, $q0; // trunc_p_f16x8 $q0, $q0; - trunc_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + trunc_s_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); trunc_ftz_s_f16x8 $q0, $q0; // // Next sample @@ -3203,7 +3203,7 @@ trunc_s_f32x4 $q0, $q0; // trunc_p_f32x4 $q0, $q0; - trunc_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + trunc_s_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); trunc_ftz_s_f32x4 $q0, $q0; // // Next sample @@ -3211,7 +3211,7 @@ trunc_s_f64x2 $q0, $q0; // trunc_p_f64x2 $q0, $q0; - trunc_s_f64x2 $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71); + trunc_s_f64x2 $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b); trunc_ftz_s_f64x2 $q0, $q0; // // Next sample @@ -3456,7 +3456,7 @@ // shl_u8x4 $s0, $s0, $s0; // - shl_u8x4 $s0, u8x4(255,255,255,255), $s0; + shl_u8x4 $s0, u8x4(0,0,0,0), $s0; shl_u8x4 $s0, $s0, -1; shl_u8x4 $s0, $s0, WAVESIZE; // @@ -3488,7 +3488,7 @@ // shl_u8x8 $d0, $d0, $s0; // - shl_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $s0; + shl_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $s0; shl_u8x8 $d0, $d0, -1; shl_u8x8 $d0, $d0, WAVESIZE; // @@ -3536,7 +3536,7 @@ // shl_u8x16 $q0, $q0, $s0; // - shl_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $s0; + shl_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $s0; shl_u8x16 $q0, $q0, -1; shl_u8x16 $q0, $q0, WAVESIZE; // @@ -3544,7 +3544,7 @@ // shl_u16x8 $q0, $q0, $s0; // - shl_u16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $s0; + shl_u16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $s0; shl_u16x8 $q0, $q0, -1; shl_u16x8 $q0, $q0, WAVESIZE; // @@ -3552,7 +3552,7 @@ // shl_u32x4 $q0, $q0, $s0; // - shl_u32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $s0; + shl_u32x4 $q0, u32x4(0,0,0,0), $s0; shl_u32x4 $q0, $q0, -1; shl_u32x4 $q0, $q0, WAVESIZE; // @@ -3568,7 +3568,7 @@ // shl_s8x16 $q0, $q0, $s0; // - shl_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $s0; + shl_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $s0; shl_s8x16 $q0, $q0, -1; shl_s8x16 $q0, $q0, WAVESIZE; // @@ -3576,7 +3576,7 @@ // shl_s16x8 $q0, $q0, $s0; // - shl_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $s0; + shl_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0), $s0; shl_s16x8 $q0, $q0, -1; shl_s16x8 $q0, $q0, WAVESIZE; // @@ -3584,7 +3584,7 @@ // shl_s32x4 $q0, $q0, $s0; // - shl_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $s0; + shl_s32x4 $q0, s32x4(0,0,0,0), $s0; shl_s32x4 $q0, $q0, -1; shl_s32x4 $q0, $q0, WAVESIZE; // @@ -3636,7 +3636,7 @@ // shr_u8x4 $s0, $s0, $s0; // - shr_u8x4 $s0, u8x4(255,255,255,255), $s0; + shr_u8x4 $s0, u8x4(0,0,0,0), $s0; shr_u8x4 $s0, $s0, -1; shr_u8x4 $s0, $s0, WAVESIZE; // @@ -3668,7 +3668,7 @@ // shr_u8x8 $d0, $d0, $s0; // - shr_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $s0; + shr_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $s0; shr_u8x8 $d0, $d0, -1; shr_u8x8 $d0, $d0, WAVESIZE; // @@ -3716,7 +3716,7 @@ // shr_u8x16 $q0, $q0, $s0; // - shr_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $s0; + shr_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $s0; shr_u8x16 $q0, $q0, -1; shr_u8x16 $q0, $q0, WAVESIZE; // @@ -3724,7 +3724,7 @@ // shr_u16x8 $q0, $q0, $s0; // - shr_u16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $s0; + shr_u16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $s0; shr_u16x8 $q0, $q0, -1; shr_u16x8 $q0, $q0, WAVESIZE; // @@ -3732,7 +3732,7 @@ // shr_u32x4 $q0, $q0, $s0; // - shr_u32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $s0; + shr_u32x4 $q0, u32x4(0,0,0,0), $s0; shr_u32x4 $q0, $q0, -1; shr_u32x4 $q0, $q0, WAVESIZE; // @@ -3748,7 +3748,7 @@ // shr_s8x16 $q0, $q0, $s0; // - shr_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $s0; + shr_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $s0; shr_s8x16 $q0, $q0, -1; shr_s8x16 $q0, $q0, WAVESIZE; // @@ -3756,7 +3756,7 @@ // shr_s16x8 $q0, $q0, $s0; // - shr_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $s0; + shr_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0), $s0; shr_s16x8 $q0, $q0, -1; shr_s16x8 $q0, $q0, WAVESIZE; // @@ -3764,7 +3764,7 @@ // shr_s32x4 $q0, $q0, $s0; // - shr_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $s0; + shr_s32x4 $q0, s32x4(0,0,0,0), $s0; shr_s32x4 $q0, $q0, -1; shr_s32x4 $q0, $q0, WAVESIZE; // @@ -3780,9 +3780,9 @@ // and_b1 $c0, $c0, $c0; // - and_b1 $c0, 1, $c0; + and_b1 $c0, 0, $c0; and_b1 $c0, WAVESIZE, $c0; - and_b1 $c0, $c0, 1; + and_b1 $c0, $c0, 0; and_b1 $c0, $c0, WAVESIZE; // // Next sample @@ -3807,9 +3807,9 @@ // or_b1 $c0, $c0, $c0; // - or_b1 $c0, 1, $c0; + or_b1 $c0, 0, $c0; or_b1 $c0, WAVESIZE, $c0; - or_b1 $c0, $c0, 1; + or_b1 $c0, $c0, 0; or_b1 $c0, $c0, WAVESIZE; // // Next sample @@ -3834,9 +3834,9 @@ // xor_b1 $c0, $c0, $c0; // - xor_b1 $c0, 1, $c0; + xor_b1 $c0, 0, $c0; xor_b1 $c0, WAVESIZE, $c0; - xor_b1 $c0, $c0, 1; + xor_b1 $c0, $c0, 0; xor_b1 $c0, $c0, WAVESIZE; // // Next sample @@ -3861,7 +3861,7 @@ // not_b1 $c0, $c0; // - not_b1 $c0, 1; + not_b1 $c0, 0; not_b1 $c0, WAVESIZE; // // Next sample @@ -4133,13 +4133,13 @@ // expand_v4_b32_b128 ($s0, $s1, $s2, $s3), $q0; // - expand_v4_b32_b128 ($s0, $s1, $s2, $s3), u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + expand_v4_b32_b128 ($s0, $s1, $s2, $s3), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // expand_v2_b64_b128 ($d0, $d1), $q0; // - expand_v2_b64_b128 ($d0, $d1), u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + expand_v2_b64_b128 ($d0, $d1), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // @@ -4191,7 +4191,7 @@ // mov_b1 $c0, $c0; // - mov_b1 $c0, 1; + mov_b1 $c0, 0; mov_b1 $c0, WAVESIZE; // // Next sample @@ -4212,7 +4212,7 @@ // mov_b128 $q0, $q0; // - mov_b128 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + mov_b128 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // @@ -4283,8 +4283,8 @@ // shuffle_u8x4 $s0, $s0, $s0, -1; // - shuffle_u8x4 $s0, u8x4(255,255,255,255), $s0, -1; - shuffle_u8x4 $s0, $s0, u8x4(255,255,255,255), -1; + shuffle_u8x4 $s0, u8x4(0,0,0,0), $s0, -1; + shuffle_u8x4 $s0, $s0, u8x4(0,0,0,0), -1; // // Next sample // @@ -4318,8 +4318,8 @@ // shuffle_u8x8 $d0, $d0, $d0, -1; // - shuffle_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0, -1; - shuffle_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255), -1; + shuffle_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0, -1; + shuffle_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0), -1; // // Next sample // @@ -4374,8 +4374,8 @@ // unpacklo_u8x4 $s0, $s0, $s0; // - unpacklo_u8x4 $s0, u8x4(255,255,255,255), $s0; - unpacklo_u8x4 $s0, $s0, u8x4(255,255,255,255); + unpacklo_u8x4 $s0, u8x4(0,0,0,0), $s0; + unpacklo_u8x4 $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -4409,8 +4409,8 @@ // unpacklo_u8x8 $d0, $d0, $d0; // - unpacklo_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0; - unpacklo_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255); + unpacklo_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0; + unpacklo_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -4465,8 +4465,8 @@ // unpackhi_u8x4 $s0, $s0, $s0; // - unpackhi_u8x4 $s0, u8x4(255,255,255,255), $s0; - unpackhi_u8x4 $s0, $s0, u8x4(255,255,255,255); + unpackhi_u8x4 $s0, u8x4(0,0,0,0), $s0; + unpackhi_u8x4 $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -4500,8 +4500,8 @@ // unpackhi_u8x8 $d0, $d0, $d0; // - unpackhi_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0; - unpackhi_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255); + unpackhi_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0; + unpackhi_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -4556,7 +4556,7 @@ // pack_u8x4_u32 $s0, $s0, $s0, $s0; // - pack_u8x4_u32 $s0, u8x4(255,255,255,255), $s0, $s0; + pack_u8x4_u32 $s0, u8x4(0,0,0,0), $s0, $s0; pack_u8x4_u32 $s0, $s0, -1, $s0; pack_u8x4_u32 $s0, $s0, WAVESIZE, $s0; pack_u8x4_u32 $s0, $s0, $s0, -1; @@ -4576,7 +4576,7 @@ // pack_u8x8_u32 $d0, $d0, $s0, $s0; // - pack_u8x8_u32 $d0, u8x8(255,255,255,255,255,255,255,255), $s0, $s0; + pack_u8x8_u32 $d0, u8x8(0,0,0,0,0,0,0,0), $s0, $s0; pack_u8x8_u32 $d0, $d0, -1, $s0; pack_u8x8_u32 $d0, $d0, WAVESIZE, $s0; pack_u8x8_u32 $d0, $d0, $s0, -1; @@ -4606,7 +4606,7 @@ // pack_u8x16_u32 $q0, $q0, $s0, $s0; // - pack_u8x16_u32 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $s0, $s0; + pack_u8x16_u32 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $s0, $s0; pack_u8x16_u32 $q0, $q0, -1, $s0; pack_u8x16_u32 $q0, $q0, WAVESIZE, $s0; pack_u8x16_u32 $q0, $q0, $s0, -1; @@ -4616,7 +4616,7 @@ // pack_u16x8_u32 $q0, $q0, $s0, $s0; // - pack_u16x8_u32 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $s0, $s0; + pack_u16x8_u32 $q0, u16x8(0,0,0,0,0,0,0,0), $s0, $s0; pack_u16x8_u32 $q0, $q0, -1, $s0; pack_u16x8_u32 $q0, $q0, WAVESIZE, $s0; pack_u16x8_u32 $q0, $q0, $s0, -1; @@ -4626,7 +4626,7 @@ // pack_u32x4_u32 $q0, $q0, $s0, $s0; // - pack_u32x4_u32 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $s0, $s0; + pack_u32x4_u32 $q0, u32x4(0,0,0,0), $s0, $s0; pack_u32x4_u32 $q0, $q0, -1, $s0; pack_u32x4_u32 $q0, $q0, WAVESIZE, $s0; pack_u32x4_u32 $q0, $q0, $s0, -1; @@ -4696,7 +4696,7 @@ // pack_s8x16_s32 $q0, $q0, $s0, $s0; // - pack_s8x16_s32 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $s0, $s0; + pack_s8x16_s32 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $s0, $s0; pack_s8x16_s32 $q0, $q0, -1, $s0; pack_s8x16_s32 $q0, $q0, WAVESIZE, $s0; pack_s8x16_s32 $q0, $q0, $s0, -1; @@ -4706,7 +4706,7 @@ // pack_s16x8_s32 $q0, $q0, $s0, $s0; // - pack_s16x8_s32 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $s0, $s0; + pack_s16x8_s32 $q0, s16x8(0,0,0,0,0,0,0,0), $s0, $s0; pack_s16x8_s32 $q0, $q0, -1, $s0; pack_s16x8_s32 $q0, $q0, WAVESIZE, $s0; pack_s16x8_s32 $q0, $q0, $s0, -1; @@ -4716,7 +4716,7 @@ // pack_s32x4_s32 $q0, $q0, $s0, $s0; // - pack_s32x4_s32 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $s0, $s0; + pack_s32x4_s32 $q0, s32x4(0,0,0,0), $s0, $s0; pack_s32x4_s32 $q0, $q0, -1, $s0; pack_s32x4_s32 $q0, $q0, WAVESIZE, $s0; pack_s32x4_s32 $q0, $q0, $s0, -1; @@ -4754,7 +4754,7 @@ // pack_f16x8_f16 $q0, $q0, $s0, $s0; // - pack_f16x8_f16 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $s0, $s0; + pack_f16x8_f16 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $s0, $s0; pack_f16x8_f16 $q0, $q0, 0Hffff, $s0; pack_f16x8_f16 $q0, $q0, $s0, -1; pack_f16x8_f16 $q0, $q0, $s0, WAVESIZE; @@ -4772,7 +4772,7 @@ // pack_f32x4_f32 $q0, $q0, $s0, $s0; // - pack_f32x4_f32 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $s0, $s0; + pack_f32x4_f32 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $s0, $s0; pack_f32x4_f32 $q0, $q0, 0Fffffffff, $s0; pack_f32x4_f32 $q0, $q0, $s0, -1; pack_f32x4_f32 $q0, $q0, $s0, WAVESIZE; @@ -4781,7 +4781,7 @@ // pack_f64x2_f64 $q0, $q0, $d0, $s0; // - pack_f64x2_f64 $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71), $d0, $s0; + pack_f64x2_f64 $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b), $d0, $s0; pack_f64x2_f64 $q0, $q0, 0Dffffffffffffffff, $s0; pack_f64x2_f64 $q0, $q0, $d0, -1; pack_f64x2_f64 $q0, $q0, $d0, WAVESIZE; @@ -4790,7 +4790,7 @@ // unpack_u32_u8x4 $s0, $s0, $s0; // - unpack_u32_u8x4 $s0, u8x4(255,255,255,255), $s0; + unpack_u32_u8x4 $s0, u8x4(0,0,0,0), $s0; unpack_u32_u8x4 $s0, $s0, -1; unpack_u32_u8x4 $s0, $s0, WAVESIZE; // @@ -4830,7 +4830,7 @@ // unpack_u32_u8x8 $s0, $d0, $s0; // - unpack_u32_u8x8 $s0, u8x8(255,255,255,255,255,255,255,255), $s0; + unpack_u32_u8x8 $s0, u8x8(0,0,0,0,0,0,0,0), $s0; unpack_u32_u8x8 $s0, $d0, -1; unpack_u32_u8x8 $s0, $d0, WAVESIZE; // @@ -4894,7 +4894,7 @@ // unpack_u32_u8x16 $s0, $q0, $s0; // - unpack_u32_u8x16 $s0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $s0; + unpack_u32_u8x16 $s0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $s0; unpack_u32_u8x16 $s0, $q0, -1; unpack_u32_u8x16 $s0, $q0, WAVESIZE; // @@ -4902,7 +4902,7 @@ // unpack_u32_u16x8 $s0, $q0, $s0; // - unpack_u32_u16x8 $s0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $s0; + unpack_u32_u16x8 $s0, u16x8(0,0,0,0,0,0,0,0), $s0; unpack_u32_u16x8 $s0, $q0, -1; unpack_u32_u16x8 $s0, $q0, WAVESIZE; // @@ -4910,7 +4910,7 @@ // unpack_u32_u32x4 $s0, $q0, $s0; // - unpack_u32_u32x4 $s0, u32x4(0,0,0x6bf037ae,0x325f1c71), $s0; + unpack_u32_u32x4 $s0, u32x4(0,0,0,0), $s0; unpack_u32_u32x4 $s0, $q0, -1; unpack_u32_u32x4 $s0, $q0, WAVESIZE; // @@ -4926,7 +4926,7 @@ // unpack_s32_s8x16 $s0, $q0, $s0; // - unpack_s32_s8x16 $s0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $s0; + unpack_s32_s8x16 $s0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $s0; unpack_s32_s8x16 $s0, $q0, -1; unpack_s32_s8x16 $s0, $q0, WAVESIZE; // @@ -4934,7 +4934,7 @@ // unpack_s32_s16x8 $s0, $q0, $s0; // - unpack_s32_s16x8 $s0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $s0; + unpack_s32_s16x8 $s0, s16x8(0,0,0,0,0,0,0,0), $s0; unpack_s32_s16x8 $s0, $q0, -1; unpack_s32_s16x8 $s0, $q0, WAVESIZE; // @@ -4942,7 +4942,7 @@ // unpack_s32_s32x4 $s0, $q0, $s0; // - unpack_s32_s32x4 $s0, s32x4(0,0,0x6bf037ae,0x325f1c71), $s0; + unpack_s32_s32x4 $s0, s32x4(0,0,0,0), $s0; unpack_s32_s32x4 $s0, $q0, -1; unpack_s32_s32x4 $s0, $q0, WAVESIZE; // @@ -4958,7 +4958,7 @@ // unpack_f16_f16x8 $s0, $q0, $s0; // - unpack_f16_f16x8 $s0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $s0; + unpack_f16_f16x8 $s0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $s0; unpack_f16_f16x8 $s0, $q0, -1; unpack_f16_f16x8 $s0, $q0, WAVESIZE; // @@ -4966,7 +4966,7 @@ // unpack_f32_f32x4 $s0, $q0, $s0; // - unpack_f32_f32x4 $s0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $s0; + unpack_f32_f32x4 $s0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $s0; unpack_f32_f32x4 $s0, $q0, -1; unpack_f32_f32x4 $s0, $q0, WAVESIZE; // @@ -4974,7 +4974,7 @@ // unpack_f64_f64x2 $d0, $q0, $s0; // - unpack_f64_f64x2 $d0, f64x2(0D0000000000000000,0D6bf037ae325f1c71), $s0; + unpack_f64_f64x2 $d0, f64x2(0D0000000000000000,0D711c5f32ae37f06b), $s0; unpack_f64_f64x2 $d0, $q0, -1; unpack_f64_f64x2 $d0, $q0, WAVESIZE; // @@ -4982,18 +4982,18 @@ // cmov_b1 $c0, $c0, $c0, $c0; // - cmov_b1 $c0, 1, $c0, $c0; + cmov_b1 $c0, 0, $c0, $c0; cmov_b1 $c0, WAVESIZE, $c0, $c0; - cmov_b1 $c0, $c0, 1, $c0; + cmov_b1 $c0, $c0, 0, $c0; cmov_b1 $c0, $c0, WAVESIZE, $c0; - cmov_b1 $c0, $c0, $c0, 1; + cmov_b1 $c0, $c0, $c0, 0; cmov_b1 $c0, $c0, $c0, WAVESIZE; // // Next sample // cmov_b32 $s0, $c0, $s0, $s0; // - cmov_b32 $s0, 1, $s0, $s0; + cmov_b32 $s0, 0, $s0, $s0; cmov_b32 $s0, WAVESIZE, $s0, $s0; cmov_b32 $s0, $c0, -1, $s0; cmov_b32 $s0, $c0, WAVESIZE, $s0; @@ -5004,7 +5004,7 @@ // cmov_b64 $d0, $c0, $d0, $d0; // - cmov_b64 $d0, 1, $d0, $d0; + cmov_b64 $d0, 0, $d0, $d0; cmov_b64 $d0, WAVESIZE, $d0, $d0; cmov_b64 $d0, $c0, -1, $d0; cmov_b64 $d0, $c0, WAVESIZE, $d0; @@ -5015,9 +5015,9 @@ // cmov_u8x4 $s0, $s0, $s0, $s0; // - cmov_u8x4 $s0, u8x4(255,255,255,255), $s0, $s0; - cmov_u8x4 $s0, $s0, u8x4(255,255,255,255), $s0; - cmov_u8x4 $s0, $s0, $s0, u8x4(255,255,255,255); + cmov_u8x4 $s0, u8x4(0,0,0,0), $s0, $s0; + cmov_u8x4 $s0, $s0, u8x4(0,0,0,0), $s0; + cmov_u8x4 $s0, $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -5031,7 +5031,7 @@ // cmov_s8x4 $s0, $s0, $s0, $s0; // - cmov_s8x4 $s0, u8x4(255,255,255,255), $s0, $s0; + cmov_s8x4 $s0, u8x4(0,0,0,0), $s0, $s0; cmov_s8x4 $s0, $s0, s8x4(-1,-1,-1,-1), $s0; cmov_s8x4 $s0, $s0, $s0, s8x4(-1,-1,-1,-1); // @@ -5055,9 +5055,9 @@ // cmov_u8x8 $d0, $d0, $d0, $d0; // - cmov_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0, $d0; - cmov_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255), $d0; - cmov_u8x8 $d0, $d0, $d0, u8x8(255,255,255,255,255,255,255,255); + cmov_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0, $d0; + cmov_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0), $d0; + cmov_u8x8 $d0, $d0, $d0, u8x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -5079,7 +5079,7 @@ // cmov_s8x8 $d0, $d0, $d0, $d0; // - cmov_s8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0, $d0; + cmov_s8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0, $d0; cmov_s8x8 $d0, $d0, s8x8(-1,-1,-1,-1,-1,-1,-1,-1), $d0; cmov_s8x8 $d0, $d0, $d0, s8x8(-1,-1,-1,-1,-1,-1,-1,-1); // @@ -5119,25 +5119,25 @@ // cmov_u8x16 $q0, $q0, $q0, $q0; // - cmov_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $q0, $q0; - cmov_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $q0; - cmov_u8x16 $q0, $q0, $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + cmov_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0, $q0; + cmov_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0; + cmov_u8x16 $q0, $q0, $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // cmov_u16x8 $q0, $q0, $q0, $q0; // - cmov_u16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0, $q0; - cmov_u16x8 $q0, $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - cmov_u16x8 $q0, $q0, $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + cmov_u16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $q0, $q0; + cmov_u16x8 $q0, $q0, u16x8(0,0,0,0,0,0,0,0), $q0; + cmov_u16x8 $q0, $q0, $q0, u16x8(0,0,0,0,0,0,0,0); // // Next sample // cmov_u32x4 $q0, $q0, $q0, $q0; // - cmov_u32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0, $q0; - cmov_u32x4 $q0, $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - cmov_u32x4 $q0, $q0, $q0, u32x4(0,0,0x6bf037ae,0x325f1c71); + cmov_u32x4 $q0, u32x4(0,0,0,0), $q0, $q0; + cmov_u32x4 $q0, $q0, u32x4(0,0,0,0), $q0; + cmov_u32x4 $q0, $q0, $q0, u32x4(0,0,0,0); // // Next sample // @@ -5151,25 +5151,25 @@ // cmov_s8x16 $q0, $q0, $q0, $q0; // - cmov_s8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $q0, $q0; - cmov_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $q0; - cmov_s8x16 $q0, $q0, $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113); + cmov_s8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0, $q0; + cmov_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $q0; + cmov_s8x16 $q0, $q0, $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0); // // Next sample // cmov_s16x8 $q0, $q0, $q0, $q0; // - cmov_s16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0, $q0; - cmov_s16x8 $q0, $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - cmov_s16x8 $q0, $q0, $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + cmov_s16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $q0, $q0; + cmov_s16x8 $q0, $q0, s16x8(0,0,0,0,0,0,0,0), $q0; + cmov_s16x8 $q0, $q0, $q0, s16x8(0,0,0,0,0,0,0,0); // // Next sample // cmov_s32x4 $q0, $q0, $q0, $q0; // - cmov_s32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0, $q0; - cmov_s32x4 $q0, $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - cmov_s32x4 $q0, $q0, $q0, s32x4(0,0,0x6bf037ae,0x325f1c71); + cmov_s32x4 $q0, u32x4(0,0,0,0), $q0, $q0; + cmov_s32x4 $q0, $q0, s32x4(0,0,0,0), $q0; + cmov_s32x4 $q0, $q0, $q0, s32x4(0,0,0,0); // // Next sample // @@ -5183,25 +5183,25 @@ // cmov_f16x8 $q0, $q0, $q0, $q0; // - cmov_f16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0, $q0; - cmov_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $q0; - cmov_f16x8 $q0, $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + cmov_f16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $q0, $q0; + cmov_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $q0; + cmov_f16x8 $q0, $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // cmov_f32x4 $q0, $q0, $q0, $q0; // - cmov_f32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0, $q0; - cmov_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $q0; - cmov_f32x4 $q0, $q0, $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + cmov_f32x4 $q0, u32x4(0,0,0,0), $q0, $q0; + cmov_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $q0; + cmov_f32x4 $q0, $q0, $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // cmov_f64x2 $q0, $q0, $q0, $q0; // cmov_f64x2 $q0, u64x2(0,0x6bf037ae325f1c71), $q0, $q0; - cmov_f64x2 $q0, $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71), $q0; - cmov_f64x2 $q0, $q0, $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71); + cmov_f64x2 $q0, $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b), $q0; + cmov_f64x2 $q0, $q0, $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b); // // Next sample // @@ -5352,9 +5352,9 @@ // lerp_u8x4 $s0, $s0, $s0, $s0; // - lerp_u8x4 $s0, u8x4(255,255,255,255), $s0, $s0; - lerp_u8x4 $s0, $s0, u8x4(255,255,255,255), $s0; - lerp_u8x4 $s0, $s0, $s0, u8x4(255,255,255,255); + lerp_u8x4 $s0, u8x4(0,0,0,0), $s0, $s0; + lerp_u8x4 $s0, $s0, u8x4(0,0,0,0), $s0; + lerp_u8x4 $s0, $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -5371,8 +5371,8 @@ // sad_u32_u8x4 $s0, $s0, $s0, $s0; // - sad_u32_u8x4 $s0, u8x4(255,255,255,255), $s0, $s0; - sad_u32_u8x4 $s0, $s0, u8x4(255,255,255,255), $s0; + sad_u32_u8x4 $s0, u8x4(0,0,0,0), $s0, $s0; + sad_u32_u8x4 $s0, $s0, u8x4(0,0,0,0), $s0; sad_u32_u8x4 $s0, $s0, $s0, -1; sad_u32_u8x4 $s0, $s0, $s0, WAVESIZE; // @@ -5389,8 +5389,8 @@ // sadhi_u16x2_u8x4 $s0, $s0, $s0, $s0; // - sadhi_u16x2_u8x4 $s0, u8x4(255,255,255,255), $s0, $s0; - sadhi_u16x2_u8x4 $s0, $s0, u8x4(255,255,255,255), $s0; + sadhi_u16x2_u8x4 $s0, u8x4(0,0,0,0), $s0, $s0; + sadhi_u16x2_u8x4 $s0, $s0, u8x4(0,0,0,0), $s0; sadhi_u16x2_u8x4 $s0, $s0, $s0, u16x2(-1,-1); // // Next sample @@ -5406,10 +5406,10 @@ // unpackcvt_f32_u8x4 $s0, $s0, 0; // - unpackcvt_f32_u8x4 $s0, u8x4(255,255,255,255), 0; - unpackcvt_f32_u8x4 $s0, $s0, 1; - unpackcvt_f32_u8x4 $s0, $s0, 2; - unpackcvt_f32_u8x4 $s0, $s0, 3; + unpackcvt_f32_u8x4 $s0, u8x4(0,0,0,0), 0; + unpackcvt_f32_u8x4 $s0, $s0, 0; + unpackcvt_f32_u8x4 $s0, $s0, 0; + unpackcvt_f32_u8x4 $s0, $s0, 0; // // Next sample // @@ -6760,9 +6760,9 @@ cmp_eq_u32_b1 $s0, $c0, $c0; // cmp_ne_u32_b1 $s0, $c0, $c0; - cmp_eq_u32_b1 $s0, 1, $c0; + cmp_eq_u32_b1 $s0, 0, $c0; cmp_eq_u32_b1 $s0, WAVESIZE, $c0; - cmp_eq_u32_b1 $s0, $c0, 1; + cmp_eq_u32_b1 $s0, $c0, 0; cmp_eq_u32_b1 $s0, $c0, WAVESIZE; // // Next sample @@ -6770,9 +6770,9 @@ cmp_eq_u64_b1 $d0, $c0, $c0; // cmp_ne_u64_b1 $d0, $c0, $c0; - cmp_eq_u64_b1 $d0, 1, $c0; + cmp_eq_u64_b1 $d0, 0, $c0; cmp_eq_u64_b1 $d0, WAVESIZE, $c0; - cmp_eq_u64_b1 $d0, $c0, 1; + cmp_eq_u64_b1 $d0, $c0, 0; cmp_eq_u64_b1 $d0, $c0, WAVESIZE; // // Next sample @@ -6780,9 +6780,9 @@ cmp_eq_s32_b1 $s0, $c0, $c0; // cmp_ne_s32_b1 $s0, $c0, $c0; - cmp_eq_s32_b1 $s0, 1, $c0; + cmp_eq_s32_b1 $s0, 0, $c0; cmp_eq_s32_b1 $s0, WAVESIZE, $c0; - cmp_eq_s32_b1 $s0, $c0, 1; + cmp_eq_s32_b1 $s0, $c0, 0; cmp_eq_s32_b1 $s0, $c0, WAVESIZE; // // Next sample @@ -6790,9 +6790,9 @@ cmp_eq_s64_b1 $d0, $c0, $c0; // cmp_ne_s64_b1 $d0, $c0, $c0; - cmp_eq_s64_b1 $d0, 1, $c0; + cmp_eq_s64_b1 $d0, 0, $c0; cmp_eq_s64_b1 $d0, WAVESIZE, $c0; - cmp_eq_s64_b1 $d0, $c0, 1; + cmp_eq_s64_b1 $d0, $c0, 0; cmp_eq_s64_b1 $d0, $c0, WAVESIZE; // // Next sample @@ -6800,9 +6800,9 @@ cmp_eq_f16_b1 $s0, $c0, $c0; // cmp_ne_f16_b1 $s0, $c0, $c0; - cmp_eq_f16_b1 $s0, 1, $c0; + cmp_eq_f16_b1 $s0, 0, $c0; cmp_eq_f16_b1 $s0, WAVESIZE, $c0; - cmp_eq_f16_b1 $s0, $c0, 1; + cmp_eq_f16_b1 $s0, $c0, 0; cmp_eq_f16_b1 $s0, $c0, WAVESIZE; // // Next sample @@ -6810,9 +6810,9 @@ cmp_eq_f32_b1 $s0, $c0, $c0; // cmp_ne_f32_b1 $s0, $c0, $c0; - cmp_eq_f32_b1 $s0, 1, $c0; + cmp_eq_f32_b1 $s0, 0, $c0; cmp_eq_f32_b1 $s0, WAVESIZE, $c0; - cmp_eq_f32_b1 $s0, $c0, 1; + cmp_eq_f32_b1 $s0, $c0, 0; cmp_eq_f32_b1 $s0, $c0, WAVESIZE; // // Next sample @@ -6820,9 +6820,9 @@ cmp_eq_f64_b1 $d0, $c0, $c0; // cmp_ne_f64_b1 $d0, $c0, $c0; - cmp_eq_f64_b1 $d0, 1, $c0; + cmp_eq_f64_b1 $d0, 0, $c0; cmp_eq_f64_b1 $d0, WAVESIZE, $c0; - cmp_eq_f64_b1 $d0, $c0, 1; + cmp_eq_f64_b1 $d0, $c0, 0; cmp_eq_f64_b1 $d0, $c0, WAVESIZE; // // Next sample @@ -6830,9 +6830,9 @@ cmp_eq_b1_b1 $c0, $c0, $c0; // cmp_ne_b1_b1 $c0, $c0, $c0; - cmp_eq_b1_b1 $c0, 1, $c0; + cmp_eq_b1_b1 $c0, 0, $c0; cmp_eq_b1_b1 $c0, WAVESIZE, $c0; - cmp_eq_b1_b1 $c0, $c0, 1; + cmp_eq_b1_b1 $c0, $c0, 0; cmp_eq_b1_b1 $c0, $c0, WAVESIZE; // // Next sample @@ -7004,8 +7004,8 @@ cmp_le_pp_u8x4_u8x4 $s0, $s0, $s0; cmp_gt_pp_u8x4_u8x4 $s0, $s0, $s0; cmp_ge_pp_u8x4_u8x4 $s0, $s0, $s0; - cmp_eq_pp_u8x4_u8x4 $s0, u8x4(255,255,255,255), $s0; - cmp_eq_pp_u8x4_u8x4 $s0, $s0, u8x4(255,255,255,255); + cmp_eq_pp_u8x4_u8x4 $s0, u8x4(0,0,0,0), $s0; + cmp_eq_pp_u8x4_u8x4 $s0, $s0, u8x4(0,0,0,0); // // Next sample // @@ -7087,8 +7087,8 @@ cmp_le_pp_u8x8_u8x8 $d0, $d0, $d0; cmp_gt_pp_u8x8_u8x8 $d0, $d0, $d0; cmp_ge_pp_u8x8_u8x8 $d0, $d0, $d0; - cmp_eq_pp_u8x8_u8x8 $d0, u8x8(255,255,255,255,255,255,255,255), $d0; - cmp_eq_pp_u8x8_u8x8 $d0, $d0, u8x8(255,255,255,255,255,255,255,255); + cmp_eq_pp_u8x8_u8x8 $d0, u8x8(0,0,0,0,0,0,0,0), $d0; + cmp_eq_pp_u8x8_u8x8 $d0, $d0, u8x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -7229,8 +7229,8 @@ cmp_le_pp_u8x16_u8x16 $q0, $q0, $q0; cmp_gt_pp_u8x16_u8x16 $q0, $q0, $q0; cmp_ge_pp_u8x16_u8x16 $q0, $q0, $q0; - cmp_eq_pp_u8x16_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $q0; - cmp_eq_pp_u8x16_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113); + cmp_eq_pp_u8x16_u8x16 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0; + cmp_eq_pp_u8x16_u8x16 $q0, $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); // // Next sample // @@ -7241,8 +7241,8 @@ cmp_le_pp_u16x8_u16x8 $q0, $q0, $q0; cmp_gt_pp_u16x8_u16x8 $q0, $q0, $q0; cmp_ge_pp_u16x8_u16x8 $q0, $q0, $q0; - cmp_eq_pp_u16x8_u16x8 $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - cmp_eq_pp_u16x8_u16x8 $q0, $q0, u16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + cmp_eq_pp_u16x8_u16x8 $q0, u16x8(0,0,0,0,0,0,0,0), $q0; + cmp_eq_pp_u16x8_u16x8 $q0, $q0, u16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -7253,8 +7253,8 @@ cmp_le_pp_u32x4_u32x4 $q0, $q0, $q0; cmp_gt_pp_u32x4_u32x4 $q0, $q0, $q0; cmp_ge_pp_u32x4_u32x4 $q0, $q0, $q0; - cmp_eq_pp_u32x4_u32x4 $q0, u32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - cmp_eq_pp_u32x4_u32x4 $q0, $q0, u32x4(0,0,0x6bf037ae,0x325f1c71); + cmp_eq_pp_u32x4_u32x4 $q0, u32x4(0,0,0,0), $q0; + cmp_eq_pp_u32x4_u32x4 $q0, $q0, u32x4(0,0,0,0); // // Next sample // @@ -7277,8 +7277,8 @@ cmp_le_pp_u8x16_s8x16 $q0, $q0, $q0; cmp_gt_pp_u8x16_s8x16 $q0, $q0, $q0; cmp_ge_pp_u8x16_s8x16 $q0, $q0, $q0; - cmp_eq_pp_u8x16_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113), $q0; - cmp_eq_pp_u8x16_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,107,-16,55,-82,50,95,28,113); + cmp_eq_pp_u8x16_s8x16 $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0), $q0; + cmp_eq_pp_u8x16_s8x16 $q0, $q0, s8x16(0,0,0,0,0,0,0,0,0,-1,0,-1,0,0,0,0); // // Next sample // @@ -7289,8 +7289,8 @@ cmp_le_pp_u16x8_s16x8 $q0, $q0, $q0; cmp_gt_pp_u16x8_s16x8 $q0, $q0, $q0; cmp_ge_pp_u16x8_s16x8 $q0, $q0, $q0; - cmp_eq_pp_u16x8_s16x8 $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71), $q0; - cmp_eq_pp_u16x8_s16x8 $q0, $q0, s16x8(0,0,0,0,0x6bf0,0x37ae,0x325f,0x1c71); + cmp_eq_pp_u16x8_s16x8 $q0, s16x8(0,0,0,0,0,0,0,0), $q0; + cmp_eq_pp_u16x8_s16x8 $q0, $q0, s16x8(0,0,0,0,0,0,0,0); // // Next sample // @@ -7301,8 +7301,8 @@ cmp_le_pp_u32x4_s32x4 $q0, $q0, $q0; cmp_gt_pp_u32x4_s32x4 $q0, $q0, $q0; cmp_ge_pp_u32x4_s32x4 $q0, $q0, $q0; - cmp_eq_pp_u32x4_s32x4 $q0, s32x4(0,0,0x6bf037ae,0x325f1c71), $q0; - cmp_eq_pp_u32x4_s32x4 $q0, $q0, s32x4(0,0,0x6bf037ae,0x325f1c71); + cmp_eq_pp_u32x4_s32x4 $q0, s32x4(0,0,0,0), $q0; + cmp_eq_pp_u32x4_s32x4 $q0, $q0, s32x4(0,0,0,0); // // Next sample // @@ -7348,8 +7348,8 @@ cmp_snan_pp_u16x8_f16x8 $q0, $q0, $q0; cmp_sgtu_pp_u16x8_f16x8 $q0, $q0, $q0; cmp_eq_ftz_pp_u16x8_f16x8 $q0, $q0, $q0; - cmp_eq_pp_u16x8_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71), $q0; - cmp_eq_pp_u16x8_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0H6bf0,0H37ae,0H325f,0H1c71); + cmp_eq_pp_u16x8_f16x8 $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c), $q0; + cmp_eq_pp_u16x8_f16x8 $q0, $q0, f16x8(0H0000,0H0000,0H0000,0H0000,0Hf06b,0Hae37,0H5f32,0H711c); // // Next sample // @@ -7383,8 +7383,8 @@ cmp_snan_pp_u32x4_f32x4 $q0, $q0, $q0; cmp_sgtu_pp_u32x4_f32x4 $q0, $q0, $q0; cmp_eq_ftz_pp_u32x4_f32x4 $q0, $q0, $q0; - cmp_eq_pp_u32x4_f32x4 $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71), $q0; - cmp_eq_pp_u32x4_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0F6bf037ae,0F325f1c71); + cmp_eq_pp_u32x4_f32x4 $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32), $q0; + cmp_eq_pp_u32x4_f32x4 $q0, $q0, f32x4(0F00000000,0F00000000,0Fae37f06b,0F711c5f32); // // Next sample // @@ -7418,49 +7418,49 @@ cmp_snan_pp_u64x2_f64x2 $q0, $q0, $q0; cmp_sgtu_pp_u64x2_f64x2 $q0, $q0, $q0; cmp_eq_ftz_pp_u64x2_f64x2 $q0, $q0, $q0; - cmp_eq_pp_u64x2_f64x2 $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71), $q0; - cmp_eq_pp_u64x2_f64x2 $q0, $q0, f64x2(0D0000000000000000,0D6bf037ae325f1c71); + cmp_eq_pp_u64x2_f64x2 $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b), $q0; + cmp_eq_pp_u64x2_f64x2 $q0, $q0, f64x2(0D0000000000000000,0D711c5f32ae37f06b); // // Next sample // cvt_u16_u8 $s0, $s0; // - cvt_u16_u8 $s0, 1; + cvt_u16_u8 $s0, 0; cvt_u16_u8 $s0, WAVESIZE; // // Next sample // cvt_u32_u8 $s0, $s0; // - cvt_u32_u8 $s0, 1; + cvt_u32_u8 $s0, 0; cvt_u32_u8 $s0, WAVESIZE; // // Next sample // cvt_u64_u8 $d0, $s0; // - cvt_u64_u8 $d0, 1; + cvt_u64_u8 $d0, 0; cvt_u64_u8 $d0, WAVESIZE; // // Next sample // cvt_s16_u8 $s0, $s0; // - cvt_s16_u8 $s0, 1; + cvt_s16_u8 $s0, 0; cvt_s16_u8 $s0, WAVESIZE; // // Next sample // cvt_s32_u8 $s0, $s0; // - cvt_s32_u8 $s0, 1; + cvt_s32_u8 $s0, 0; cvt_s32_u8 $s0, WAVESIZE; // // Next sample // cvt_s64_u8 $d0, $s0; // - cvt_s64_u8 $d0, 1; + cvt_s64_u8 $d0, 0; cvt_s64_u8 $d0, WAVESIZE; // // Next sample @@ -7471,7 +7471,7 @@ cvt_zero_f16_u8 $s0, $s0; cvt_up_f16_u8 $s0, $s0; cvt_down_f16_u8 $s0, $s0; - cvt_f16_u8 $s0, 1; + cvt_f16_u8 $s0, 0; cvt_f16_u8 $s0, WAVESIZE; // // Next sample @@ -7482,7 +7482,7 @@ cvt_zero_f32_u8 $s0, $s0; cvt_up_f32_u8 $s0, $s0; cvt_down_f32_u8 $s0, $s0; - cvt_f32_u8 $s0, 1; + cvt_f32_u8 $s0, 0; cvt_f32_u8 $s0, WAVESIZE; // // Next sample @@ -7493,14 +7493,14 @@ cvt_zero_f64_u8 $d0, $s0; cvt_up_f64_u8 $d0, $s0; cvt_down_f64_u8 $d0, $s0; - cvt_f64_u8 $d0, 1; + cvt_f64_u8 $d0, 0; cvt_f64_u8 $d0, WAVESIZE; // // Next sample // cvt_b1_u8 $c0, $s0; // - cvt_b1_u8 $c0, 1; + cvt_b1_u8 $c0, 0; cvt_b1_u8 $c0, WAVESIZE; // // Next sample @@ -7753,42 +7753,42 @@ // cvt_u16_s8 $s0, $s0; // - cvt_u16_s8 $s0, 1; + cvt_u16_s8 $s0, 0; cvt_u16_s8 $s0, WAVESIZE; // // Next sample // cvt_u32_s8 $s0, $s0; // - cvt_u32_s8 $s0, 1; + cvt_u32_s8 $s0, 0; cvt_u32_s8 $s0, WAVESIZE; // // Next sample // cvt_u64_s8 $d0, $s0; // - cvt_u64_s8 $d0, 1; + cvt_u64_s8 $d0, 0; cvt_u64_s8 $d0, WAVESIZE; // // Next sample // cvt_s16_s8 $s0, $s0; // - cvt_s16_s8 $s0, 1; + cvt_s16_s8 $s0, 0; cvt_s16_s8 $s0, WAVESIZE; // // Next sample // cvt_s32_s8 $s0, $s0; // - cvt_s32_s8 $s0, 1; + cvt_s32_s8 $s0, 0; cvt_s32_s8 $s0, WAVESIZE; // // Next sample // cvt_s64_s8 $d0, $s0; // - cvt_s64_s8 $d0, 1; + cvt_s64_s8 $d0, 0; cvt_s64_s8 $d0, WAVESIZE; // // Next sample @@ -7799,7 +7799,7 @@ cvt_zero_f16_s8 $s0, $s0; cvt_up_f16_s8 $s0, $s0; cvt_down_f16_s8 $s0, $s0; - cvt_f16_s8 $s0, 1; + cvt_f16_s8 $s0, 0; cvt_f16_s8 $s0, WAVESIZE; // // Next sample @@ -7810,7 +7810,7 @@ cvt_zero_f32_s8 $s0, $s0; cvt_up_f32_s8 $s0, $s0; cvt_down_f32_s8 $s0, $s0; - cvt_f32_s8 $s0, 1; + cvt_f32_s8 $s0, 0; cvt_f32_s8 $s0, WAVESIZE; // // Next sample @@ -7821,14 +7821,14 @@ cvt_zero_f64_s8 $d0, $s0; cvt_up_f64_s8 $d0, $s0; cvt_down_f64_s8 $d0, $s0; - cvt_f64_s8 $d0, 1; + cvt_f64_s8 $d0, 0; cvt_f64_s8 $d0, WAVESIZE; // // Next sample // cvt_b1_s8 $c0, $s0; // - cvt_b1_s8 $c0, 1; + cvt_b1_s8 $c0, 0; cvt_b1_s8 $c0, WAVESIZE; // // Next sample @@ -8684,77 +8684,77 @@ // cvt_u8_b1 $s0, $c0; // - cvt_u8_b1 $s0, 1; + cvt_u8_b1 $s0, 0; cvt_u8_b1 $s0, WAVESIZE; // // Next sample // cvt_u16_b1 $s0, $c0; // - cvt_u16_b1 $s0, 1; + cvt_u16_b1 $s0, 0; cvt_u16_b1 $s0, WAVESIZE; // // Next sample // cvt_u32_b1 $s0, $c0; // - cvt_u32_b1 $s0, 1; + cvt_u32_b1 $s0, 0; cvt_u32_b1 $s0, WAVESIZE; // // Next sample // cvt_u64_b1 $d0, $c0; // - cvt_u64_b1 $d0, 1; + cvt_u64_b1 $d0, 0; cvt_u64_b1 $d0, WAVESIZE; // // Next sample // cvt_s8_b1 $s0, $c0; // - cvt_s8_b1 $s0, 1; + cvt_s8_b1 $s0, 0; cvt_s8_b1 $s0, WAVESIZE; // // Next sample // cvt_s16_b1 $s0, $c0; // - cvt_s16_b1 $s0, 1; + cvt_s16_b1 $s0, 0; cvt_s16_b1 $s0, WAVESIZE; // // Next sample // cvt_s32_b1 $s0, $c0; // - cvt_s32_b1 $s0, 1; + cvt_s32_b1 $s0, 0; cvt_s32_b1 $s0, WAVESIZE; // // Next sample // cvt_s64_b1 $d0, $c0; // - cvt_s64_b1 $d0, 1; + cvt_s64_b1 $d0, 0; cvt_s64_b1 $d0, WAVESIZE; // // Next sample // cvt_f16_b1 $s0, $c0; // - cvt_f16_b1 $s0, 1; + cvt_f16_b1 $s0, 0; cvt_f16_b1 $s0, WAVESIZE; // // Next sample // cvt_f32_b1 $s0, $c0; // - cvt_f32_b1 $s0, 1; + cvt_f32_b1 $s0, 0; cvt_f32_b1 $s0, WAVESIZE; // // Next sample // cvt_f64_b1 $d0, $c0; // - cvt_f64_b1 $d0, 1; + cvt_f64_b1 $d0, 0; cvt_f64_b1 $d0, WAVESIZE; // // Next sample @@ -13845,16 +13845,16 @@ st_v2_u8 ($s0, $s0), [$s0+2]; st_v3_u8 ($s0, $s0, $s0), [$s0+2]; st_v4_u8 ($s0, $s0, $s0, $s0), [$s0+2]; - st_v2_u8 (WAVESIZE, 255), [$s0+2]; - st_v3_u8 (WAVESIZE, 255, 255), [$s0+2]; - st_v4_u8 (WAVESIZE, 255, 255, 255), [$s0+2]; + st_v2_u8 (WAVESIZE, 0), [$s0+2]; + st_v3_u8 (WAVESIZE, 0, 0), [$s0+2]; + st_v4_u8 (WAVESIZE, 0, 0, 0), [$s0+2]; st_v2_u8 (0, $s0), [$s0+2]; - st_v3_u8 (0, 255, $s0), [$s0+2]; - st_v4_u8 (0, 255, $s0, $s0), [$s0+2]; + st_v3_u8 (0, 0, $s0), [$s0+2]; + st_v4_u8 (0, 0, $s0, $s0), [$s0+2]; st_v2_u8 ($s0, $s1), [$s0+2]; st_v3_u8 ($s0, $s1, $s2), [$s0+2]; st_v4_u8 ($s0, $s1, $s2, $s3), [$s0+2]; - st_u8 1, [$s0+2]; + st_u8 0, [$s0+2]; st_u8 WAVESIZE, [$s0+2]; st_u8 $s0, [$s0+3]; st_u8 $s0, [$s0+5]; @@ -13879,16 +13879,16 @@ st_v2_global_u8 ($s0, $s0), [&GlobalVar]; st_v3_global_u8 ($s0, $s0, $s0), [&GlobalVar]; st_v4_global_u8 ($s0, $s0, $s0, $s0), [&GlobalVar]; - st_v2_global_u8 (WAVESIZE, 255), [&GlobalVar]; - st_v3_global_u8 (WAVESIZE, 255, 255), [&GlobalVar]; - st_v4_global_u8 (WAVESIZE, 255, 255, 255), [&GlobalVar]; + st_v2_global_u8 (WAVESIZE, 0), [&GlobalVar]; + st_v3_global_u8 (WAVESIZE, 0, 0), [&GlobalVar]; + st_v4_global_u8 (WAVESIZE, 0, 0, 0), [&GlobalVar]; st_v2_global_u8 (0, $s0), [&GlobalVar]; - st_v3_global_u8 (0, 255, $s0), [&GlobalVar]; - st_v4_global_u8 (0, 255, $s0, $s0), [&GlobalVar]; + st_v3_global_u8 (0, 0, $s0), [&GlobalVar]; + st_v4_global_u8 (0, 0, $s0, $s0), [&GlobalVar]; st_v2_global_u8 ($s0, $s1), [&GlobalVar]; st_v3_global_u8 ($s0, $s1, $s2), [&GlobalVar]; st_v4_global_u8 ($s0, $s1, $s2, $s3), [&GlobalVar]; - st_global_u8 1, [&GlobalVar]; + st_global_u8 0, [&GlobalVar]; st_global_u8 WAVESIZE, [&GlobalVar]; st_global_u8 $s0, [$s0+2]; st_global_u8 $s0, [$s0+3]; @@ -13914,16 +13914,16 @@ st_v2_group_u8 ($s0, $s0), [&GroupVar]; st_v3_group_u8 ($s0, $s0, $s0), [&GroupVar]; st_v4_group_u8 ($s0, $s0, $s0, $s0), [&GroupVar]; - st_v2_group_u8 (WAVESIZE, 255), [&GroupVar]; - st_v3_group_u8 (WAVESIZE, 255, 255), [&GroupVar]; - st_v4_group_u8 (WAVESIZE, 255, 255, 255), [&GroupVar]; + st_v2_group_u8 (WAVESIZE, 0), [&GroupVar]; + st_v3_group_u8 (WAVESIZE, 0, 0), [&GroupVar]; + st_v4_group_u8 (WAVESIZE, 0, 0, 0), [&GroupVar]; st_v2_group_u8 (0, $s0), [&GroupVar]; - st_v3_group_u8 (0, 255, $s0), [&GroupVar]; - st_v4_group_u8 (0, 255, $s0, $s0), [&GroupVar]; + st_v3_group_u8 (0, 0, $s0), [&GroupVar]; + st_v4_group_u8 (0, 0, $s0, $s0), [&GroupVar]; st_v2_group_u8 ($s0, $s1), [&GroupVar]; st_v3_group_u8 ($s0, $s1, $s2), [&GroupVar]; st_v4_group_u8 ($s0, $s1, $s2, $s3), [&GroupVar]; - st_group_u8 1, [&GroupVar]; + st_group_u8 0, [&GroupVar]; st_group_u8 WAVESIZE, [&GroupVar]; st_group_u8 $s0, [$s0+2]; st_group_u8 $s0, [$s0+3]; @@ -13949,16 +13949,16 @@ st_v2_private_u8 ($s0, $s0), [&PrivateVar]; st_v3_private_u8 ($s0, $s0, $s0), [&PrivateVar]; st_v4_private_u8 ($s0, $s0, $s0, $s0), [&PrivateVar]; - st_v2_private_u8 (WAVESIZE, 255), [&PrivateVar]; - st_v3_private_u8 (WAVESIZE, 255, 255), [&PrivateVar]; - st_v4_private_u8 (WAVESIZE, 255, 255, 255), [&PrivateVar]; + st_v2_private_u8 (WAVESIZE, 0), [&PrivateVar]; + st_v3_private_u8 (WAVESIZE, 0, 0), [&PrivateVar]; + st_v4_private_u8 (WAVESIZE, 0, 0, 0), [&PrivateVar]; st_v2_private_u8 (0, $s0), [&PrivateVar]; - st_v3_private_u8 (0, 255, $s0), [&PrivateVar]; - st_v4_private_u8 (0, 255, $s0, $s0), [&PrivateVar]; + st_v3_private_u8 (0, 0, $s0), [&PrivateVar]; + st_v4_private_u8 (0, 0, $s0, $s0), [&PrivateVar]; st_v2_private_u8 ($s0, $s1), [&PrivateVar]; st_v3_private_u8 ($s0, $s1, $s2), [&PrivateVar]; st_v4_private_u8 ($s0, $s1, $s2, $s3), [&PrivateVar]; - st_private_u8 1, [&PrivateVar]; + st_private_u8 0, [&PrivateVar]; st_private_u8 WAVESIZE, [&PrivateVar]; st_private_u8 $s0, [$s0+2]; st_private_u8 $s0, [$s0+3]; @@ -13984,16 +13984,16 @@ st_v2_spill_u8 ($s0, $s0), [%SpillVar]; st_v3_spill_u8 ($s0, $s0, $s0), [%SpillVar]; st_v4_spill_u8 ($s0, $s0, $s0, $s0), [%SpillVar]; - st_v2_spill_u8 (WAVESIZE, 255), [%SpillVar]; - st_v3_spill_u8 (WAVESIZE, 255, 255), [%SpillVar]; - st_v4_spill_u8 (WAVESIZE, 255, 255, 255), [%SpillVar]; + st_v2_spill_u8 (WAVESIZE, 0), [%SpillVar]; + st_v3_spill_u8 (WAVESIZE, 0, 0), [%SpillVar]; + st_v4_spill_u8 (WAVESIZE, 0, 0, 0), [%SpillVar]; st_v2_spill_u8 (0, $s0), [%SpillVar]; - st_v3_spill_u8 (0, 255, $s0), [%SpillVar]; - st_v4_spill_u8 (0, 255, $s0, $s0), [%SpillVar]; + st_v3_spill_u8 (0, 0, $s0), [%SpillVar]; + st_v4_spill_u8 (0, 0, $s0, $s0), [%SpillVar]; st_v2_spill_u8 ($s0, $s1), [%SpillVar]; st_v3_spill_u8 ($s0, $s1, $s2), [%SpillVar]; st_v4_spill_u8 ($s0, $s1, $s2, $s3), [%SpillVar]; - st_spill_u8 1, [%SpillVar]; + st_spill_u8 0, [%SpillVar]; st_spill_u8 WAVESIZE, [%SpillVar]; st_spill_align(2)_u8 $s0, [%SpillVar]; st_spill_align(4)_u8 $s0, [%SpillVar]; @@ -14530,7 +14530,7 @@ st_v2_s8 ($s0, $s1), [$s0+2]; st_v3_s8 ($s0, $s1, $s2), [$s0+2]; st_v4_s8 ($s0, $s1, $s2, $s3), [$s0+2]; - st_s8 1, [$s0+2]; + st_s8 0, [$s0+2]; st_s8 WAVESIZE, [$s0+2]; st_s8 $s0, [$s0+3]; st_s8 $s0, [$s0+5]; @@ -14564,7 +14564,7 @@ st_v2_global_s8 ($s0, $s1), [&GlobalVar]; st_v3_global_s8 ($s0, $s1, $s2), [&GlobalVar]; st_v4_global_s8 ($s0, $s1, $s2, $s3), [&GlobalVar]; - st_global_s8 1, [&GlobalVar]; + st_global_s8 0, [&GlobalVar]; st_global_s8 WAVESIZE, [&GlobalVar]; st_global_s8 $s0, [$s0+2]; st_global_s8 $s0, [$s0+3]; @@ -14599,7 +14599,7 @@ st_v2_group_s8 ($s0, $s1), [&GroupVar]; st_v3_group_s8 ($s0, $s1, $s2), [&GroupVar]; st_v4_group_s8 ($s0, $s1, $s2, $s3), [&GroupVar]; - st_group_s8 1, [&GroupVar]; + st_group_s8 0, [&GroupVar]; st_group_s8 WAVESIZE, [&GroupVar]; st_group_s8 $s0, [$s0+2]; st_group_s8 $s0, [$s0+3]; @@ -14634,7 +14634,7 @@ st_v2_private_s8 ($s0, $s1), [&PrivateVar]; st_v3_private_s8 ($s0, $s1, $s2), [&PrivateVar]; st_v4_private_s8 ($s0, $s1, $s2, $s3), [&PrivateVar]; - st_private_s8 1, [&PrivateVar]; + st_private_s8 0, [&PrivateVar]; st_private_s8 WAVESIZE, [&PrivateVar]; st_private_s8 $s0, [$s0+2]; st_private_s8 $s0, [$s0+3]; @@ -14669,7 +14669,7 @@ st_v2_spill_s8 ($s0, $s1), [%SpillVar]; st_v3_spill_s8 ($s0, $s1, $s2), [%SpillVar]; st_v4_spill_s8 ($s0, $s1, $s2, $s3), [%SpillVar]; - st_spill_s8 1, [%SpillVar]; + st_spill_s8 0, [%SpillVar]; st_spill_s8 WAVESIZE, [%SpillVar]; st_spill_align(2)_s8 $s0, [%SpillVar]; st_spill_align(4)_s8 $s0, [%SpillVar]; @@ -15644,16 +15644,16 @@ st_v2_b128 ($q0, $q0), [$s0+2]; st_v3_b128 ($q0, $q0, $q0), [$s0+2]; st_v4_b128 ($q0, $q0, $q0, $q0), [$s0+2]; - st_v2_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [$s0+2]; - st_v3_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [$s0+2]; - st_v4_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [$s0+2]; + st_v2_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [$s0+2]; + st_v3_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [$s0+2]; + st_v4_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [$s0+2]; st_v2_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [$s0+2]; - st_v3_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0), [$s0+2]; - st_v4_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0, $q0), [$s0+2]; + st_v3_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [$s0+2]; + st_v4_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0, $q0), [$s0+2]; st_v2_b128 ($q0, $q1), [$s0+2]; st_v3_b128 ($q0, $q1, $q2), [$s0+2]; st_v4_b128 ($q0, $q1, $q2, $q3), [$s0+2]; - st_b128 u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), [$s0+2]; + st_b128 u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), [$s0+2]; st_b128 $q0, [$s0+3]; st_b128 $q0, [$s0+5]; st_b128 $q0, [$s0+6]; @@ -15677,16 +15677,16 @@ st_v2_global_b128 ($q0, $q0), [&GlobalVar]; st_v3_global_b128 ($q0, $q0, $q0), [&GlobalVar]; st_v4_global_b128 ($q0, $q0, $q0, $q0), [&GlobalVar]; - st_v2_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [&GlobalVar]; - st_v3_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [&GlobalVar]; - st_v4_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [&GlobalVar]; + st_v2_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [&GlobalVar]; + st_v3_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [&GlobalVar]; + st_v4_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [&GlobalVar]; st_v2_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [&GlobalVar]; - st_v3_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0), [&GlobalVar]; - st_v4_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0, $q0), [&GlobalVar]; + st_v3_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [&GlobalVar]; + st_v4_global_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0, $q0), [&GlobalVar]; st_v2_global_b128 ($q0, $q1), [&GlobalVar]; st_v3_global_b128 ($q0, $q1, $q2), [&GlobalVar]; st_v4_global_b128 ($q0, $q1, $q2, $q3), [&GlobalVar]; - st_global_b128 u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), [&GlobalVar]; + st_global_b128 u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), [&GlobalVar]; st_global_b128 $q0, [$s0+2]; st_global_b128 $q0, [$s0+3]; st_global_b128 $q0, [$s0+5]; @@ -15711,16 +15711,16 @@ st_v2_group_b128 ($q0, $q0), [&GroupVar]; st_v3_group_b128 ($q0, $q0, $q0), [&GroupVar]; st_v4_group_b128 ($q0, $q0, $q0, $q0), [&GroupVar]; - st_v2_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [&GroupVar]; - st_v3_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [&GroupVar]; - st_v4_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [&GroupVar]; + st_v2_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [&GroupVar]; + st_v3_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [&GroupVar]; + st_v4_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [&GroupVar]; st_v2_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [&GroupVar]; - st_v3_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0), [&GroupVar]; - st_v4_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0, $q0), [&GroupVar]; + st_v3_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [&GroupVar]; + st_v4_group_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0, $q0), [&GroupVar]; st_v2_group_b128 ($q0, $q1), [&GroupVar]; st_v3_group_b128 ($q0, $q1, $q2), [&GroupVar]; st_v4_group_b128 ($q0, $q1, $q2, $q3), [&GroupVar]; - st_group_b128 u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), [&GroupVar]; + st_group_b128 u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), [&GroupVar]; st_group_b128 $q0, [$s0+2]; st_group_b128 $q0, [$s0+3]; st_group_b128 $q0, [$s0+5]; @@ -15745,16 +15745,16 @@ st_v2_private_b128 ($q0, $q0), [&PrivateVar]; st_v3_private_b128 ($q0, $q0, $q0), [&PrivateVar]; st_v4_private_b128 ($q0, $q0, $q0, $q0), [&PrivateVar]; - st_v2_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [&PrivateVar]; - st_v3_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [&PrivateVar]; - st_v4_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [&PrivateVar]; + st_v2_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [&PrivateVar]; + st_v3_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [&PrivateVar]; + st_v4_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [&PrivateVar]; st_v2_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [&PrivateVar]; - st_v3_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0), [&PrivateVar]; - st_v4_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0, $q0), [&PrivateVar]; + st_v3_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [&PrivateVar]; + st_v4_private_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0, $q0), [&PrivateVar]; st_v2_private_b128 ($q0, $q1), [&PrivateVar]; st_v3_private_b128 ($q0, $q1, $q2), [&PrivateVar]; st_v4_private_b128 ($q0, $q1, $q2, $q3), [&PrivateVar]; - st_private_b128 u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), [&PrivateVar]; + st_private_b128 u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), [&PrivateVar]; st_private_b128 $q0, [$s0+2]; st_private_b128 $q0, [$s0+3]; st_private_b128 $q0, [$s0+5]; @@ -15779,16 +15779,16 @@ st_v2_spill_b128 ($q0, $q0), [%SpillVar]; st_v3_spill_b128 ($q0, $q0, $q0), [%SpillVar]; st_v4_spill_b128 ($q0, $q0, $q0, $q0), [%SpillVar]; - st_v2_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [%SpillVar]; - st_v3_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [%SpillVar]; - st_v4_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [%SpillVar]; + st_v2_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [%SpillVar]; + st_v3_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [%SpillVar]; + st_v4_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [%SpillVar]; st_v2_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [%SpillVar]; - st_v3_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0), [%SpillVar]; - st_v4_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0, $q0), [%SpillVar]; + st_v3_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [%SpillVar]; + st_v4_spill_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0, $q0), [%SpillVar]; st_v2_spill_b128 ($q0, $q1), [%SpillVar]; st_v3_spill_b128 ($q0, $q1, $q2), [%SpillVar]; st_v4_spill_b128 ($q0, $q1, $q2, $q3), [%SpillVar]; - st_spill_b128 u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), [%SpillVar]; + st_spill_b128 u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), [%SpillVar]; st_spill_align(2)_b128 $q0, [%SpillVar]; st_spill_align(4)_b128 $q0, [%SpillVar]; st_spill_align(8)_b128 $q0, [%SpillVar]; @@ -19819,7 +19819,7 @@ // cbr_b1 $c0, @TestLabel; // - cbr_b1 1, @TestLabel; + cbr_b1 0, @TestLabel; cbr_b1 WAVESIZE, @TestLabel; cbr_width(2)_b1 $c0, @TestLabel; cbr_width(4)_b1 $c0, @TestLabel; @@ -20103,7 +20103,7 @@ // activelanemask_v4_b64_b1 ($d0, $d1, $d2, $d3), $c0; // - activelanemask_v4_b64_b1 ($d0, $d1, $d2, $d3), 1; + activelanemask_v4_b64_b1 ($d0, $d1, $d2, $d3), 0; activelanemask_v4_b64_b1 ($d0, $d1, $d2, $d3), WAVESIZE; activelanemask_v4_width(2)_b64_b1 ($d0, $d1, $d2, $d3), $c0; activelanemask_v4_width(4)_b64_b1 ($d0, $d1, $d2, $d3), $c0; @@ -20143,7 +20143,7 @@ // activelanecount_u32_b1 $s0, $c0; // - activelanecount_u32_b1 $s0, 1; + activelanecount_u32_b1 $s0, 0; activelanecount_u32_b1 $s0, WAVESIZE; activelanecount_width(2)_u32_b1 $s0, $c0; activelanecount_width(4)_u32_b1 $s0, $c0; @@ -20221,13 +20221,13 @@ // activelanepermute_b1 $c0, $c0, $s0, $c0, $c0; // - activelanepermute_b1 $c0, 1, $s0, $c0, $c0; + activelanepermute_b1 $c0, 0, $s0, $c0, $c0; activelanepermute_b1 $c0, WAVESIZE, $s0, $c0, $c0; activelanepermute_b1 $c0, $c0, -1, $c0, $c0; activelanepermute_b1 $c0, $c0, WAVESIZE, $c0, $c0; - activelanepermute_b1 $c0, $c0, $s0, 1, $c0; + activelanepermute_b1 $c0, $c0, $s0, 0, $c0; activelanepermute_b1 $c0, $c0, $s0, WAVESIZE, $c0; - activelanepermute_b1 $c0, $c0, $s0, $c0, 1; + activelanepermute_b1 $c0, $c0, $s0, $c0, 0; activelanepermute_b1 $c0, $c0, $s0, $c0, WAVESIZE; activelanepermute_width(2)_b1 $c0, $c0, $s0, $c0, $c0; activelanepermute_width(4)_b1 $c0, $c0, $s0, $c0, $c0; @@ -20273,7 +20273,7 @@ activelanepermute_b32 $s0, $s0, WAVESIZE, $s0, $c0; activelanepermute_b32 $s0, $s0, $s0, -1, $c0; activelanepermute_b32 $s0, $s0, $s0, WAVESIZE, $c0; - activelanepermute_b32 $s0, $s0, $s0, $s0, 1; + activelanepermute_b32 $s0, $s0, $s0, $s0, 0; activelanepermute_b32 $s0, $s0, $s0, $s0, WAVESIZE; activelanepermute_width(2)_b32 $s0, $s0, $s0, $s0, $c0; activelanepermute_width(4)_b32 $s0, $s0, $s0, $s0, $c0; @@ -20319,7 +20319,7 @@ activelanepermute_b64 $d0, $d0, WAVESIZE, $d0, $c0; activelanepermute_b64 $d0, $d0, $s0, -1, $c0; activelanepermute_b64 $d0, $d0, $s0, WAVESIZE, $c0; - activelanepermute_b64 $d0, $d0, $s0, $d0, 1; + activelanepermute_b64 $d0, $d0, $s0, $d0, 0; activelanepermute_b64 $d0, $d0, $s0, $d0, WAVESIZE; activelanepermute_width(2)_b64 $d0, $d0, $s0, $d0, $c0; activelanepermute_width(4)_b64 $d0, $d0, $s0, $d0, $c0; @@ -20359,11 +20359,11 @@ // activelanepermute_b128 $q0, $q0, $s0, $q0, $c0; // - activelanepermute_b128 $q0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $s0, $q0, $c0; + activelanepermute_b128 $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $s0, $q0, $c0; activelanepermute_b128 $q0, $q0, -1, $q0, $c0; activelanepermute_b128 $q0, $q0, WAVESIZE, $q0, $c0; - activelanepermute_b128 $q0, $q0, $s0, u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), $c0; - activelanepermute_b128 $q0, $q0, $s0, $q0, 1; + activelanepermute_b128 $q0, $q0, $s0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $c0; + activelanepermute_b128 $q0, $q0, $s0, $q0, 0; activelanepermute_b128 $q0, $q0, $s0, $q0, WAVESIZE; activelanepermute_width(2)_b128 $q0, $q0, $s0, $q0, $c0; activelanepermute_width(4)_b128 $q0, $q0, $s0, $q0, $c0; @@ -20485,64 +20485,64 @@ // currentworkgroupsize_u32 $s0, 0; // - currentworkgroupsize_u32 $s0, 1; - currentworkgroupsize_u32 $s0, 2; + currentworkgroupsize_u32 $s0, 0; + currentworkgroupsize_u32 $s0, 0; // // Next sample // gridgroups_u32 $s0, 0; // - gridgroups_u32 $s0, 1; - gridgroups_u32 $s0, 2; + gridgroups_u32 $s0, 0; + gridgroups_u32 $s0, 0; // // Next sample // workgroupid_u32 $s0, 0; // - workgroupid_u32 $s0, 1; - workgroupid_u32 $s0, 2; + workgroupid_u32 $s0, 0; + workgroupid_u32 $s0, 0; // // Next sample // workgroupsize_u32 $s0, 0; // - workgroupsize_u32 $s0, 1; - workgroupsize_u32 $s0, 2; + workgroupsize_u32 $s0, 0; + workgroupsize_u32 $s0, 0; // // Next sample // workitemid_u32 $s0, 0; // - workitemid_u32 $s0, 1; - workitemid_u32 $s0, 2; + workitemid_u32 $s0, 0; + workitemid_u32 $s0, 0; // // Next sample // gridsize_u32 $s0, 0; // - gridsize_u32 $s0, 1; - gridsize_u32 $s0, 2; + gridsize_u32 $s0, 0; + gridsize_u32 $s0, 0; // // Next sample // gridsize_u64 $d0, 0; // - gridsize_u64 $d0, 1; - gridsize_u64 $d0, 2; + gridsize_u64 $d0, 0; + gridsize_u64 $d0, 0; // // Next sample // workitemabsid_u32 $s0, 0; // - workitemabsid_u32 $s0, 1; - workitemabsid_u32 $s0, 2; + workitemabsid_u32 $s0, 0; + workitemabsid_u32 $s0, 0; // // Next sample // workitemabsid_u64 $d0, 0; // - workitemabsid_u64 $d0, 1; - workitemabsid_u64 $d0, 2; + workitemabsid_u64 $d0, 0; + workitemabsid_u64 $d0, 0; // // Next sample // Start 16: 1.0/instruction/IMAGE-full-large Start 17: 1.0/instruction/IMAGE-full-small Start 18: 1.0/instruction/amd_gcn-base-large Start 19: 1.0/instruction/amd_gcn-base-small 16/115 Test #19: 1.0/instruction/amd_gcn-base-small ................***Failed 0.02 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/instruction/amd_gcn-base-small.hsail 2017-03-06 16:05:12.000000000 +0000 +++ amd_gcn-base-small_2.hsail 2017-12-24 01:26:46.437154345 +0000 @@ -902,16 +902,16 @@ amd_gcn_st_v2_region_u8 ($s0, $s0), [$s0+2]; amd_gcn_st_v3_region_u8 ($s0, $s0, $s0), [$s0+2]; amd_gcn_st_v4_region_u8 ($s0, $s0, $s0, $s0), [$s0+2]; - amd_gcn_st_v2_region_u8 (WAVESIZE, 255), [$s0+2]; - amd_gcn_st_v3_region_u8 (WAVESIZE, 255, 255), [$s0+2]; - amd_gcn_st_v4_region_u8 (WAVESIZE, 255, 255, 255), [$s0+2]; + amd_gcn_st_v2_region_u8 (WAVESIZE, 0), [$s0+2]; + amd_gcn_st_v3_region_u8 (WAVESIZE, 0, 0), [$s0+2]; + amd_gcn_st_v4_region_u8 (WAVESIZE, 0, 0, 0), [$s0+2]; amd_gcn_st_v2_region_u8 (0, $s0), [$s0+2]; - amd_gcn_st_v3_region_u8 (0, 255, $s0), [$s0+2]; - amd_gcn_st_v4_region_u8 (0, 255, $s0, $s0), [$s0+2]; + amd_gcn_st_v3_region_u8 (0, 0, $s0), [$s0+2]; + amd_gcn_st_v4_region_u8 (0, 0, $s0, $s0), [$s0+2]; amd_gcn_st_v2_region_u8 ($s0, $s1), [$s0+2]; amd_gcn_st_v3_region_u8 ($s0, $s1, $s2), [$s0+2]; amd_gcn_st_v4_region_u8 ($s0, $s1, $s2, $s3), [$s0+2]; - amd_gcn_st_region_u8 1, [$s0+2]; + amd_gcn_st_region_u8 0, [$s0+2]; amd_gcn_st_region_u8 WAVESIZE, [$s0+2]; amd_gcn_st_region_u8 $s0, [$s0+3]; amd_gcn_st_region_u8 $s0, [$s0+5]; @@ -1047,7 +1047,7 @@ amd_gcn_st_v2_region_s8 ($s0, $s1), [$s0+2]; amd_gcn_st_v3_region_s8 ($s0, $s1, $s2), [$s0+2]; amd_gcn_st_v4_region_s8 ($s0, $s1, $s2, $s3), [$s0+2]; - amd_gcn_st_region_s8 1, [$s0+2]; + amd_gcn_st_region_s8 0, [$s0+2]; amd_gcn_st_region_s8 WAVESIZE, [$s0+2]; amd_gcn_st_region_s8 $s0, [$s0+3]; amd_gcn_st_region_s8 $s0, [$s0+5]; @@ -1234,16 +1234,16 @@ amd_gcn_st_v2_region_b128 ($q0, $q0), [$s0+2]; amd_gcn_st_v3_region_b128 ($q0, $q0, $q0), [$s0+2]; amd_gcn_st_v4_region_b128 ($q0, $q0, $q0, $q0), [$s0+2]; - amd_gcn_st_v2_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [$s0+2]; - amd_gcn_st_v3_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [$s0+2]; - amd_gcn_st_v4_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [$s0+2]; + amd_gcn_st_v2_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [$s0+2]; + amd_gcn_st_v3_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [$s0+2]; + amd_gcn_st_v4_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [$s0+2]; amd_gcn_st_v2_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [$s0+2]; - amd_gcn_st_v3_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0), [$s0+2]; - amd_gcn_st_v4_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0, $q0), [$s0+2]; + amd_gcn_st_v3_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [$s0+2]; + amd_gcn_st_v4_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0, $q0), [$s0+2]; amd_gcn_st_v2_region_b128 ($q0, $q1), [$s0+2]; amd_gcn_st_v3_region_b128 ($q0, $q1, $q2), [$s0+2]; amd_gcn_st_v4_region_b128 ($q0, $q1, $q2, $q3), [$s0+2]; - amd_gcn_st_region_b128 u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), [$s0+2]; + amd_gcn_st_region_b128 u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), [$s0+2]; amd_gcn_st_region_b128 $q0, [$s0+3]; amd_gcn_st_region_b128 $q0, [$s0+5]; amd_gcn_st_region_b128 $q0, [$s0+6]; 17/115 Test #18: 1.0/instruction/amd_gcn-base-large ................***Failed 0.04 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/instruction/amd_gcn-base-large.hsail 2017-03-06 16:05:12.000000000 +0000 +++ amd_gcn-base-large_2.hsail 2017-12-24 01:26:46.427154345 +0000 @@ -902,16 +902,16 @@ amd_gcn_st_v2_region_u8 ($s0, $s0), [$s0+2]; amd_gcn_st_v3_region_u8 ($s0, $s0, $s0), [$s0+2]; amd_gcn_st_v4_region_u8 ($s0, $s0, $s0, $s0), [$s0+2]; - amd_gcn_st_v2_region_u8 (WAVESIZE, 255), [$s0+2]; - amd_gcn_st_v3_region_u8 (WAVESIZE, 255, 255), [$s0+2]; - amd_gcn_st_v4_region_u8 (WAVESIZE, 255, 255, 255), [$s0+2]; + amd_gcn_st_v2_region_u8 (WAVESIZE, 0), [$s0+2]; + amd_gcn_st_v3_region_u8 (WAVESIZE, 0, 0), [$s0+2]; + amd_gcn_st_v4_region_u8 (WAVESIZE, 0, 0, 0), [$s0+2]; amd_gcn_st_v2_region_u8 (0, $s0), [$s0+2]; - amd_gcn_st_v3_region_u8 (0, 255, $s0), [$s0+2]; - amd_gcn_st_v4_region_u8 (0, 255, $s0, $s0), [$s0+2]; + amd_gcn_st_v3_region_u8 (0, 0, $s0), [$s0+2]; + amd_gcn_st_v4_region_u8 (0, 0, $s0, $s0), [$s0+2]; amd_gcn_st_v2_region_u8 ($s0, $s1), [$s0+2]; amd_gcn_st_v3_region_u8 ($s0, $s1, $s2), [$s0+2]; amd_gcn_st_v4_region_u8 ($s0, $s1, $s2, $s3), [$s0+2]; - amd_gcn_st_region_u8 1, [$s0+2]; + amd_gcn_st_region_u8 0, [$s0+2]; amd_gcn_st_region_u8 WAVESIZE, [$s0+2]; amd_gcn_st_region_u8 $s0, [$s0+3]; amd_gcn_st_region_u8 $s0, [$s0+5]; @@ -1047,7 +1047,7 @@ amd_gcn_st_v2_region_s8 ($s0, $s1), [$s0+2]; amd_gcn_st_v3_region_s8 ($s0, $s1, $s2), [$s0+2]; amd_gcn_st_v4_region_s8 ($s0, $s1, $s2, $s3), [$s0+2]; - amd_gcn_st_region_s8 1, [$s0+2]; + amd_gcn_st_region_s8 0, [$s0+2]; amd_gcn_st_region_s8 WAVESIZE, [$s0+2]; amd_gcn_st_region_s8 $s0, [$s0+3]; amd_gcn_st_region_s8 $s0, [$s0+5]; @@ -1234,16 +1234,16 @@ amd_gcn_st_v2_region_b128 ($q0, $q0), [$s0+2]; amd_gcn_st_v3_region_b128 ($q0, $q0, $q0), [$s0+2]; amd_gcn_st_v4_region_b128 ($q0, $q0, $q0, $q0), [$s0+2]; - amd_gcn_st_v2_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [$s0+2]; - amd_gcn_st_v3_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [$s0+2]; - amd_gcn_st_v4_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [$s0+2]; + amd_gcn_st_v2_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [$s0+2]; + amd_gcn_st_v3_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [$s0+2]; + amd_gcn_st_v4_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [$s0+2]; amd_gcn_st_v2_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [$s0+2]; - amd_gcn_st_v3_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0), [$s0+2]; - amd_gcn_st_v4_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0, $q0), [$s0+2]; + amd_gcn_st_v3_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [$s0+2]; + amd_gcn_st_v4_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0, $q0), [$s0+2]; amd_gcn_st_v2_region_b128 ($q0, $q1), [$s0+2]; amd_gcn_st_v3_region_b128 ($q0, $q1, $q2), [$s0+2]; amd_gcn_st_v4_region_b128 ($q0, $q1, $q2, $q3), [$s0+2]; - amd_gcn_st_region_b128 u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), [$s0+2]; + amd_gcn_st_region_b128 u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), [$s0+2]; amd_gcn_st_region_b128 $q0, [$s0+3]; amd_gcn_st_region_b128 $q0, [$s0+5]; amd_gcn_st_region_b128 $q0, [$s0+6]; 18/115 Test #17: 1.0/instruction/IMAGE-full-small .................. Passed 0.06 sec 19/115 Test #16: 1.0/instruction/IMAGE-full-large .................. Passed 0.07 sec Start 20: 1.0/instruction/amd_gcn-full-large Start 21: 1.0/instruction/amd_gcn-full-small Start 22: 1.0/instruction/amd_mipmap-base-large Start 23: 1.0/instruction/amd_mipmap-base-small 20/115 Test #23: 1.0/instruction/amd_mipmap-base-small ............. Passed 0.09 sec 21/115 Test #22: 1.0/instruction/amd_mipmap-base-large ............. Passed 0.09 sec 22/115 Test #21: 1.0/instruction/amd_gcn-full-small ................***Failed 0.10 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/instruction/amd_gcn-full-small.hsail 2017-03-06 16:05:12.000000000 +0000 +++ amd_gcn-full-small_2.hsail 2017-12-24 01:26:46.497154345 +0000 @@ -1011,16 +1011,16 @@ amd_gcn_st_v2_region_u8 ($s0, $s0), [$s0+2]; amd_gcn_st_v3_region_u8 ($s0, $s0, $s0), [$s0+2]; amd_gcn_st_v4_region_u8 ($s0, $s0, $s0, $s0), [$s0+2]; - amd_gcn_st_v2_region_u8 (WAVESIZE, 255), [$s0+2]; - amd_gcn_st_v3_region_u8 (WAVESIZE, 255, 255), [$s0+2]; - amd_gcn_st_v4_region_u8 (WAVESIZE, 255, 255, 255), [$s0+2]; + amd_gcn_st_v2_region_u8 (WAVESIZE, 0), [$s0+2]; + amd_gcn_st_v3_region_u8 (WAVESIZE, 0, 0), [$s0+2]; + amd_gcn_st_v4_region_u8 (WAVESIZE, 0, 0, 0), [$s0+2]; amd_gcn_st_v2_region_u8 (0, $s0), [$s0+2]; - amd_gcn_st_v3_region_u8 (0, 255, $s0), [$s0+2]; - amd_gcn_st_v4_region_u8 (0, 255, $s0, $s0), [$s0+2]; + amd_gcn_st_v3_region_u8 (0, 0, $s0), [$s0+2]; + amd_gcn_st_v4_region_u8 (0, 0, $s0, $s0), [$s0+2]; amd_gcn_st_v2_region_u8 ($s0, $s1), [$s0+2]; amd_gcn_st_v3_region_u8 ($s0, $s1, $s2), [$s0+2]; amd_gcn_st_v4_region_u8 ($s0, $s1, $s2, $s3), [$s0+2]; - amd_gcn_st_region_u8 1, [$s0+2]; + amd_gcn_st_region_u8 0, [$s0+2]; amd_gcn_st_region_u8 WAVESIZE, [$s0+2]; amd_gcn_st_region_u8 $s0, [$s0+3]; amd_gcn_st_region_u8 $s0, [$s0+5]; @@ -1156,7 +1156,7 @@ amd_gcn_st_v2_region_s8 ($s0, $s1), [$s0+2]; amd_gcn_st_v3_region_s8 ($s0, $s1, $s2), [$s0+2]; amd_gcn_st_v4_region_s8 ($s0, $s1, $s2, $s3), [$s0+2]; - amd_gcn_st_region_s8 1, [$s0+2]; + amd_gcn_st_region_s8 0, [$s0+2]; amd_gcn_st_region_s8 WAVESIZE, [$s0+2]; amd_gcn_st_region_s8 $s0, [$s0+3]; amd_gcn_st_region_s8 $s0, [$s0+5]; @@ -1373,16 +1373,16 @@ amd_gcn_st_v2_region_b128 ($q0, $q0), [$s0+2]; amd_gcn_st_v3_region_b128 ($q0, $q0, $q0), [$s0+2]; amd_gcn_st_v4_region_b128 ($q0, $q0, $q0, $q0), [$s0+2]; - amd_gcn_st_v2_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [$s0+2]; - amd_gcn_st_v3_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [$s0+2]; - amd_gcn_st_v4_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [$s0+2]; + amd_gcn_st_v2_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [$s0+2]; + amd_gcn_st_v3_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [$s0+2]; + amd_gcn_st_v4_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [$s0+2]; amd_gcn_st_v2_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [$s0+2]; - amd_gcn_st_v3_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0), [$s0+2]; - amd_gcn_st_v4_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0, $q0), [$s0+2]; + amd_gcn_st_v3_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [$s0+2]; + amd_gcn_st_v4_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0, $q0), [$s0+2]; amd_gcn_st_v2_region_b128 ($q0, $q1), [$s0+2]; amd_gcn_st_v3_region_b128 ($q0, $q1, $q2), [$s0+2]; amd_gcn_st_v4_region_b128 ($q0, $q1, $q2, $q3), [$s0+2]; - amd_gcn_st_region_b128 u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), [$s0+2]; + amd_gcn_st_region_b128 u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), [$s0+2]; amd_gcn_st_region_b128 $q0, [$s0+3]; amd_gcn_st_region_b128 $q0, [$s0+5]; amd_gcn_st_region_b128 $q0, [$s0+6]; 23/115 Test #20: 1.0/instruction/amd_gcn-full-large ................***Failed 0.10 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/instruction/amd_gcn-full-large.hsail 2017-03-06 16:05:12.000000000 +0000 +++ amd_gcn-full-large_2.hsail 2017-12-24 01:26:46.487154345 +0000 @@ -1011,16 +1011,16 @@ amd_gcn_st_v2_region_u8 ($s0, $s0), [$s0+2]; amd_gcn_st_v3_region_u8 ($s0, $s0, $s0), [$s0+2]; amd_gcn_st_v4_region_u8 ($s0, $s0, $s0, $s0), [$s0+2]; - amd_gcn_st_v2_region_u8 (WAVESIZE, 255), [$s0+2]; - amd_gcn_st_v3_region_u8 (WAVESIZE, 255, 255), [$s0+2]; - amd_gcn_st_v4_region_u8 (WAVESIZE, 255, 255, 255), [$s0+2]; + amd_gcn_st_v2_region_u8 (WAVESIZE, 0), [$s0+2]; + amd_gcn_st_v3_region_u8 (WAVESIZE, 0, 0), [$s0+2]; + amd_gcn_st_v4_region_u8 (WAVESIZE, 0, 0, 0), [$s0+2]; amd_gcn_st_v2_region_u8 (0, $s0), [$s0+2]; - amd_gcn_st_v3_region_u8 (0, 255, $s0), [$s0+2]; - amd_gcn_st_v4_region_u8 (0, 255, $s0, $s0), [$s0+2]; + amd_gcn_st_v3_region_u8 (0, 0, $s0), [$s0+2]; + amd_gcn_st_v4_region_u8 (0, 0, $s0, $s0), [$s0+2]; amd_gcn_st_v2_region_u8 ($s0, $s1), [$s0+2]; amd_gcn_st_v3_region_u8 ($s0, $s1, $s2), [$s0+2]; amd_gcn_st_v4_region_u8 ($s0, $s1, $s2, $s3), [$s0+2]; - amd_gcn_st_region_u8 1, [$s0+2]; + amd_gcn_st_region_u8 0, [$s0+2]; amd_gcn_st_region_u8 WAVESIZE, [$s0+2]; amd_gcn_st_region_u8 $s0, [$s0+3]; amd_gcn_st_region_u8 $s0, [$s0+5]; @@ -1156,7 +1156,7 @@ amd_gcn_st_v2_region_s8 ($s0, $s1), [$s0+2]; amd_gcn_st_v3_region_s8 ($s0, $s1, $s2), [$s0+2]; amd_gcn_st_v4_region_s8 ($s0, $s1, $s2, $s3), [$s0+2]; - amd_gcn_st_region_s8 1, [$s0+2]; + amd_gcn_st_region_s8 0, [$s0+2]; amd_gcn_st_region_s8 WAVESIZE, [$s0+2]; amd_gcn_st_region_s8 $s0, [$s0+3]; amd_gcn_st_region_s8 $s0, [$s0+5]; @@ -1373,16 +1373,16 @@ amd_gcn_st_v2_region_b128 ($q0, $q0), [$s0+2]; amd_gcn_st_v3_region_b128 ($q0, $q0, $q0), [$s0+2]; amd_gcn_st_v4_region_b128 ($q0, $q0, $q0, $q0), [$s0+2]; - amd_gcn_st_v2_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [$s0+2]; - amd_gcn_st_v3_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [$s0+2]; - amd_gcn_st_v4_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255)), [$s0+2]; + amd_gcn_st_v2_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [$s0+2]; + amd_gcn_st_v3_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [$s0+2]; + amd_gcn_st_v4_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)), [$s0+2]; amd_gcn_st_v2_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [$s0+2]; - amd_gcn_st_v3_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0), [$s0+2]; - amd_gcn_st_v4_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0, $q0), [$s0+2]; + amd_gcn_st_v3_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [$s0+2]; + amd_gcn_st_v4_region_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0, $q0), [$s0+2]; amd_gcn_st_v2_region_b128 ($q0, $q1), [$s0+2]; amd_gcn_st_v3_region_b128 ($q0, $q1, $q2), [$s0+2]; amd_gcn_st_v4_region_b128 ($q0, $q1, $q2, $q3), [$s0+2]; - amd_gcn_st_region_b128 u8x16(0,0,0,0,0,0,0,0,107,240,55,174,50,95,28,113), [$s0+2]; + amd_gcn_st_region_b128 u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), [$s0+2]; amd_gcn_st_region_b128 $q0, [$s0+3]; amd_gcn_st_region_b128 $q0, [$s0+5]; amd_gcn_st_region_b128 $q0, [$s0+6]; Start 24: 1.0/instruction/amd_mipmap-full-large Start 25: 1.0/instruction/amd_mipmap-full-small Start 26: 1.0/syntax/000_empty_1 Start 27: 1.0/syntax/000_inst_image_large 24/115 Test #27: 1.0/syntax/000_inst_image_large ...................***Failed 0.01 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/syntax/000_inst_image_large.hsail 2017-03-06 16:05:12.000000000 +0000 +++ 000_inst_image_large_2.hsail 2017-12-24 01:26:46.587154345 +0000 @@ -136,16 +136,16 @@ mov_rwimg $d1, $d8; //--------------------------------------- rdimage_v4_1d_s32_roimg_f32 ($s0, $s1, $s5, $s3), $d1, $d3, $s6; - rdimage_v4_1d_s32_roimg_s32 ($s0, $s1, $s5, $s3), $d1, $d3, -123; + rdimage_v4_1d_s32_roimg_s32 ($s0, $s1, $s5, $s3), $d1, $d3, -1; rdimage_v4_1d_s32_roimg_s32 ($s0, $s1, $s5, $s3), $d1, $d3, WAVESIZE; rdimage_v4_1da_u32_roimg_s32 ($s0, $s1, $s2, $s3), $d1, $d3, ($s6, WAVESIZE); rdimage_v4_2d_s32_roimg_s32 ($s0, $s1, $s3, $s4), $d2, $d3, ($s6, $s9); rdimage_v4_2da_f32_roimg_f32 ($s0, $s1, $s3, $s4), $d1, $d3, ($s6, $s9, $s12); rdimage_v4_3d_s32_roimg_f32 ($s0, $s1, $s3, $s4), $d2, $d3, ($s6, $s9, $s2); - rdimage_v4_1da_u32_roimg_s32 ($s0, $s1, $s2, $s3), $d1, $d3, (123, WAVESIZE); - rdimage_v4_2d_s32_roimg_s32 ($s0, $s1, $s3, $s4), $d2, $d3, ($s6, 0xfffffceb); - rdimage_v4_2da_f32_roimg_f32 ($s0, $s1, $s3, $s4), $d1, $d3, (0F3f800000, $s9, 0F40000000); - rdimage_v4_3d_s32_roimg_f32 ($s0, $s1, $s3, $s4), $d2, $d3, ($s6, 0F40400000, $s2); + rdimage_v4_1da_u32_roimg_s32 ($s0, $s1, $s2, $s3), $d1, $d3, (0, WAVESIZE); + rdimage_v4_2d_s32_roimg_s32 ($s0, $s1, $s3, $s4), $d2, $d3, ($s6, 0); + rdimage_v4_2da_f32_roimg_f32 ($s0, $s1, $s3, $s4), $d1, $d3, (0F0000803f, $s9, 0F00000040); + rdimage_v4_3d_s32_roimg_f32 ($s0, $s1, $s3, $s4), $d2, $d3, ($s6, 0F00004040, $s2); rdimage_v4_3d_s32_roimg_f32 ($s0, $s1, $s3, $s4), $d2, $d3, ($s6, $s9, $s2); rdimage_v4_3d_equiv(1)_s32_roimg_f32 ($s0, $s1, $s3, $s4), $d2, $d3, ($s6, $s9, $s2); rdimage_v4_3d_equiv(255)_s32_roimg_f32 ($s0, $s1, $s3, $s4), $d2, $d3, ($s6, $s9, $s2); @@ -153,16 +153,16 @@ rdimage_2dadepth_s32_roimg_f32 $s0, $d2, $d3, ($s6, $s9, $s10); //--------------------------------------- ldimage_v4_1d_u32_roimg_u32 ($s1, $s2, $s3, $s4), $d2, $s4; - ldimage_v4_1db_u32_roimg_u32 ($s1, $s2, $s3, $s4), $d2, 123; + ldimage_v4_1db_u32_roimg_u32 ($s1, $s2, $s3, $s4), $d2, 0; ldimage_v4_1db_u32_roimg_u32 ($s1, $s2, $s3, $s4), $d2, WAVESIZE; ldimage_v4_2d_s32_rwimg_u32 ($s1, $s2, $s3, $s4), $d1, ($s4, WAVESIZE); ldimage_v4_1da_s32_rwimg_u32 ($s1, $s2, $s3, $s4), $d1, ($s4, $s5); ldimage_v4_3d_f32_rwimg_u32 ($s1, $s2, $s3, $s4), $d1, ($s4, $s5, $s6); ldimage_v4_2da_f32_roimg_u32 ($s1, $s2, $s3, $s4), $d2, ($s4, $s1, $s2); - ldimage_v4_2d_s32_rwimg_u32 ($s1, $s2, $s3, $s4), $d1, (123, $s5); - ldimage_v4_1da_s32_rwimg_u32 ($s1, $s2, $s3, $s4), $d1, ($s4, 0x1c8); + ldimage_v4_2d_s32_rwimg_u32 ($s1, $s2, $s3, $s4), $d1, (0, $s5); + ldimage_v4_1da_s32_rwimg_u32 ($s1, $s2, $s3, $s4), $d1, ($s4, 0); ldimage_v4_3d_f32_rwimg_u32 ($s1, $s2, $s3, $s4), $d1, (0, $s5, WAVESIZE); - ldimage_v4_2da_f32_roimg_u32 ($s1, $s2, $s3, $s4), $d2, ($s4, $s1, 1); + ldimage_v4_2da_f32_roimg_u32 ($s1, $s2, $s3, $s4), $d2, ($s4, $s1, 0); ldimage_v4_2da_f32_roimg_u32 ($s1, $s2, $s3, $s4), $d2, ($s4, $s1, $s2); ldimage_v4_2da_equiv(1)_f32_roimg_u32 ($s1, $s2, $s3, $s4), $d2, ($s4, $s1, $s2); ldimage_v4_2da_equiv(255)_f32_roimg_u32 ($s1, $s2, $s3, $s4), $d2, ($s4, $s1, $s2); @@ -176,10 +176,10 @@ stimage_v4_1da_u32_woimg_u32 ($s1, $s2, $s3, $s4), $d1, ($s4, $s5); stimage_v4_3d_f32_rwimg_u32 ($s1, $s2, $s3, $s4), $d1, ($s4, $s5, $s6); stimage_v4_2da_s32_woimg_u32 ($s1, $s2, $s3, $s4), $d1, ($s4, WAVESIZE, $s6); - stimage_v4_2d_u32_rwimg_u32 ($s1, $s2, $s3, $s4), $d1, (0x2fd, $s5); - stimage_v4_1da_u32_woimg_u32 ($s1, $s2, $s3, $s4), $d1, ($s4, 0xfffffd86); + stimage_v4_2d_u32_rwimg_u32 ($s1, $s2, $s3, $s4), $d1, (0, $s5); + stimage_v4_1da_u32_woimg_u32 ($s1, $s2, $s3, $s4), $d1, ($s4, 0); stimage_v4_3d_f32_rwimg_u32 ($s1, $s2, $s3, $s4), $d1, ($s4, 0, $s6); - stimage_v4_2da_s32_woimg_u32 ($s1, $s2, $s3, $s4), $d1, (0xffffe220, $s5, $s6); + stimage_v4_2da_s32_woimg_u32 ($s1, $s2, $s3, $s4), $d1, (0, $s5, $s6); stimage_v4_2da_s32_rwimg_u32 ($s1, $s2, $s3, $s4), $d1, ($s4, $s5, $s6); stimage_v4_2da_equiv(1)_s32_woimg_u32 ($s1, $s2, $s3, $s4), $d1, ($s4, $s5, $s6); stimage_v4_2da_equiv(255)_s32_rwimg_u32 ($s1, $s2, $s3, $s4), $d1, ($s4, $s5, $s6); 25/115 Test #26: 1.0/syntax/000_empty_1 ............................ Passed 0.01 sec 26/115 Test #25: 1.0/instruction/amd_mipmap-full-small ............. Passed 0.09 sec 27/115 Test #24: 1.0/instruction/amd_mipmap-full-large ............. Passed 0.10 sec Start 28: 1.0/syntax/000_inst_image_small Start 29: 1.0/syntax/000_inst_large Start 30: 1.0/syntax/000_inst_large_base Start 31: 1.0/syntax/000_inst_small 28/115 Test #31: 1.0/syntax/000_inst_small .........................***Failed 0.01 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/syntax/000_inst_small.hsail 2017-03-06 16:05:12.000000000 +0000 +++ 000_inst_small_2.hsail 2017-12-24 01:26:46.687154345 +0000 @@ -215,13 +215,13 @@ st_global_f32 $s1, [4294967295]; st_group_u32 $s0, [4294967295]; //--------------------------------------- - atomic_and_global_scar_system_b32 $s1, [&x][$s0], 23; - atomic_and_group_rlx_wg_b32 $s1, [&g][$s0], 23; - atomic_min_rlx_wg_u32 $s1, [$s7], 23; - //--------------------------------------- - atomicnoret_and_global_scar_system_b32 [&x], 23; - atomicnoret_and_group_scar_wave_b32 [&g][4], 23; - atomicnoret_or_group_scar_wg_b32 [&g][$s0], 23; + atomic_and_global_scar_system_b32 $s1, [&x][$s0], 0; + atomic_and_group_rlx_wg_b32 $s1, [&g][$s0], 0; + atomic_min_rlx_wg_u32 $s1, [$s7], 0; + //--------------------------------------- + atomicnoret_and_global_scar_system_b32 [&x], 0; + atomicnoret_and_group_scar_wave_b32 [&g][4], 0; + atomicnoret_or_group_scar_wg_b32 [&g][$s0], 0; //--------------------------------------- // signal + rlx signal_ld_rlx_b32_sig32 $s2, $d0; @@ -252,7 +252,7 @@ signal_and_scacq_b32_sig32 $s2, $d0, WAVESIZE; signal_or_scacq_b32_sig32 $s2, $d0, WAVESIZE; signal_xor_scacq_b32_sig32 $s2, $d0, WAVESIZE; - signal_cas_scacq_b32_sig32 $s2, $d0, WAVESIZE, 12; + signal_cas_scacq_b32_sig32 $s2, $d0, WAVESIZE, 0; signal_exch_scacq_b32_sig32 $s2, $d0, WAVESIZE; signal_add_scacq_u32_sig32 $s2, $d0, WAVESIZE; signal_sub_scacq_s32_sig32 $s2, $d0, WAVESIZE; @@ -271,34 +271,34 @@ signalnoret_sub_scacq_u32_sig32 $d0, WAVESIZE; //--------------------------------------- // signal + rel - signal_and_screl_b32_sig32 $s2, $d0, 23; - signal_or_screl_b32_sig32 $s2, $d0, 23; - signal_xor_screl_b32_sig32 $s2, $d0, 23; - signal_cas_screl_b32_sig32 $s2, $d0, 23, 12; - signal_exch_screl_b32_sig32 $s2, $d0, 23; - signal_add_screl_s32_sig32 $s2, $d0, 23; - signal_sub_screl_s32_sig32 $s2, $d0, 23; + signal_and_screl_b32_sig32 $s2, $d0, 0; + signal_or_screl_b32_sig32 $s2, $d0, 0; + signal_xor_screl_b32_sig32 $s2, $d0, 0; + signal_cas_screl_b32_sig32 $s2, $d0, 0, 0; + signal_exch_screl_b32_sig32 $s2, $d0, 0; + signal_add_screl_s32_sig32 $s2, $d0, 0; + signal_sub_screl_s32_sig32 $s2, $d0, 0; signalnoret_st_screl_b32_sig32 $d0, $s2; - signalnoret_and_screl_b32_sig32 $d0, 23; - signalnoret_or_screl_b32_sig32 $d0, 23; - signalnoret_xor_screl_b32_sig32 $d0, 23; - signalnoret_add_screl_u32_sig32 $d0, 23; - signalnoret_sub_screl_u32_sig32 $d0, 23; + signalnoret_and_screl_b32_sig32 $d0, 0; + signalnoret_or_screl_b32_sig32 $d0, 0; + signalnoret_xor_screl_b32_sig32 $d0, 0; + signalnoret_add_screl_u32_sig32 $d0, 0; + signalnoret_sub_screl_u32_sig32 $d0, 0; //--------------------------------------- // signal + ar //signal_ld_scar_b32_sig32 $s2, $d0; - signal_and_scar_b32_sig32 $s2, $d0, 23; - signal_or_scar_b32_sig32 $s2, $d0, 23; - signal_xor_scar_b32_sig32 $s2, $d0, 23; - signal_cas_scar_b32_sig32 $s2, $d0, 23, WAVESIZE; - signal_exch_scar_b32_sig32 $s2, $d0, 23; - signal_add_scar_s32_sig32 $s2, $d0, 23; - signal_sub_scar_s32_sig32 $s2, $d0, 23; - signalnoret_and_scar_b32_sig32 $d0, 23; - signalnoret_or_scar_b32_sig32 $d0, 23; - signalnoret_xor_scar_b32_sig32 $d0, 23; - signalnoret_add_scar_s32_sig32 $d0, 23; - signalnoret_sub_scar_u32_sig32 $d0, 23; + signal_and_scar_b32_sig32 $s2, $d0, 0; + signal_or_scar_b32_sig32 $s2, $d0, 0; + signal_xor_scar_b32_sig32 $s2, $d0, 0; + signal_cas_scar_b32_sig32 $s2, $d0, 0, WAVESIZE; + signal_exch_scar_b32_sig32 $s2, $d0, 0; + signal_add_scar_s32_sig32 $s2, $d0, 0; + signal_sub_scar_s32_sig32 $s2, $d0, 0; + signalnoret_and_scar_b32_sig32 $d0, 0; + signalnoret_or_scar_b32_sig32 $d0, 0; + signalnoret_xor_scar_b32_sig32 $d0, 0; + signalnoret_add_scar_s32_sig32 $d0, 0; + signalnoret_sub_scar_u32_sig32 $d0, 0; //--------------------------------------- cbr_b1 $c0, @label3; br @label2; 29/115 Test #30: 1.0/syntax/000_inst_large_base ....................***Failed 0.01 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/syntax/000_inst_large_base.hsail 2017-03-06 16:05:12.000000000 +0000 +++ 000_inst_large_base_2.hsail 2017-12-24 01:26:46.687154345 +0000 @@ -122,14 +122,14 @@ abs_f16 $s1, $s2; abs_f32 $s1, $s2; //--------------------------------------- - neg_s32 $s1, 100; + neg_s32 $s1, 0; neg_s64 $d1, $d2; neg_s_s8x4 $s1, $s2; neg_p_s8x4 $s1, $s2; - neg_f32 $s3, 0F3f800000; + neg_f32 $s3, 0F0000803f; //--------------------------------------- - add_s32 $s1, 42, $s2; - add_u32 $s1, $s2, 35; + add_s32 $s1, 0, $s2; + add_u32 $s1, $s2, 0; add_s64 $d1, $d2, 23; add_u64 $d1, 61, 0x233412349456; add_pp_sat_u16x2 $s1, $s0, $s3; @@ -163,36 +163,36 @@ add_ftz_ss_f16x8 $q3, $q2, $q1; add_ftz_pp_f32x4 $q3, $q2, $q1; //--------------------------------------- - div_s32 $s1, 100, 10; - div_u32 $s1, $s2, 35; + div_s32 $s1, 0, 0; + div_u32 $s1, $s2, 0; div_s64 $d1, $d2, 23; div_u64 $d1, $d3, 0x233412349456; //--------------------------------------- - max_s32 $s1, 100, 10; - max_u32 $s1, $s2, 35; + max_s32 $s1, 0, 0; + max_u32 $s1, $s2, 0; max_s64 $d1, $d2, 23; max_u64 $d1, $d3, 0x233412349456; //--------------------------------------- - min_s32 $s1, 100, 10; - min_u32 $s1, $s2, 35; + min_s32 $s1, 0, 0; + min_u32 $s1, $s2, 0; min_s64 $d1, $d2, 23; min_u64 $d1, $d3, 0x233412349456; //--------------------------------------- - mul_s32 $s1, 100, 10; - mul_u32 $s1, $s2, 35; + mul_s32 $s1, 0, 0; + mul_u32 $s1, $s2, 0; mul_s64 $d1, $d2, 23; mul_u64 $d1, $d3, 0x233412349456; //--------------------------------------- mulhi_s32 $s1, $s3, $s3; mulhi_u32 $s1, $s2, $s9; //--------------------------------------- - rem_s32 $s1, 100, 10; + rem_s32 $s1, 0, 0; rem_u32 $s1, $s2, -1; rem_s64 $d1, $d2, 23; rem_u64 $d1, $d3, 0x233412349456; //--------------------------------------- - sub_s32 $s1, 100, 10; - sub_u32 $s1, $s2, 35; + sub_s32 $s1, 0, 0; + sub_u32 $s1, $s2, 0; sub_s64 $d1, $d2, 23; sub_u64 $d1, $d3, 0x233412349456; //--------------------------------------- @@ -215,28 +215,28 @@ mad_ftz_f32 $s0, $s0, 0Fffffffff, $s0; mad_ftz_f32 $s0, $s0, $s0, 0Fffffffff; //--------------------------------------- - mad24_s32 $s1, $s2, -12, 23; - mad24_u32 $s1, $s2, 12, 2; - mad24hi_s32 $s1, $s2, -12, 23; - mad24hi_u32 $s1, $s2, 12, 2; - mul24_s32 $s1, $s2, -12; - mul24_u32 $s1, $s2, 12; - mul24hi_s32 $s1, $s2, -12; - mul24hi_u32 $s1, $s2, 12; - //--------------------------------------- - shl_u32 $s1, $s2, 2; - shl_u64 $d1, $d2, 2; - shl_s32 $s1, $s2, 2; - shl_s64 $d1, $d2, 2; - shr_u32 $s1, $s2, 2; - shr_u64 $d1, $d2, 2; - shr_s32 $s1, $s2, 2; - shr_s64 $d1, $d2, 2; - shl_u8x8 $d0, $d1, 2; - shl_u8x4 $s1, $s2, 2; - shl_u8x8 $d1, $d2, 1; - shr_u8x4 $s1, $s2, 1; - shr_u8x8 $d1, $d2, 2; + mad24_s32 $s1, $s2, -1, 0; + mad24_u32 $s1, $s2, 0, 0; + mad24hi_s32 $s1, $s2, -1, 0; + mad24hi_u32 $s1, $s2, 0, 0; + mul24_s32 $s1, $s2, -1; + mul24_u32 $s1, $s2, 0; + mul24hi_s32 $s1, $s2, -1; + mul24hi_u32 $s1, $s2, 0; + //--------------------------------------- + shl_u32 $s1, $s2, 0; + shl_u64 $d1, $d2, 0; + shl_s32 $s1, $s2, 0; + shl_s64 $d1, $d2, 0; + shr_u32 $s1, $s2, 0; + shr_u64 $d1, $d2, 0; + shr_s32 $s1, $s2, 0; + shr_s64 $d1, $d2, 0; + shl_u8x8 $d0, $d1, 0; + shl_u8x4 $s1, $s2, 0; + shl_u8x8 $d1, $d2, 0; + shr_u8x4 $s1, $s2, 0; + shr_u8x8 $d1, $d2, 0; //--------------------------------------- and_b1 $c0, $c2, $c3; and_b32 $s0, $s2, $s3; @@ -258,17 +258,17 @@ //--------------------------------------- bitrev_b32 $s1, $s2; bitrev_b64 $d1, 0x234; - bitextract_s32 $s1, $s1, 2, 3; + bitextract_s32 $s1, $s1, 0, 0; bitextract_s32 $s1, $s1, $s0, $s0; bitextract_u64 $d1, $d1, $s1, $s2; - bitinsert_s32 $s1, $s1, $s2, 2, 3; + bitinsert_s32 $s1, $s1, $s2, 0, 0; bitinsert_s32 $s1, $s1, $s2, $s0, $s0; bitinsert_u32 $s1, $s1, $s2, $s0, $s0; bitinsert_u64 $d1, $d2, $d3, $s1, $s2; bitmask_b32 $s0, $s1, $s2; bitmask_b64 $d0, $s1, $s2; - bitmask_b32 $s0, 1, 0; - bitmask_b64 $d0, 1, 2; + bitmask_b32 $s0, 0, 0; + bitmask_b64 $d0, 0, 0; bitselect_b32 $s3, $s0, $s3, $s4; firstbit_u32_s32 $s0, $s0; firstbit_u32_u64 $s0, $d6; @@ -284,13 +284,13 @@ combine_v2_b128_b64 $q0, ($d0, $d1); combine_v2_b64_b32 $d0, (0, -1); combine_v2_b64_b32 $d0, (0, -1); - combine_v4_b128_b32 $q0, (0, $s1, 2, $s3); + combine_v4_b128_b32 $q0, (0, $s1, 0, $s3); combine_v2_b128_b64 $q0, ($d0, 1); - combine_v2_b64_b32 $d0, (0, 0x3f800000); - combine_v4_b128_b32 $q0, (0, $s1, 0x40000000, $s3); + combine_v2_b64_b32 $d0, (0, 0); + combine_v4_b128_b32 $q0, (0, $s1, 0, $s3); combine_v2_b128_b64 $q0, ($d0, 4607182418800017408); - combine_v2_b64_b32 $d0, (0x10002, 0x1020304); - combine_v4_b128_b32 $q0, (0x3c004000, $s1, $s2, $s3); + combine_v2_b64_b32 $d0, (0, 0); + combine_v4_b128_b32 $q0, (0, $s1, $s2, $s3); combine_v2_b128_b64 $q0, (0x1000200030004, 0x3f80000040000000); //--------------------------------------- expand_v2_b32_b64 ($s0, $s1), $d0; @@ -331,9 +331,9 @@ //--------------------------------------- @lab: - shuffle_u8x4 $s10, $s12, $s12, 85; + shuffle_u8x4 $s10, $s12, $s12, 0; //--------------------------------------- - unpacklo_u8x4 $s1, $s2, u8x4(1,2,3,4); + unpacklo_u8x4 $s1, $s2, u8x4(0,0,0,0); unpackhi_f16x2 $s3, $s3, $s4; //--------------------------------------- pack_f16x2_f16 $s1, $s2, $s3, $s1; @@ -362,13 +362,13 @@ pack_s64x2_s64 $q1, $q1, $d1, $s0; pack_u32x2_u32 $d1, $d1, -1, -1; pack_s64x2_s64 $q1, $q1, -1, -1; - pack_f32x2_f32 $d1, $d1, $s2, 1; - pack_f32x4_f32 $q1, $q1, $s2, 3; - pack_u32x2_u32 $d1, $d1, $s1, 2; + pack_f32x2_f32 $d1, $d1, $s2, 0; + pack_f32x4_f32 $q1, $q1, $s2, 0; + pack_u32x2_u32 $d1, $d1, $s1, 0; pack_s64x2_s64 $q1, $q1, $d1, 0; - pack_u8x4_u32 $s1, $s2, $s3, 2; - pack_f16x2_f16 $s1, $s2, $s3, 1; - pack_f16x4_f16 $d1, $d2, $s3, 3; + pack_u8x4_u32 $s1, $s2, $s3, 0; + pack_f16x2_f16 $s1, $s2, $s3, 0; + pack_f16x4_f16 $d1, $d2, $s3, 0; //--------------------------------------- unpack_f16_f16x2 $s1, $s2, $s1; unpack_f16_f16x4 $s1, $d2, $s3; @@ -400,18 +400,18 @@ unpack_u32_u8x4 $s1, $s2, $s1; unpack_u32_u8x4 $s1, $s2, $s2; unpack_u32_u8x4 $s1, $s2, $s3; - unpack_f32_f32x2 $s1, f32x2(0F3f800000,0F40000000), -1; - unpack_f32_f32x2 $s1, $d2, 1; - unpack_u32_u8x4 $s1, $s2, 2; + unpack_f32_f32x2 $s1, f32x2(0F0000803f,0F00000040), -1; + unpack_f32_f32x2 $s1, $d2, 0; + unpack_u32_u8x4 $s1, $s2, 0; unpack_s32_s16x4 $s1, $d1, 0; - unpack_f16_f16x2 $s1, $s2, 1; - unpack_f16_f16x4 $s1, $d2, 3; - unpack_f32_f32x4 $s1, $q2, 3; - unpack_u32_u32x4 $s1, $q1, 2; + unpack_f16_f16x2 $s1, $s2, 0; + unpack_f16_f16x4 $s1, $d2, 0; + unpack_f32_f32x4 $s1, $q2, 0; + unpack_u32_u32x4 $s1, $q1, 0; unpack_s64_s64x2 $d1, $q1, 0; //--------------------------------------- cmov_b32 $s1, $c3, $s1, $s2; - cmov_b32 $s1, 1, $s1, $s2; + cmov_b32 $s1, 0, $s1, $s2; cmov_b64 $d1, $c3, $d1, $d2; cmov_b32 $s1, $c0, $s1, $s2; cmov_u8x4 $s1, $s0, $s1, $s2; @@ -419,60 +419,60 @@ cmov_s8x8 $d1, $d0, $d1, $d2; cmov_s64x2 $q1, $q0, $q1, $q2; copysign_f32 $s3, $s2, $s1; - div_ftz_f32 $s3, 0F3f800000, $s1; - fma_ftz_f32 $s3, 0F3f800000, $s1, 0F41b80000; - max_ftz_f32 $s3, 0F3f800000, $s1; - min_ftz_f32 $s3, 0F3f800000, $s1; - mul_ftz_f32 $s3, 0F3f800000, $s1; - sub_ftz_f32 $s3, 0F3f800000, $s1; - fract_ftz_f32 $s0, 0F404ccccd; - //--------------------------------------- - sqrt_ftz_f16 $s0, 0H4266; - sqrt_ftz_f32 $s0, 0F404ccccd; - sqrt_ftz_f16 $s0, 0H4266; - sqrt_ftz_f32 $s0, 0F404ccccd; + div_ftz_f32 $s3, 0F0000803f, $s1; + fma_ftz_f32 $s3, 0F0000803f, $s1, 0F0000b841; + max_ftz_f32 $s3, 0F0000803f, $s1; + min_ftz_f32 $s3, 0F0000803f, $s1; + mul_ftz_f32 $s3, 0F0000803f, $s1; + sub_ftz_f32 $s3, 0F0000803f, $s1; + fract_ftz_f32 $s0, 0Fcdcc4c40; + //--------------------------------------- + sqrt_ftz_f16 $s0, 0H6642; + sqrt_ftz_f32 $s0, 0Fcdcc4c40; + sqrt_ftz_f16 $s0, 0H6642; + sqrt_ftz_f32 $s0, 0Fcdcc4c40; sqrt_ftz_p_f16x2 $s0, $s0; sqrt_ftz_s_f32x2 $d0, $d0; sqrt_ftz_s_f16x2 $s0, $s0; sqrt_ftz_p_f32x2 $d0, $d0; sqrt_ftz_p_f32x2 $d0, $d0; //--------------------------------------- - ceil_ftz_f16 $s0, 0H4266; - ceil_ftz_f32 $s0, 0F404ccccd; - ceil_ftz_f16 $s0, 0H4266; + ceil_ftz_f16 $s0, 0H6642; + ceil_ftz_f32 $s0, 0Fcdcc4c40; + ceil_ftz_f16 $s0, 0H6642; ceil_ftz_p_f16x2 $s0, $s0; ceil_ftz_s_f32x2 $d0, $d0; ceil_ftz_s_f16x2 $s0, $s0; //--------------------------------------- - floor_ftz_f16 $s0, 0H4266; - floor_ftz_f32 $s0, 0F404ccccd; - floor_ftz_f16 $s0, 0H4266; + floor_ftz_f16 $s0, 0H6642; + floor_ftz_f32 $s0, 0Fcdcc4c40; + floor_ftz_f16 $s0, 0H6642; floor_ftz_p_f16x2 $s0, $s0; floor_ftz_s_f32x2 $d0, $d0; floor_ftz_s_f16x2 $s0, $s0; //--------------------------------------- - rint_ftz_f16 $s0, 0H4266; - rint_ftz_f32 $s0, 0F404ccccd; - rint_ftz_f16 $s0, 0H4266; + rint_ftz_f16 $s0, 0H6642; + rint_ftz_f32 $s0, 0Fcdcc4c40; + rint_ftz_f16 $s0, 0H6642; rint_ftz_p_f16x2 $s0, $s0; rint_ftz_s_f32x2 $d0, $d0; rint_ftz_s_f16x2 $s0, $s0; //--------------------------------------- - trunc_ftz_f16 $s0, 0H4266; - trunc_ftz_f32 $s0, 0F404ccccd; - trunc_ftz_f16 $s0, 0H4266; + trunc_ftz_f16 $s0, 0H6642; + trunc_ftz_f32 $s0, 0Fcdcc4c40; + trunc_ftz_f16 $s0, 0H6642; trunc_ftz_p_f16x2 $s0, $s0; trunc_ftz_s_f32x2 $d0, $d0; trunc_ftz_s_f16x2 $s0, $s0; //--------------------------------------- class_b1_f16 $c1, $s1, $s0; - class_b1_f32 $c1, $s1, 3; - class_b1_f32 $c1, 0F3f800000, 3; + class_b1_f32 $c1, $s1, 0; + class_b1_f32 $c1, 0F0000803f, 0; class_b1_f32 $c1, $s1, $s2; //--------------------------------------- ncos_f32 $s1, $s0; nexp2_f32 $s1, $s0; - nfma_f32 $s3, 0F3f800000, $s1, 0F41b80000; + nfma_f32 $s3, 0F0000803f, $s1, 0F0000b841; nlog2_f32 $s1, $s0; nrcp_f32 $s1, $s0; nrsqrt_f32 $s1, $s0; @@ -482,18 +482,18 @@ bytealign_b32 $s5, $s0, $s1, $s2; lerp_u8x4 $s5, $s0, $s1, $s2; packcvt_u8x4_f32 $s1, $s2, $s3, $s9, $s3; - packcvt_u8x4_f32 $s1, 0F3f800000, 0F3f800000, 0F3f800000, 0F3f800000; + packcvt_u8x4_f32 $s1, 0F0000803f, 0F0000803f, 0F0000803f, 0F0000803f; + unpackcvt_f32_u8x4 $s5, $s0, 0; + unpackcvt_f32_u8x4 $s5, $s0, 0; + unpackcvt_f32_u8x4 $s5, $s0, 0; unpackcvt_f32_u8x4 $s5, $s0, 0; - unpackcvt_f32_u8x4 $s5, $s0, 1; - unpackcvt_f32_u8x4 $s5, $s0, 2; - unpackcvt_f32_u8x4 $s5, $s0, 3; sad_u32_u32 $s5, -1, -1, -1; sad_u32_u32 $s5, $s0, $s1, $s6; sad_u32_u16x2 $s5, $s0, $s1, $s6; sad_u32_u8x4 $s5, $s0, $s1, $s6; //--------------------------------------- sadhi_u16x2_u8x4 $s5, $s0, $s1, $s6; - sadhi_u16x2_u8x4 $s5, u8x4(1,0,1,0), u8x4(1,2,1,5), u16x2(0x3039,-1); + sadhi_u16x2_u8x4 $s5, u8x4(0,0,0,0), u8x4(0,0,0,0), u16x2(0,-1); //--------------------------------------- segmentp_global_b1_u64 $c1, $d0; segmentp_global_b1_u64 $c1, 64; @@ -502,8 +502,8 @@ //--------------------------------------- stof_private_nonull_u64_u32 $d1, $s1; stof_group_nonull_u64_u32 $d1, $s1; - stof_private_u64_u32 $d1, 64; - stof_group_u64_u32 $d1, 64; + stof_private_u64_u32 $d1, 0; + stof_group_u64_u32 $d1, 0; ftos_group_nonull_u32_u64 $s1, $d2; ftos_private_nonull_u32_u64 $s1, $d2; ftos_group_u32_u64 $s1, 0; @@ -511,16 +511,16 @@ //--------------------------------------- cmp_eq_b1_b1 $c1, $c2, 0; cmp_eq_s32_b1 $s1, $c2, 0; - cmp_eq_s32_b1 $s1, 1, 0; - cmp_eq_f32_b1 $s1, $c2, 1; + cmp_eq_s32_b1 $s1, 0, 0; + cmp_eq_f32_b1 $s1, $c2, 0; cmp_ne_b1_b1 $c1, $c2, 0; cmp_ne_s32_b1 $s1, $c2, 0; - cmp_ne_f32_b1 $s1, $c2, 1; + cmp_ne_f32_b1 $s1, $c2, 0; cmp_lt_b1_s32 $c1, $s2, 0; cmp_lt_b1_s32 $c1, -1, -1; cmp_lt_s32_u32 $s1, $s2, -1; cmp_lt_s32_u64 $s1, $d2, -1; - cmp_lt_ftz_f32_f32 $s1, 0F3f800000, 0F00000000; + cmp_lt_ftz_f32_f32 $s1, 0F0000803f, 0F00000000; cmp_gt_b1_s32 $c1, $s2, 0; cmp_gt_s32_u32 $s1, $s2, 0; cmp_eq_f32_b32 $s1, $s2, 0; @@ -566,7 +566,7 @@ cmp_lt_pp_u32x4_s32x4 $q1, $q2, $q3; cmp_lt_pp_u64x2_s64x2 $q1, $q2, $q3; //--------------------------------------- - cvt_ftz_b1_f32 $c1, 0F3f800000; + cvt_ftz_b1_f32 $c1, 0F0000803f; cvt_ftz_u8_f32 $s1, $s2; cvt_ftz_s8_f32 $s1, $s2; cvt_ftz_u16_f32 $s1, $s2; @@ -574,17 +574,17 @@ cvt_ftz_u32_f32 $s1, $s2; cvt_ftz_s32_f32 $s1, $s2; cvt_f32_b1 $s2, $c1; - cvt_f32_b1 $s2, 1; + cvt_f32_b1 $s2, 0; cvt_f32_u8 $s2, $s1; cvt_f32_s8 $s2, $s1; - cvt_f32_s8 $s2, -4; + cvt_f32_s8 $s2, -1; cvt_f32_u16 $s2, $s1; cvt_f32_s16 $s2, $s1; - cvt_f32_s16 $s2, 123; + cvt_f32_s16 $s2, 0; cvt_f32_u32 $s2, -1; cvt_f32_u64 $s2, -1; cvt_f32_s32 $s2, $s1; - cvt_f32_s32 $s2, -123; + cvt_f32_s32 $s2, -1; // common cases cvt_ftz_u32_f32 $s1, $s2; cvt_ftz_u32_f32 $s1, $s2; @@ -744,14 +744,14 @@ st_v4_u16 ($s1, $s2, $s3, $s4), [$d3+4]; st_v4_s32 ($s1, $s2, $s3, $s4), [$d3+4]; st_v4_u32 ($s1, $s2, $s3, $s4), [$d3+4]; - st_v2_s32 (1, 2), [$d3+4]; - st_v2_u32 (1, $s2), [$d3+4]; - st_v3_s32 (1, 2, 3), [$d3+4]; - st_v3_f32 (0F3f800000, 0F40000000, 0F40400000), [$d3+4]; - st_v3_u32 (1, $s2, $s2), [$d3+4]; - st_v4_s8 (-24, -48, -72, 96), [$d3+4]; - st_v4_s8 (-100, -56, 30, -40), [$d3+4]; - st_v4_u8 ($s1, 254, 200, 216), [$d3+4]; + st_v2_s32 (0, 0), [$d3+4]; + st_v2_u32 (0, $s2), [$d3+4]; + st_v3_s32 (0, 0, 0), [$d3+4]; + st_v3_f32 (0F0000803f, 0F00000040, 0F00004040), [$d3+4]; + st_v3_u32 (0, $s2, $s2), [$d3+4]; + st_v4_s8 (-1, -1, -1, 0), [$d3+4]; + st_v4_s8 (-1, -1, 0, -1), [$d3+4]; + st_v4_u8 ($s1, 0, 0, 0), [$d3+4]; // segment rules st_global_f32 $s1, [&x][$d0]; st_arg_equiv(2)_f32 $s1, [%out][$s0]; @@ -815,7 +815,7 @@ st_global_u8 $s1, [&x]; st_global_u16 $s1, [&x]; st_global_u32 $s1, [&x]; - st_global_u32 200, [&x]; + st_global_u32 0, [&x]; st_global_u32 WAVESIZE, [&x]; st_global_f16 $s1, [&x]; st_private_f32 $s1, [$s3+4]; @@ -832,7 +832,7 @@ st_v2_equiv(1)_u64 ($d1, $d2), [$d0+32]; st_equiv(1)_u64 $d6, [128]; //--------------------------------------- - atomic_and_global_scar_system_b32 $s1, [&x][$d0], 23; + atomic_and_global_scar_system_b32 $s1, [&x][$d0], 0; atomic_or_global_scar_system_b64 $d1, [&x], 23; atomic_xor_global_scar_system_b64 $d1, [&x], 23; atomic_cas_global_scar_system_b64 $d1, [&x], 23, 12; @@ -843,7 +843,7 @@ atomic_wrapdec_global_scar_system_u64 $d1, [&x], 23; atomic_max_global_scar_system_s64 $d1, [&x], 23; atomic_min_global_scar_system_s64 $d1, [&x], 23; - atomic_and_global_scar_system_b32 $s1, [&x], 23; + atomic_and_global_scar_system_b32 $s1, [&x], 0; atomic_or_global_scar_system_b64 $d1, [&x], 23; atomic_xor_global_scar_system_b64 $d1, [&x], 23; atomic_cas_global_scar_system_b64 $d1, [&x], 23, 12; @@ -854,7 +854,7 @@ atomic_wrapdec_global_scar_system_u64 $d1, [&x], 23; atomic_max_global_scar_system_s64 $d1, [&x], 23; atomic_min_global_scar_system_s64 $d1, [&x], 23; - atomic_and_global_scar_agent_b32 $s1, [&x][$d0], 23; + atomic_and_global_scar_agent_b32 $s1, [&x][$d0], 0; atomic_or_global_scar_agent_b64 $d1, [&x], 23; atomic_xor_global_scar_agent_b64 $d1, [&x], 23; atomic_cas_global_scar_agent_b64 $d1, [&x], 23, 12; @@ -865,7 +865,7 @@ atomic_wrapdec_global_scar_agent_u64 $d1, [&x], 23; atomic_max_global_scar_agent_s64 $d1, [&x], 23; atomic_min_global_scar_agent_s64 $d1, [&x], 23; - atomic_and_global_scar_agent_b32 $s1, [&x], 23; + atomic_and_global_scar_agent_b32 $s1, [&x], 0; atomic_or_global_scar_agent_b64 $d1, [&x], 23; atomic_xor_global_scar_agent_b64 $d1, [&x], 23; atomic_cas_global_scar_agent_b64 $d1, [&x], 23, 12; @@ -876,7 +876,7 @@ atomic_wrapdec_global_scar_agent_u64 $d1, [&x], 23; atomic_max_global_scar_agent_s64 $d1, [&x], 23; atomic_min_global_scar_agent_s64 $d1, [&x], 23; - atomic_and_global_scar_wave_b32 $s1, [&x][$d0], 23; + atomic_and_global_scar_wave_b32 $s1, [&x][$d0], 0; atomic_or_global_scar_wave_b64 $d1, [&x], 23; atomic_xor_global_scar_wave_b64 $d1, [&x], 23; atomic_cas_global_scar_wave_b64 $d1, [&x], 23, 12; @@ -887,7 +887,7 @@ atomic_wrapdec_global_scar_wave_u64 $d1, [&x], 23; atomic_max_global_scar_wave_s64 $d1, [&x], 23; atomic_min_global_scar_wave_s64 $d1, [&x], 23; - atomic_and_global_scar_wave_b32 $s1, [&x], 23; + atomic_and_global_scar_wave_b32 $s1, [&x], 0; atomic_or_global_scar_wave_b64 $d1, [&x], 23; atomic_xor_global_scar_wave_b64 $d1, [&x], 23; atomic_cas_global_scar_wave_b64 $d1, [&x], 23, 12; @@ -898,7 +898,7 @@ atomic_wrapdec_global_scar_wave_u64 $d1, [&x], 23; atomic_max_global_scar_wave_s64 $d1, [&x], 23; atomic_min_global_scar_wave_s64 $d1, [&x], 23; - atomic_and_global_scar_wg_b32 $s1, [&x][$d0], 23; + atomic_and_global_scar_wg_b32 $s1, [&x][$d0], 0; atomic_or_global_scar_wg_b64 $d1, [&x], 23; atomic_xor_global_scar_wg_b64 $d1, [&x], 23; atomic_cas_global_scar_wg_b64 $d1, [&x], 23, 12; @@ -909,7 +909,7 @@ atomic_wrapdec_global_scar_wg_u64 $d1, [&x], 23; atomic_max_global_scar_wg_s64 $d1, [&x], 23; atomic_min_global_scar_wg_s64 $d1, [&x], 23; - atomic_and_global_scar_wg_b32 $s1, [&x], 23; + atomic_and_global_scar_wg_b32 $s1, [&x], 0; atomic_or_global_scar_wg_b64 $d1, [&x], 23; atomic_xor_global_scar_wg_b64 $d1, [&x], 23; atomic_cas_global_scar_wg_b64 $d1, [&x], 23, 12; @@ -920,7 +920,7 @@ atomic_wrapdec_global_scar_wg_u64 $d1, [&x], 23; atomic_max_global_scar_wg_s64 $d1, [&x], 23; atomic_min_global_scar_wg_s64 $d1, [&x], 23; - atomic_and_group_rlx_wg_b32 $s1, [&g][$s0], 23; + atomic_and_group_rlx_wg_b32 $s1, [&g][$s0], 0; atomic_or_group_rlx_wg_b64 $d1, [&g], 23; atomic_xor_group_rlx_wg_b64 $d1, [&g], 23; atomic_cas_group_rlx_wg_b64 $d1, [&g], 23, 9; @@ -931,7 +931,7 @@ atomic_wrapdec_group_rlx_wg_u64 $d1, [&g], 23; atomic_max_group_rlx_wg_u64 $d1, [&g], 23; atomic_min_group_rlx_wg_u64 $d1, [&g], 23; - atomic_and_group_rlx_wg_b32 $s1, [&g], 23; + atomic_and_group_rlx_wg_b32 $s1, [&g], 0; atomic_or_group_rlx_wg_b64 $d1, [&g], 23; atomic_xor_group_rlx_wg_b64 $d1, [&g], 23; atomic_cas_group_rlx_wg_b64 $d1, [&g], 23, 9; @@ -942,7 +942,7 @@ atomic_wrapdec_group_rlx_wg_u64 $d1, [&g], 23; atomic_max_group_rlx_wg_s64 $d1, [&g], 23; atomic_min_group_rlx_wg_s64 $d1, [&g], 23; - atomic_and_group_rlx_wave_b32 $s1, [&g][$s0], 23; + atomic_and_group_rlx_wave_b32 $s1, [&g][$s0], 0; atomic_or_group_rlx_wave_b64 $d1, [&g], 23; atomic_xor_group_rlx_wave_b64 $d1, [&g], 23; atomic_cas_group_rlx_wave_b64 $d1, [&g], 23, 9; @@ -953,7 +953,7 @@ atomic_wrapdec_group_rlx_wave_u64 $d1, [&g], 23; atomic_max_group_rlx_wave_u64 $d1, [&g], 23; atomic_min_group_rlx_wave_u64 $d1, [&g], 23; - atomic_and_group_rlx_wave_b32 $s1, [&g], 23; + atomic_and_group_rlx_wave_b32 $s1, [&g], 0; atomic_or_group_rlx_wave_b64 $d1, [&g], 23; atomic_xor_group_rlx_wave_b64 $d1, [&g], 23; atomic_cas_group_rlx_wave_b64 $d1, [&g], 23, 9; @@ -964,7 +964,7 @@ atomic_wrapdec_group_rlx_wave_u64 $d1, [&g], 23; atomic_max_group_rlx_wave_s64 $d1, [&g], 23; atomic_min_group_rlx_wave_s64 $d1, [&g], 23; - atomic_and_rlx_wg_b32 $s1, [$d2], 23; + atomic_and_rlx_wg_b32 $s1, [$d2], 0; atomic_or_rlx_wg_b64 $d1, [$d4], 23; atomic_xor_rlx_wg_b64 $d1, [$d3], 23; atomic_cas_rlx_wg_b64 $d1, [$d5], 23, 12; @@ -974,7 +974,7 @@ atomic_wrapinc_rlx_wg_u64 $d1, [$d3], 23; atomic_wrapdec_rlx_wg_u64 $d1, [$d4], 23; atomic_max_rlx_wg_u64 $d1, [$d5], 23; - atomic_and_rlx_wg_b32 $s1, [$d2], 23; + atomic_and_rlx_wg_b32 $s1, [$d2], 0; atomic_or_rlx_wg_b64 $d1, [$d4], 23; atomic_xor_rlx_wg_b64 $d1, [$d3], 23; atomic_cas_rlx_wg_b64 $d1, [$d5], 23, 12; @@ -986,7 +986,7 @@ atomic_max_rlx_wg_u64 $d1, [$d5], 23; atomic_min_rlx_wg_u64 $d1, [$d7], 23; atomic_min_rlx_wg_u64 $d1, [$d7], 23; - atomic_and_rlx_wave_b32 $s1, [$d2], 23; + atomic_and_rlx_wave_b32 $s1, [$d2], 0; atomic_or_rlx_wave_b64 $d1, [$d4], 23; atomic_xor_rlx_wave_b64 $d1, [$d3], 23; atomic_cas_rlx_wave_b64 $d1, [$d5], 23, 12; @@ -996,7 +996,7 @@ atomic_wrapinc_rlx_wave_u64 $d1, [$d3], 23; atomic_wrapdec_rlx_wave_u64 $d1, [$d4], 23; atomic_max_rlx_wave_u64 $d1, [$d5], 23; - atomic_and_rlx_wave_b32 $s1, [$d2], 23; + atomic_and_rlx_wave_b32 $s1, [$d2], 0; atomic_or_rlx_wave_b64 $d1, [$d4], 23; atomic_xor_rlx_wave_b64 $d1, [$d3], 23; atomic_cas_rlx_wave_b64 $d1, [$d5], 23, 12; @@ -1008,7 +1008,7 @@ atomic_max_rlx_wave_u64 $d1, [$d5], 23; atomic_min_rlx_wave_u64 $d1, [$d7], 23; atomic_min_rlx_wave_u64 $d1, [$d7], 23; - atomic_and_rlx_agent_b32 $s1, [$d2], 23; + atomic_and_rlx_agent_b32 $s1, [$d2], 0; atomic_or_rlx_agent_b64 $d1, [$d4], 23; atomic_xor_rlx_agent_b64 $d1, [$d3], 23; atomic_cas_rlx_agent_b64 $d1, [$d5], 23, 12; @@ -1018,7 +1018,7 @@ atomic_wrapinc_rlx_agent_u64 $d1, [$d3], 23; atomic_wrapdec_rlx_agent_u64 $d1, [$d4], 23; atomic_max_rlx_agent_u64 $d1, [$d5], 23; - atomic_and_rlx_agent_b32 $s1, [$d2], 23; + atomic_and_rlx_agent_b32 $s1, [$d2], 0; atomic_or_rlx_agent_b64 $d1, [$d4], 23; atomic_xor_rlx_agent_b64 $d1, [$d3], 23; atomic_cas_rlx_agent_b64 $d1, [$d5], 23, 12; @@ -1030,7 +1030,7 @@ atomic_max_rlx_agent_u64 $d1, [$d5], 23; atomic_min_rlx_agent_u64 $d1, [$d7], 23; atomic_min_rlx_agent_u64 $d1, [$d7], 23; - atomic_and_rlx_system_b32 $s1, [$d2], 23; + atomic_and_rlx_system_b32 $s1, [$d2], 0; atomic_or_rlx_system_b64 $d1, [$d4], 23; atomic_xor_rlx_system_b64 $d1, [$d3], 23; atomic_cas_rlx_system_b64 $d1, [$d5], 23, 12; @@ -1040,7 +1040,7 @@ atomic_wrapinc_rlx_system_u64 $d1, [$d3], 23; atomic_wrapdec_rlx_system_u64 $d1, [$d4], 23; atomic_max_rlx_system_u64 $d1, [$d5], 23; - atomic_and_rlx_system_b32 $s1, [$d2], 23; + atomic_and_rlx_system_b32 $s1, [$d2], 0; atomic_or_rlx_system_b64 $d1, [$d4], 23; atomic_xor_rlx_system_b64 $d1, [$d3], 23; atomic_cas_rlx_system_b64 $d1, [$d5], 23, 12; @@ -1055,7 +1055,7 @@ atomic_min_global_rlx_wg_u64 $d1, [0], 23; atomic_min_group_rlx_wg_s64 $d1, [0], 23; atomic_min_rlx_wg_u64 $d1, [0], 23; - atomic_and_scacq_wg_b32 $s1, [$d2], 23; + atomic_and_scacq_wg_b32 $s1, [$d2], 0; atomic_xor_scacq_wg_b64 $d1, [$d3], 23; atomic_cas_scacq_wg_b64 $d1, [$d5], 23, 12; atomic_add_scacq_wg_u64 $d1, [$d6], 23; @@ -1065,7 +1065,7 @@ atomic_max_scacq_wg_u64 $d1, [$d5], 23; atomic_exch_scacq_wg_b64 $d1, [$d4], 23; atomic_or_scacq_wg_b64 $d1, [$d4], 23; - atomic_and_screl_wg_b32 $s1, [$d2], 23; + atomic_and_screl_wg_b32 $s1, [$d2], 0; atomic_xor_screl_wg_b64 $d1, [$d3], 23; atomic_cas_screl_wg_b64 $d1, [$d5], 23, 12; atomic_add_screl_wg_u64 $d1, [$d6], 23; @@ -1075,7 +1075,7 @@ atomic_max_screl_wg_u64 $d1, [$d5], 23; atomic_exch_screl_wg_b64 $d1, [$d4], 23; atomic_or_screl_wg_b64 $d1, [$d4], 23; - atomic_and_screl_agent_b32 $s1, [$d2], 23; + atomic_and_screl_agent_b32 $s1, [$d2], 0; atomic_xor_screl_agent_b64 $d1, [$d3], 23; atomic_cas_screl_agent_b64 $d1, [$d5], 23, 12; atomic_add_screl_agent_u64 $d1, [$d6], 23; @@ -1095,12 +1095,12 @@ atomic_ld_scacq_agent_b64 $d1, [0]; atomic_ld_scacq_wave_b64 $d1, [0]; atomic_ld_scacq_wg_b64 $d1, [0]; - atomic_and_scar_system_equiv(8)_b32 $s1, [0], 23; - atomic_and_global_scar_system_equiv(1)_b32 $s1, [&x][$d0], 23; - atomic_and_group_scar_wave_equiv(255)_b32 $s1, [&g][$s0], 23; - atomic_and_group_scar_wg_b32 $s1, [&g][$s0], 23; + atomic_and_scar_system_equiv(8)_b32 $s1, [0], 0; + atomic_and_global_scar_system_equiv(1)_b32 $s1, [&x][$d0], 0; + atomic_and_group_scar_wave_equiv(255)_b32 $s1, [&g][$s0], 0; + atomic_and_group_scar_wg_b32 $s1, [&g][$s0], 0; //--------------------------------------- - atomicnoret_and_global_scar_system_b32 [&x], 23; + atomicnoret_and_global_scar_system_b32 [&x], 0; atomicnoret_or_global_scar_system_b64 [&x], 23; atomicnoret_xor_global_scar_system_b64 [&x], 23; atomicnoret_add_global_scar_system_u64 [&x], 23; @@ -1109,7 +1109,7 @@ atomicnoret_wrapdec_global_scar_system_u64 [&x], 23; atomicnoret_max_global_scar_system_u64 [&x], 23; atomicnoret_min_global_scar_system_u64 [&x], 23; - atomicnoret_and_global_scacq_agent_b32 [&x], 23; + atomicnoret_and_global_scacq_agent_b32 [&x], 0; atomicnoret_or_global_scacq_agent_b64 [&x], 23; atomicnoret_xor_global_scacq_agent_b64 [&x], 23; atomicnoret_add_global_scacq_agent_u64 [&x], 23; @@ -1118,7 +1118,7 @@ atomicnoret_wrapdec_global_scacq_agent_u64 [&x], 23; atomicnoret_max_global_scacq_agent_u64 [&x], 23; atomicnoret_min_global_scacq_agent_u64 [&x], 23; - atomicnoret_and_global_rlx_wave_b32 [&x], 23; + atomicnoret_and_global_rlx_wave_b32 [&x], 0; atomicnoret_or_global_rlx_wave_b64 [&x], 23; atomicnoret_xor_global_rlx_wave_b64 [&x], 23; atomicnoret_add_global_rlx_wave_u64 [&x], 23; @@ -1127,7 +1127,7 @@ atomicnoret_wrapdec_global_rlx_wave_u64 [&x], 23; atomicnoret_max_global_rlx_wave_u64 [&x], 23; atomicnoret_min_global_rlx_wave_u64 [&x], 23; - atomicnoret_and_global_screl_wg_b32 [&x], 23; + atomicnoret_and_global_screl_wg_b32 [&x], 0; atomicnoret_or_global_screl_wg_b64 [&x], 23; atomicnoret_xor_global_screl_wg_b64 [&x], 23; atomicnoret_add_global_screl_wg_u64 [&x], 23; @@ -1136,7 +1136,7 @@ atomicnoret_wrapdec_global_screl_wg_u64 [&x], 23; atomicnoret_max_global_screl_wg_u64 [&x], 23; atomicnoret_min_global_screl_wg_u64 [&x], 23; - atomicnoret_and_group_rlx_wave_b32 [&g][4], 23; + atomicnoret_and_group_rlx_wave_b32 [&g][4], 0; atomicnoret_or_group_rlx_wave_b64 [&g][$s0], 23; atomicnoret_xor_group_rlx_wave_b64 [&g], 23; atomicnoret_add_group_screl_wave_u64 [&g], 23; @@ -1145,7 +1145,7 @@ atomicnoret_wrapdec_group_screl_wave_u64 [&g], 23; atomicnoret_max_group_screl_wave_u64 [&g], 23; atomicnoret_min_group_screl_wave_u64 [&g], 23; - atomicnoret_and_group_scacq_wg_b32 [&g][4], 23; + atomicnoret_and_group_scacq_wg_b32 [&g][4], 0; atomicnoret_or_group_scacq_wg_b64 [&g][$s0], 23; atomicnoret_xor_group_scacq_wg_b64 [&g], 23; atomicnoret_add_group_scar_wg_u64 [&g], 23; @@ -1154,7 +1154,7 @@ atomicnoret_wrapdec_group_scar_wg_u64 [&g], 23; atomicnoret_max_group_scar_wg_u64 [&g], 23; atomicnoret_min_group_scar_wg_u64 [&g], 23; - atomicnoret_and_rlx_wg_b32 [0], 23; + atomicnoret_and_rlx_wg_b32 [0], 0; atomicnoret_or_rlx_wg_b64 [0], 23; atomicnoret_xor_rlx_wg_b64 [0], 23; atomicnoret_add_rlx_wg_u64 [0], 23; @@ -1163,7 +1163,7 @@ atomicnoret_wrapdec_rlx_wg_u64 [0], 23; atomicnoret_max_rlx_wg_u64 [0], 23; atomicnoret_min_rlx_wg_u64 [0], 23; - atomicnoret_and_screl_wave_b32 [0], 23; + atomicnoret_and_screl_wave_b32 [0], 0; atomicnoret_or_screl_wave_b64 [0], 23; atomicnoret_xor_screl_wave_b64 [0], 23; atomicnoret_add_screl_wave_u64 [0], 23; @@ -1172,7 +1172,7 @@ atomicnoret_wrapdec_screl_wave_u64 [0], 23; atomicnoret_max_screl_wave_u64 [0], 23; atomicnoret_min_screl_wave_u64 [0], 23; - atomicnoret_and_scar_agent_b32 [0], 23; + atomicnoret_and_scar_agent_b32 [0], 0; atomicnoret_or_scar_agent_b64 [0], 23; atomicnoret_xor_scar_agent_b64 [0], 23; atomicnoret_add_scar_agent_u64 [0], 23; @@ -1181,7 +1181,7 @@ atomicnoret_wrapdec_scar_agent_u64 [0], 23; atomicnoret_max_scar_agent_u64 [0], 23; atomicnoret_min_scar_agent_u64 [0], 23; - atomicnoret_and_scacq_system_b32 [0], 23; + atomicnoret_and_scacq_system_b32 [0], 0; atomicnoret_or_scacq_system_b64 [0], 23; atomicnoret_xor_scacq_system_b64 [0], 23; atomicnoret_add_scacq_system_u64 [0], 23; @@ -1201,9 +1201,9 @@ atomicnoret_st_screl_wg_b64 [0], $d1; atomicnoret_st_rlx_system_b64 [0], $d1; atomicnoret_st_screl_agent_b64 [0], $d1; - atomicnoret_and_scar_system_b32 [0], 23; - atomicnoret_and_global_scar_system_equiv(1)_b32 [&x], 23; - atomicnoret_and_group_scar_wg_equiv(255)_b32 [&g], 23; + atomicnoret_and_scar_system_b32 [0], 0; + atomicnoret_and_global_scar_system_equiv(1)_b32 [&x], 0; + atomicnoret_and_group_scar_wg_equiv(255)_b32 [&g], 0; //--------------------------------------- // signal + rlx signal_ld_rlx_b64_sig64 $d2, $d0; @@ -1308,30 +1308,30 @@ activelanecount_width(1024)_u32_b1 $s1, 0; activelanecount_width(WAVESIZE)_u32_b1 $s1, WAVESIZE; activelanecount_u32_b1 $s1, $c2; - activelanecount_u32_b1 $s1, 1; + activelanecount_u32_b1 $s1, 0; activelanecount_u32_b1 $s1, WAVESIZE; activelanemask_v4_b64_b1 ($d1, $d2, $d3, $d4), $c0; - activelanemask_v4_width(1024)_b64_b1 ($d1, $d2, $d3, $d4), 1; + activelanemask_v4_width(1024)_b64_b1 ($d1, $d2, $d3, $d4), 0; activelanemask_v4_width(WAVESIZE)_b64_b1 ($d1, $d2, $d3, $d4), 0; activelanemask_v4_b64_b1 ($d1, $d2, $d3, $d4), WAVESIZE; activelanemask_v4_b64_b1 ($d1, $d2, $d3, $d4), $c0; - activelanemask_v4_b64_b1 ($d1, $d2, $d3, $d4), 1; + activelanemask_v4_b64_b1 ($d1, $d2, $d3, $d4), 0; activelanemask_v4_b64_b1 ($d1, $d2, $d3, $d4), WAVESIZE; activelaneid_u32 $s1; activelaneid_width(WAVESIZE)_u32 $s1; activelanepermute_b1 $c1, $c2, $s2, $c3, $c1; - activelanepermute_b1 $c1, 0, 121, 0, 1; + activelanepermute_b1 $c1, 0, 0, 0, 0; activelanepermute_b32 $s1, $s2, $s2, $s3, $c1; - activelanepermute_b32 $s1, 222, 0x14d, 0x1bc, 0; + activelanepermute_b32 $s1, 0, 0, 0, 0; activelanepermute_width(WAVESIZE)_b64 $d1, $d2, $s2, $d3, $c1; - activelanepermute_width(4)_b64 $d1, $d2, 0x309, 0x378, 0; + activelanepermute_width(4)_b64 $d1, $d2, 0, 0x378, 0; activelanepermute_width(all)_b128 $q1, $q2, $s2, $q3, $c1; //--------------------------------------- alloca_u32 $s1, $s0; - alloca_u32 $s1, 24; + alloca_u32 $s1, 0; alloca_u32 $s1, WAVESIZE; alloca_align(2)_u32 $s1, 0; - alloca_align(8)_u32 $s1, 1; + alloca_align(8)_u32 $s1, 0; alloca_align(128)_u32 $s1, -1; alloca_align(256)_u32 $s1, -1; //--------------------------------------- @@ -1368,7 +1368,7 @@ stqueuereadindex_global_screl_u64 [&x], $d0; //--------------------------------------- debugtrap_u32 $s0; - debugtrap_u32 1; + debugtrap_u32 0; debugtrap_u32 WAVESIZE; //--------------------------------------- clock_u64 $d6; @@ -1377,25 +1377,25 @@ waveid_u32 $s3; maxwaveid_u32 $s4; laneid_u32 $s1; - cleardetectexcept_u32 1; + cleardetectexcept_u32 0; getdetectexcept_u32 $s1; - setdetectexcept_u32 1; + setdetectexcept_u32 0; nop; - gridsize_u32 $s2, 2; - gridsize_u64 $d2, 2; - gridgroups_u32 $s2, 2; + gridsize_u32 $s2, 0; + gridsize_u64 $d2, 0; + gridgroups_u32 $s2, 0; workgroupsize_u32 $s1, 0; currentworkgroupsize_u32 $s1, 0; - currentworkgroupsize_u32 $s1, 1; - currentworkgroupsize_u32 $s1, 2; + currentworkgroupsize_u32 $s1, 0; + currentworkgroupsize_u32 $s1, 0; workitemabsid_u32 $s1, 0; workitemabsid_u64 $d1, 0; workgroupid_u32 $s1, 0; - workgroupid_u32 $s1, 1; - workgroupid_u32 $s1, 2; + workgroupid_u32 $s1, 0; + workgroupid_u32 $s1, 0; + workitemid_u32 $s1, 0; + workitemid_u32 $s1, 0; workitemid_u32 $s1, 0; - workitemid_u32 $s1, 1; - workitemid_u32 $s1, 2; workitemflatabsid_u32 $s1; workitemflatabsid_u64 $d1; packetcompletionsig_sig64 $d6; 30/115 Test #29: 1.0/syntax/000_inst_large .........................***Failed 0.02 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/syntax/000_inst_large.hsail 2017-03-06 16:05:12.000000000 +0000 +++ 000_inst_large_2.hsail 2017-12-24 01:26:46.687154345 +0000 @@ -131,15 +131,15 @@ abs_f32 $s1, $s2; abs_f64 $d1, $d2; //--------------------------------------- - neg_s32 $s1, 100; + neg_s32 $s1, 0; neg_s64 $d1, $d2; neg_s_s8x4 $s1, $s2; neg_p_s8x4 $s1, $s2; - neg_f32 $s3, 0F3f800000; - neg_f64 $d3, 0D3ff0000000000000; + neg_f32 $s3, 0F0000803f; + neg_f64 $d3, 0D000000000000f03f; //--------------------------------------- - add_s32 $s1, 42, $s2; - add_u32 $s1, $s2, 35; + add_s32 $s1, 0, $s2; + add_u32 $s1, $s2, 0; add_s64 $d1, $d2, 23; add_u64 $d1, 61, 0x233412349456; add_pp_sat_u16x2 $s1, $s0, $s3; @@ -210,36 +210,36 @@ add_ftz_zero_pp_f32x4 $q3, $q2, $q1; add_ftz_zero_ps_f64x2 $q3, $q2, $q1; //--------------------------------------- - div_s32 $s1, 100, 10; - div_u32 $s1, $s2, 35; + div_s32 $s1, 0, 0; + div_u32 $s1, $s2, 0; div_s64 $d1, $d2, 23; div_u64 $d1, $d3, 0x233412349456; //--------------------------------------- - max_s32 $s1, 100, 10; - max_u32 $s1, $s2, 35; + max_s32 $s1, 0, 0; + max_u32 $s1, $s2, 0; max_s64 $d1, $d2, 23; max_u64 $d1, $d3, 0x233412349456; //--------------------------------------- - min_s32 $s1, 100, 10; - min_u32 $s1, $s2, 35; + min_s32 $s1, 0, 0; + min_u32 $s1, $s2, 0; min_s64 $d1, $d2, 23; min_u64 $d1, $d3, 0x233412349456; //--------------------------------------- - mul_s32 $s1, 100, 10; - mul_u32 $s1, $s2, 35; + mul_s32 $s1, 0, 0; + mul_u32 $s1, $s2, 0; mul_s64 $d1, $d2, 23; mul_u64 $d1, $d3, 0x233412349456; //--------------------------------------- mulhi_s32 $s1, $s3, $s3; mulhi_u32 $s1, $s2, $s9; //--------------------------------------- - rem_s32 $s1, 100, 10; + rem_s32 $s1, 0, 0; rem_u32 $s1, $s2, -1; rem_s64 $d1, $d2, 23; rem_u64 $d1, $d3, 0x233412349456; //--------------------------------------- - sub_s32 $s1, 100, 10; - sub_u32 $s1, $s2, 35; + sub_s32 $s1, 0, 0; + sub_u32 $s1, $s2, 0; sub_s64 $d1, $d2, 23; sub_u64 $d1, $d3, 0x233412349456; //--------------------------------------- @@ -281,28 +281,28 @@ mad_f64 $d0, $d0, 0Dffffffffffffffff, $d0; mad_f64 $d0, $d0, $d0, 0Dffffffffffffffff; //--------------------------------------- - mad24_s32 $s1, $s2, -12, 23; - mad24_u32 $s1, $s2, 12, 2; - mad24hi_s32 $s1, $s2, -12, 23; - mad24hi_u32 $s1, $s2, 12, 2; - mul24_s32 $s1, $s2, -12; - mul24_u32 $s1, $s2, 12; - mul24hi_s32 $s1, $s2, -12; - mul24hi_u32 $s1, $s2, 12; - //--------------------------------------- - shl_u32 $s1, $s2, 2; - shl_u64 $d1, $d2, 2; - shl_s32 $s1, $s2, 2; - shl_s64 $d1, $d2, 2; - shr_u32 $s1, $s2, 2; - shr_u64 $d1, $d2, 2; - shr_s32 $s1, $s2, 2; - shr_s64 $d1, $d2, 2; - shl_u8x8 $d0, $d1, 2; - shl_u8x4 $s1, $s2, 2; - shl_u8x8 $d1, $d2, 1; - shr_u8x4 $s1, $s2, 1; - shr_u8x8 $d1, $d2, 2; + mad24_s32 $s1, $s2, -1, 0; + mad24_u32 $s1, $s2, 0, 0; + mad24hi_s32 $s1, $s2, -1, 0; + mad24hi_u32 $s1, $s2, 0, 0; + mul24_s32 $s1, $s2, -1; + mul24_u32 $s1, $s2, 0; + mul24hi_s32 $s1, $s2, -1; + mul24hi_u32 $s1, $s2, 0; + //--------------------------------------- + shl_u32 $s1, $s2, 0; + shl_u64 $d1, $d2, 0; + shl_s32 $s1, $s2, 0; + shl_s64 $d1, $d2, 0; + shr_u32 $s1, $s2, 0; + shr_u64 $d1, $d2, 0; + shr_s32 $s1, $s2, 0; + shr_s64 $d1, $d2, 0; + shl_u8x8 $d0, $d1, 0; + shl_u8x4 $s1, $s2, 0; + shl_u8x8 $d1, $d2, 0; + shr_u8x4 $s1, $s2, 0; + shr_u8x8 $d1, $d2, 0; //--------------------------------------- and_b1 $c0, $c2, $c3; and_b32 $s0, $s2, $s3; @@ -324,17 +324,17 @@ //--------------------------------------- bitrev_b32 $s1, $s2; bitrev_b64 $d1, 0x234; - bitextract_s32 $s1, $s1, 2, 3; + bitextract_s32 $s1, $s1, 0, 0; bitextract_s32 $s1, $s1, $s0, $s0; bitextract_u64 $d1, $d1, $s1, $s2; - bitinsert_s32 $s1, $s1, $s2, 2, 3; + bitinsert_s32 $s1, $s1, $s2, 0, 0; bitinsert_s32 $s1, $s1, $s2, $s0, $s0; bitinsert_u32 $s1, $s1, $s2, $s0, $s0; bitinsert_u64 $d1, $d2, $d3, $s1, $s2; bitmask_b32 $s0, $s1, $s2; bitmask_b64 $d0, $s1, $s2; - bitmask_b32 $s0, 1, 0; - bitmask_b64 $d0, 1, 2; + bitmask_b32 $s0, 0, 0; + bitmask_b64 $d0, 0, 0; bitselect_b32 $s3, $s0, $s3, $s4; firstbit_u32_s32 $s0, $s0; firstbit_u32_u64 $s0, $d6; @@ -350,17 +350,17 @@ combine_v2_b128_b64 $q0, ($d0, $d1); combine_v2_b64_b32 $d0, (0, -1); combine_v2_b64_b32 $d0, (0, -1); - combine_v4_b128_b32 $q0, (0, $s1, 2, $s3); + combine_v4_b128_b32 $q0, (0, $s1, 0, $s3); combine_v2_b128_b64 $q0, ($d0, 1); combine_v2_b64_b32 $d0, (WAVESIZE, WAVESIZE); combine_v2_b64_b32 $d0, (WAVESIZE, -1); combine_v4_b128_b32 $q0, (WAVESIZE, $s1, WAVESIZE, $s3); combine_v2_b128_b64 $q0, ($d0, WAVESIZE); - combine_v2_b64_b32 $d0, (0, 0x3f800000); - combine_v4_b128_b32 $q0, (0, $s1, 0x40000000, $s3); + combine_v2_b64_b32 $d0, (0, 0); + combine_v4_b128_b32 $q0, (0, $s1, 0, $s3); combine_v2_b128_b64 $q0, (4607182418800017408, $d0); - combine_v2_b64_b32 $d0, (0x10002, 0x1020304); - combine_v4_b128_b32 $q0, (0x3c004000, $s1, $s2, $s3); + combine_v2_b64_b32 $d0, (0, 0); + combine_v4_b128_b32 $q0, (0, $s1, $s2, $s3); combine_v2_b128_b64 $q0, (0x1000200030004, 0x3f80000040000000); //--------------------------------------- expand_v2_b32_b64 ($s0, $s1), $d0; @@ -402,9 +402,9 @@ //--------------------------------------- @lab: - shuffle_u8x4 $s10, $s12, $s12, 85; + shuffle_u8x4 $s10, $s12, $s12, 0; //--------------------------------------- - unpacklo_u8x4 $s1, $s2, u8x4(1,2,3,4); + unpacklo_u8x4 $s1, $s2, u8x4(0,0,0,0); unpackhi_f16x2 $s3, $s3, $s4; //--------------------------------------- pack_f16x2_f16 $s1, $s2, $s3, $s1; @@ -434,13 +434,13 @@ pack_s64x2_s64 $q1, $q1, $d1, $s0; pack_u32x2_u32 $d1, $d1, -1, -1; pack_s64x2_s64 $q1, $q1, -1, -1; - pack_f32x2_f32 $d1, $d1, $s2, 1; - pack_f32x4_f32 $q1, $q1, $s2, 3; - pack_u32x2_u32 $d1, $d1, $s1, 2; + pack_f32x2_f32 $d1, $d1, $s2, 0; + pack_f32x4_f32 $q1, $q1, $s2, 0; + pack_u32x2_u32 $d1, $d1, $s1, 0; pack_s64x2_s64 $q1, $q1, $d1, 0; - pack_u8x4_u32 $s1, $s2, $s3, 2; - pack_f16x2_f16 $s1, $s2, $s3, 1; - pack_f16x4_f16 $d1, $d2, $s3, 3; + pack_u8x4_u32 $s1, $s2, $s3, 0; + pack_f16x2_f16 $s1, $s2, $s3, 0; + pack_f16x4_f16 $d1, $d2, $s3, 0; //--------------------------------------- unpack_f16_f16x2 $s1, $s2, $s1; unpack_f16_f16x4 $s1, $d2, $s3; @@ -473,18 +473,18 @@ unpack_u32_u8x4 $s1, $s2, $s1; unpack_u32_u8x4 $s1, $s2, $s2; unpack_u32_u8x4 $s1, $s2, $s3; - unpack_f32_f32x2 $s1, f32x2(0F3f800000,0F40000000), -1; - unpack_f32_f32x2 $s1, $d2, 1; - unpack_u32_u8x4 $s1, $s2, 2; + unpack_f32_f32x2 $s1, f32x2(0F0000803f,0F00000040), -1; + unpack_f32_f32x2 $s1, $d2, 0; + unpack_u32_u8x4 $s1, $s2, 0; unpack_s32_s16x4 $s1, $d1, 0; - unpack_f16_f16x2 $s1, $s2, 1; - unpack_f16_f16x4 $s1, $d2, 3; - unpack_f32_f32x4 $s1, $q2, 3; - unpack_u32_u32x4 $s1, $q1, 2; + unpack_f16_f16x2 $s1, $s2, 0; + unpack_f16_f16x4 $s1, $d2, 0; + unpack_f32_f32x4 $s1, $q2, 0; + unpack_u32_u32x4 $s1, $q1, 0; unpack_s64_s64x2 $d1, $q1, 0; //--------------------------------------- cmov_b32 $s1, $c3, $s1, $s2; - cmov_b32 $s1, 1, $s1, $s2; + cmov_b32 $s1, 0, $s1, $s2; cmov_b64 $d1, $c3, $d1, $d2; cmov_b32 $s1, $c0, $s1, $s2; cmov_u8x4 $s1, $s0, $s1, $s2; @@ -493,18 +493,18 @@ cmov_s64x2 $q1, $q0, $q1, $q2; copysign_f32 $s3, $s2, $s1; copysign_f64 $d3, $d2, $d1; - div_f32 $s3, 0F3f800000, $s1; - div_f64 $d3, 0D3ff0000000000000, $d0; - fma_f32 $s3, 0F3f800000, $s1, 0F41b80000; - fma_f64 $d3, 0D3ff0000000000000, $d0, $d3; - max_f32 $s3, 0F3f800000, $s1; - max_f64 $d3, 0D3ff0000000000000, $d0; - min_f32 $s3, 0F3f800000, $s1; - min_f64 $d3, 0D3ff0000000000000, $d0; - mul_f32 $s3, 0F3f800000, $s1; - mul_f64 $d3, 0D3ff0000000000000, $d0; - sub_f32 $s3, 0F3f800000, $s1; - sub_f64 $d3, 0D3ff0000000000000, $d0; + div_f32 $s3, 0F0000803f, $s1; + div_f64 $d3, 0D000000000000f03f, $d0; + fma_f32 $s3, 0F0000803f, $s1, 0F0000b841; + fma_f64 $d3, 0D000000000000f03f, $d0, $d3; + max_f32 $s3, 0F0000803f, $s1; + max_f64 $d3, 0D000000000000f03f, $d0; + min_f32 $s3, 0F0000803f, $s1; + min_f64 $d3, 0D000000000000f03f, $d0; + mul_f32 $s3, 0F0000803f, $s1; + mul_f64 $d3, 0D000000000000f03f, $d0; + sub_f32 $s3, 0F0000803f, $s1; + sub_f64 $d3, 0D000000000000f03f, $d0; //--------------------------------------- fract_f16 $s0, $s0; fract_f16 $s0, 0Hffff; @@ -532,11 +532,11 @@ fract_up_f64 $d0, $d0; fract_down_f64 $d0, $d0; //--------------------------------------- - sqrt_f16 $s0, 0H4266; - sqrt_f32 $s0, 0F404ccccd; - sqrt_f64 $d0, 0D400999999999999a; - sqrt_ftz_f16 $s0, 0H4266; - sqrt_near_f32 $s0, 0F404ccccd; + sqrt_f16 $s0, 0H6642; + sqrt_f32 $s0, 0Fcdcc4c40; + sqrt_f64 $d0, 0D9a99999999990940; + sqrt_ftz_f16 $s0, 0H6642; + sqrt_near_f32 $s0, 0Fcdcc4c40; sqrt_p_f16x2 $s0, $s0; sqrt_s_f32x2 $d0, $d0; sqrt_p_f64x2 $q0, $q0; @@ -544,53 +544,53 @@ sqrt_near_p_f32x2 $d0, $d0; sqrt_zero_p_f32x2 $d0, $d0; //--------------------------------------- - ceil_f16 $s0, 0H4266; - ceil_f32 $s0, 0F404ccccd; - ceil_f64 $d0, 0D400999999999999a; - ceil_ftz_f16 $s0, 0H4266; + ceil_f16 $s0, 0H6642; + ceil_f32 $s0, 0Fcdcc4c40; + ceil_f64 $d0, 0D9a99999999990940; + ceil_ftz_f16 $s0, 0H6642; ceil_p_f16x2 $s0, $s0; ceil_s_f32x2 $d0, $d0; ceil_p_f64x2 $q0, $q0; ceil_ftz_s_f16x2 $s0, $s0; //--------------------------------------- - floor_f16 $s0, 0H4266; - floor_f32 $s0, 0F404ccccd; - floor_f64 $d0, 0D400999999999999a; - floor_ftz_f16 $s0, 0H4266; + floor_f16 $s0, 0H6642; + floor_f32 $s0, 0Fcdcc4c40; + floor_f64 $d0, 0D9a99999999990940; + floor_ftz_f16 $s0, 0H6642; floor_p_f16x2 $s0, $s0; floor_s_f32x2 $d0, $d0; floor_p_f64x2 $q0, $q0; floor_ftz_s_f16x2 $s0, $s0; //--------------------------------------- - rint_f16 $s0, 0H4266; - rint_f32 $s0, 0F404ccccd; - rint_f64 $d0, 0D400999999999999a; - rint_ftz_f16 $s0, 0H4266; + rint_f16 $s0, 0H6642; + rint_f32 $s0, 0Fcdcc4c40; + rint_f64 $d0, 0D9a99999999990940; + rint_ftz_f16 $s0, 0H6642; rint_p_f16x2 $s0, $s0; rint_s_f32x2 $d0, $d0; rint_p_f64x2 $q0, $q0; rint_ftz_s_f16x2 $s0, $s0; //--------------------------------------- - trunc_f16 $s0, 0H4266; - trunc_f32 $s0, 0F404ccccd; - trunc_f64 $d0, 0D400999999999999a; - trunc_ftz_f16 $s0, 0H4266; + trunc_f16 $s0, 0H6642; + trunc_f32 $s0, 0Fcdcc4c40; + trunc_f64 $d0, 0D9a99999999990940; + trunc_ftz_f16 $s0, 0H6642; trunc_p_f16x2 $s0, $s0; trunc_s_f32x2 $d0, $d0; trunc_p_f64x2 $q0, $q0; trunc_ftz_s_f16x2 $s0, $s0; //--------------------------------------- class_b1_f16 $c1, $s1, $s0; - class_b1_f32 $c1, $s1, 3; - class_b1_f32 $c1, 0F3f800000, 3; + class_b1_f32 $c1, $s1, 0; + class_b1_f32 $c1, 0F0000803f, 0; class_b1_f32 $c1, $s1, $s2; - class_b1_f64 $c1, 0D3ff0000000000000, $s2; - class_b1_f64 $c1, $d1, 3; + class_b1_f64 $c1, 0D000000000000f03f, $s2; + class_b1_f64 $c1, $d1, 0; //--------------------------------------- ncos_f32 $s1, $s0; nexp2_f32 $s1, $s0; - nfma_f32 $s3, 0F3f800000, $s1, 0F41b80000; - nfma_f64 $d3, 0D3ff0000000000000, $d0, $d3; + nfma_f32 $s3, 0F0000803f, $s1, 0F0000b841; + nfma_f64 $d3, 0D000000000000f03f, $d0, $d3; nlog2_f32 $s1, $s0; nrcp_f32 $s1, $s0; nrsqrt_f32 $s1, $s0; @@ -600,18 +600,18 @@ bytealign_b32 $s5, $s0, $s1, $s2; lerp_u8x4 $s5, $s0, $s1, $s2; packcvt_u8x4_f32 $s1, $s2, $s3, $s9, $s3; - packcvt_u8x4_f32 $s1, 0F3f800000, 0F3f800000, 0F3f800000, 0F3f800000; + packcvt_u8x4_f32 $s1, 0F0000803f, 0F0000803f, 0F0000803f, 0F0000803f; + unpackcvt_f32_u8x4 $s5, $s0, 0; + unpackcvt_f32_u8x4 $s5, $s0, 0; + unpackcvt_f32_u8x4 $s5, $s0, 0; unpackcvt_f32_u8x4 $s5, $s0, 0; - unpackcvt_f32_u8x4 $s5, $s0, 1; - unpackcvt_f32_u8x4 $s5, $s0, 2; - unpackcvt_f32_u8x4 $s5, $s0, 3; sad_u32_u32 $s5, -1, -1, -1; sad_u32_u32 $s5, $s0, $s1, $s6; sad_u32_u16x2 $s5, $s0, $s1, $s6; sad_u32_u8x4 $s5, $s0, $s1, $s6; //--------------------------------------- sadhi_u16x2_u8x4 $s5, $s0, $s1, $s6; - sadhi_u16x2_u8x4 $s5, u8x4(1,0,1,0), u8x4(1,2,1,5), u16x2(0x3039,-1); + sadhi_u16x2_u8x4 $s5, u8x4(0,0,0,0), u8x4(0,0,0,0), u16x2(0,-1); //--------------------------------------- segmentp_global_b1_u64 $c1, $d0; segmentp_global_b1_u64 $c1, 64; @@ -621,8 +621,8 @@ //--------------------------------------- stof_private_nonull_u64_u32 $d1, $s1; stof_group_nonull_u64_u32 $d1, $s1; - stof_private_u64_u32 $d1, 64; - stof_group_u64_u32 $d1, 64; + stof_private_u64_u32 $d1, 0; + stof_group_u64_u32 $d1, 0; stof_group_u64_u32 $d1, WAVESIZE; ftos_group_nonull_u32_u64 $s1, $d2; ftos_private_nonull_u32_u64 $s1, $d2; @@ -632,17 +632,17 @@ //--------------------------------------- cmp_eq_b1_b1 $c1, $c2, 0; cmp_eq_s32_b1 $s1, $c2, 0; - cmp_eq_s32_b1 $s1, 1, 0; - cmp_eq_f32_b1 $s1, $c2, 1; + cmp_eq_s32_b1 $s1, 0, 0; + cmp_eq_f32_b1 $s1, $c2, 0; cmp_ne_b1_b1 $c1, $c2, 0; cmp_ne_s32_b1 $s1, $c2, 0; - cmp_ne_f32_b1 $s1, $c2, 1; + cmp_ne_f32_b1 $s1, $c2, 0; cmp_lt_b1_s32 $c1, $s2, 0; cmp_lt_b1_s32 $c1, -1, -1; cmp_lt_s32_u32 $s1, $s2, -1; cmp_lt_s32_u64 $s1, $d2, -1; cmp_lt_f32_f32 $s1, $s2, 0F00000000; - cmp_lt_f32_f32 $s1, 0F3f800000, 0F00000000; + cmp_lt_f32_f32 $s1, 0F0000803f, 0F00000000; cmp_gt_b1_s32 $c1, $s2, 0; cmp_gt_s32_u32 $s1, $s2, 0; cmp_eq_f32_b32 $s1, $s2, 0; @@ -650,7 +650,7 @@ cmp_equ_b1_f64 $c1, $d1, $d2; cmp_equ_b1_f64 $c1, 0D0000000000000000, $d2; cmp_equ_b1_f64 $c1, $d1, 0D0000000000000000; - cmp_equ_b1_f64 $c1, 0D3ff3333333333333, 0D3ff199999999999a; + cmp_equ_b1_f64 $c1, 0D333333333333f33f, 0D9a9999999999f13f; cmp_sltu_b1_f32 $c1, $s2, 0F00000000; cmp_sltu_b1_f64 $c1, $d1, $d2; cmp_lt_pp_u8x4_u8x4 $s1, $s2, $s3; @@ -696,7 +696,7 @@ cmp_lt_pp_u32x4_s32x4 $q1, $q2, $q3; cmp_lt_pp_u64x2_s64x2 $q1, $q2, $q3; //--------------------------------------- - cvt_b1_f32 $c1, 0F3f800000; + cvt_b1_f32 $c1, 0F0000803f; cvt_u8_f32 $s1, $s2; cvt_s8_f32 $s1, $s2; cvt_u16_f32 $s1, $s2; @@ -704,17 +704,17 @@ cvt_u32_f32 $s1, $s2; cvt_s32_f32 $s1, $s2; cvt_f32_b1 $s2, $c1; - cvt_f32_b1 $s2, 1; + cvt_f32_b1 $s2, 0; cvt_f32_u8 $s2, $s1; cvt_f32_s8 $s2, $s1; - cvt_f32_s8 $s2, -4; + cvt_f32_s8 $s2, -1; cvt_f32_u16 $s2, $s1; cvt_f32_s16 $s2, $s1; - cvt_f32_s16 $s2, 123; + cvt_f32_s16 $s2, 0; cvt_f32_u32 $s2, -1; cvt_f32_u64 $s2, -1; cvt_f32_s32 $s2, $s1; - cvt_f32_s32 $s2, -123; + cvt_f32_s32 $s2, -1; // common cases cvt_u32_f32 $s1, $s2; cvt_f32_f64 $s1, $d1; @@ -928,20 +928,20 @@ st_v4_u16 ($s1, $s2, $s3, $s4), [$d3+4]; st_v4_s32 ($s1, $s2, $s3, $s4), [$d3+4]; st_v4_u32 ($s1, $s2, $s3, $s4), [$d3+4]; - st_v2_s32 (1, 2), [$d3+4]; - st_v2_u32 (1, $s2), [$d3+4]; - st_v3_s32 (1, 2, 3), [$d3+4]; - st_v3_f32 (0F3f800000, 0F40000000, 0F40400000), [$d3+4]; - st_v3_u32 (1, $s2, $s2), [$d3+4]; - st_v4_s8 (-24, -48, -72, 96), [$d3+4]; - st_v4_s8 (-100, -56, 30, -40), [$d3+4]; - st_v4_u8 ($s1, 254, 200, 216), [$d3+4]; + st_v2_s32 (0, 0), [$d3+4]; + st_v2_u32 (0, $s2), [$d3+4]; + st_v3_s32 (0, 0, 0), [$d3+4]; + st_v3_f32 (0F0000803f, 0F00000040, 0F00004040), [$d3+4]; + st_v3_u32 (0, $s2, $s2), [$d3+4]; + st_v4_s8 (-1, -1, -1, 0), [$d3+4]; + st_v4_s8 (-1, -1, 0, -1), [$d3+4]; + st_v4_u8 ($s1, 0, 0, 0), [$d3+4]; st_v2_s32 (WAVESIZE, WAVESIZE), [$d3+4]; st_v2_u32 (WAVESIZE, $s2), [$d3+4]; - st_v3_s32 (1, 2, WAVESIZE), [$d3+4]; + st_v3_s32 (0, 0, WAVESIZE), [$d3+4]; st_v3_u32 (WAVESIZE, $s2, $s2), [$d3+4]; - st_v4_s16 (WAVESIZE, 200, 30, -40), [$d3+4]; - st_v4_s8 (WAVESIZE, -56, 30, -40), [$d3+4]; + st_v4_s16 (WAVESIZE, 0, 0, -1), [$d3+4]; + st_v4_s8 (WAVESIZE, -1, 0, -1), [$d3+4]; st_v4_u8 (WAVESIZE, WAVESIZE, WAVESIZE, WAVESIZE), [$d3+4]; // segment rules st_global_f32 $s1, [&x][$d0]; @@ -1000,7 +1000,7 @@ st_global_u8 $s1, [&x]; st_global_u16 $s1, [&x]; st_global_u32 $s1, [&x]; - st_global_u32 200, [&x]; + st_global_u32 0, [&x]; st_global_u32 WAVESIZE, [&x]; st_global_f16 $s1, [&x]; st_global_f64 $d1, [&x]; @@ -1024,7 +1024,7 @@ st_v2_equiv(1)_u64 ($d1, $d2), [$d0+32]; st_equiv(1)_u64 $d6, [128]; //--------------------------------------- - atomic_and_global_scar_system_b32 $s1, [&x][$d0], 23; + atomic_and_global_scar_system_b32 $s1, [&x][$d0], 0; atomic_or_global_scar_system_b64 $d1, [&x], 23; atomic_xor_global_scar_system_b64 $d1, [&x], 23; atomic_cas_global_scar_system_b64 $d1, [&x], 23, 12; @@ -1035,7 +1035,7 @@ atomic_wrapdec_global_scar_system_u64 $d1, [&x], 23; atomic_max_global_scar_system_s64 $d1, [&x], 23; atomic_min_global_scar_system_s64 $d1, [&x], 23; - atomic_and_global_scar_system_b32 $s1, [&x], 23; + atomic_and_global_scar_system_b32 $s1, [&x], 0; atomic_or_global_scar_system_b64 $d1, [&x], 23; atomic_xor_global_scar_system_b64 $d1, [&x], 23; atomic_cas_global_scar_system_b64 $d1, [&x], 23, 12; @@ -1046,7 +1046,7 @@ atomic_wrapdec_global_scar_system_u64 $d1, [&x], 23; atomic_max_global_scar_system_s64 $d1, [&x], 23; atomic_min_global_scar_system_s64 $d1, [&x], 23; - atomic_and_global_scar_agent_b32 $s1, [&x][$d0], 23; + atomic_and_global_scar_agent_b32 $s1, [&x][$d0], 0; atomic_or_global_scar_agent_b64 $d1, [&x], 23; atomic_xor_global_scar_agent_b64 $d1, [&x], 23; atomic_cas_global_scar_agent_b64 $d1, [&x], 23, 12; @@ -1057,7 +1057,7 @@ atomic_wrapdec_global_scar_agent_u64 $d1, [&x], 23; atomic_max_global_scar_agent_s64 $d1, [&x], 23; atomic_min_global_scar_agent_s64 $d1, [&x], 23; - atomic_and_global_scar_agent_b32 $s1, [&x], 23; + atomic_and_global_scar_agent_b32 $s1, [&x], 0; atomic_or_global_scar_agent_b64 $d1, [&x], 23; atomic_xor_global_scar_agent_b64 $d1, [&x], 23; atomic_cas_global_scar_agent_b64 $d1, [&x], 23, 12; @@ -1068,7 +1068,7 @@ atomic_wrapdec_global_scar_agent_u64 $d1, [&x], 23; atomic_max_global_scar_agent_s64 $d1, [&x], 23; atomic_min_global_scar_agent_s64 $d1, [&x], 23; - atomic_and_global_scar_wave_b32 $s1, [&x][$d0], 23; + atomic_and_global_scar_wave_b32 $s1, [&x][$d0], 0; atomic_or_global_scar_wave_b64 $d1, [&x], 23; atomic_xor_global_scar_wave_b64 $d1, [&x], 23; atomic_cas_global_scar_wave_b64 $d1, [&x], 23, 12; @@ -1079,7 +1079,7 @@ atomic_wrapdec_global_scar_wave_u64 $d1, [&x], 23; atomic_max_global_scar_wave_s64 $d1, [&x], 23; atomic_min_global_scar_wave_s64 $d1, [&x], 23; - atomic_and_global_scar_wave_b32 $s1, [&x], 23; + atomic_and_global_scar_wave_b32 $s1, [&x], 0; atomic_or_global_scar_wave_b64 $d1, [&x], 23; atomic_xor_global_scar_wave_b64 $d1, [&x], 23; atomic_cas_global_scar_wave_b64 $d1, [&x], 23, 12; @@ -1090,7 +1090,7 @@ atomic_wrapdec_global_scar_wave_u64 $d1, [&x], 23; atomic_max_global_scar_wave_s64 $d1, [&x], 23; atomic_min_global_scar_wave_s64 $d1, [&x], 23; - atomic_and_global_scar_wg_b32 $s1, [&x][$d0], 23; + atomic_and_global_scar_wg_b32 $s1, [&x][$d0], 0; atomic_or_global_scar_wg_b64 $d1, [&x], 23; atomic_xor_global_scar_wg_b64 $d1, [&x], 23; atomic_cas_global_scar_wg_b64 $d1, [&x], 23, 12; @@ -1101,7 +1101,7 @@ atomic_wrapdec_global_scar_wg_u64 $d1, [&x], 23; atomic_max_global_scar_wg_s64 $d1, [&x], 23; atomic_min_global_scar_wg_s64 $d1, [&x], 23; - atomic_and_global_scar_wg_b32 $s1, [&x], 23; + atomic_and_global_scar_wg_b32 $s1, [&x], 0; atomic_or_global_scar_wg_b64 $d1, [&x], 23; atomic_xor_global_scar_wg_b64 $d1, [&x], 23; atomic_cas_global_scar_wg_b64 $d1, [&x], 23, 12; @@ -1112,7 +1112,7 @@ atomic_wrapdec_global_scar_wg_u64 $d1, [&x], 23; atomic_max_global_scar_wg_s64 $d1, [&x], 23; atomic_min_global_scar_wg_s64 $d1, [&x], 23; - atomic_and_group_rlx_wg_b32 $s1, [&g][$s0], 23; + atomic_and_group_rlx_wg_b32 $s1, [&g][$s0], 0; atomic_or_group_rlx_wg_b64 $d1, [&g], 23; atomic_xor_group_rlx_wg_b64 $d1, [&g], 23; atomic_cas_group_rlx_wg_b64 $d1, [&g], 23, 9; @@ -1123,7 +1123,7 @@ atomic_wrapdec_group_rlx_wg_u64 $d1, [&g], 23; atomic_max_group_rlx_wg_u64 $d1, [&g], 23; atomic_min_group_rlx_wg_u64 $d1, [&g], 23; - atomic_and_group_rlx_wg_b32 $s1, [&g], 23; + atomic_and_group_rlx_wg_b32 $s1, [&g], 0; atomic_or_group_rlx_wg_b64 $d1, [&g], 23; atomic_xor_group_rlx_wg_b64 $d1, [&g], 23; atomic_cas_group_rlx_wg_b64 $d1, [&g], 23, 9; @@ -1134,7 +1134,7 @@ atomic_wrapdec_group_rlx_wg_u64 $d1, [&g], 23; atomic_max_group_rlx_wg_s64 $d1, [&g], 23; atomic_min_group_rlx_wg_s64 $d1, [&g], 23; - atomic_and_group_rlx_wave_b32 $s1, [&g][$s0], 23; + atomic_and_group_rlx_wave_b32 $s1, [&g][$s0], 0; atomic_or_group_rlx_wave_b64 $d1, [&g], 23; atomic_xor_group_rlx_wave_b64 $d1, [&g], 23; atomic_cas_group_rlx_wave_b64 $d1, [&g], 23, 9; @@ -1145,7 +1145,7 @@ atomic_wrapdec_group_rlx_wave_u64 $d1, [&g], 23; atomic_max_group_rlx_wave_u64 $d1, [&g], 23; atomic_min_group_rlx_wave_u64 $d1, [&g], 23; - atomic_and_group_rlx_wave_b32 $s1, [&g], 23; + atomic_and_group_rlx_wave_b32 $s1, [&g], 0; atomic_or_group_rlx_wave_b64 $d1, [&g], 23; atomic_xor_group_rlx_wave_b64 $d1, [&g], 23; atomic_cas_group_rlx_wave_b64 $d1, [&g], 23, 9; @@ -1156,7 +1156,7 @@ atomic_wrapdec_group_rlx_wave_u64 $d1, [&g], 23; atomic_max_group_rlx_wave_s64 $d1, [&g], 23; atomic_min_group_rlx_wave_s64 $d1, [&g], 23; - atomic_and_rlx_wg_b32 $s1, [$d2], 23; + atomic_and_rlx_wg_b32 $s1, [$d2], 0; atomic_or_rlx_wg_b64 $d1, [$d4], 23; atomic_xor_rlx_wg_b64 $d1, [$d3], 23; atomic_cas_rlx_wg_b64 $d1, [$d5], 23, 12; @@ -1166,7 +1166,7 @@ atomic_wrapinc_rlx_wg_u64 $d1, [$d3], 23; atomic_wrapdec_rlx_wg_u64 $d1, [$d4], 23; atomic_max_rlx_wg_u64 $d1, [$d5], 23; - atomic_and_rlx_wg_b32 $s1, [$d2], 23; + atomic_and_rlx_wg_b32 $s1, [$d2], 0; atomic_or_rlx_wg_b64 $d1, [$d4], 23; atomic_xor_rlx_wg_b64 $d1, [$d3], 23; atomic_cas_rlx_wg_b64 $d1, [$d5], 23, 12; @@ -1178,7 +1178,7 @@ atomic_max_rlx_wg_u64 $d1, [$d5], 23; atomic_min_rlx_wg_u64 $d1, [$d7], 23; atomic_min_rlx_wg_u64 $d1, [$d7], 23; - atomic_and_rlx_wave_b32 $s1, [$d2], 23; + atomic_and_rlx_wave_b32 $s1, [$d2], 0; atomic_or_rlx_wave_b64 $d1, [$d4], 23; atomic_xor_rlx_wave_b64 $d1, [$d3], 23; atomic_cas_rlx_wave_b64 $d1, [$d5], 23, 12; @@ -1188,7 +1188,7 @@ atomic_wrapinc_rlx_wave_u64 $d1, [$d3], 23; atomic_wrapdec_rlx_wave_u64 $d1, [$d4], 23; atomic_max_rlx_wave_u64 $d1, [$d5], 23; - atomic_and_rlx_wave_b32 $s1, [$d2], 23; + atomic_and_rlx_wave_b32 $s1, [$d2], 0; atomic_or_rlx_wave_b64 $d1, [$d4], 23; atomic_xor_rlx_wave_b64 $d1, [$d3], 23; atomic_cas_rlx_wave_b64 $d1, [$d5], 23, 12; @@ -1200,7 +1200,7 @@ atomic_max_rlx_wave_u64 $d1, [$d5], 23; atomic_min_rlx_wave_u64 $d1, [$d7], 23; atomic_min_rlx_wave_u64 $d1, [$d7], 23; - atomic_and_rlx_agent_b32 $s1, [$d2], 23; + atomic_and_rlx_agent_b32 $s1, [$d2], 0; atomic_or_rlx_agent_b64 $d1, [$d4], 23; atomic_xor_rlx_agent_b64 $d1, [$d3], 23; atomic_cas_rlx_agent_b64 $d1, [$d5], 23, 12; @@ -1210,7 +1210,7 @@ atomic_wrapinc_rlx_agent_u64 $d1, [$d3], 23; atomic_wrapdec_rlx_agent_u64 $d1, [$d4], 23; atomic_max_rlx_agent_u64 $d1, [$d5], 23; - atomic_and_rlx_agent_b32 $s1, [$d2], 23; + atomic_and_rlx_agent_b32 $s1, [$d2], 0; atomic_or_rlx_agent_b64 $d1, [$d4], 23; atomic_xor_rlx_agent_b64 $d1, [$d3], 23; atomic_cas_rlx_agent_b64 $d1, [$d5], 23, 12; @@ -1222,7 +1222,7 @@ atomic_max_rlx_agent_u64 $d1, [$d5], 23; atomic_min_rlx_agent_u64 $d1, [$d7], 23; atomic_min_rlx_agent_u64 $d1, [$d7], 23; - atomic_and_rlx_system_b32 $s1, [$d2], 23; + atomic_and_rlx_system_b32 $s1, [$d2], 0; atomic_or_rlx_system_b64 $d1, [$d4], 23; atomic_xor_rlx_system_b64 $d1, [$d3], 23; atomic_cas_rlx_system_b64 $d1, [$d5], 23, 12; @@ -1232,7 +1232,7 @@ atomic_wrapinc_rlx_system_u64 $d1, [$d3], 23; atomic_wrapdec_rlx_system_u64 $d1, [$d4], 23; atomic_max_rlx_system_u64 $d1, [$d5], 23; - atomic_and_rlx_system_b32 $s1, [$d2], 23; + atomic_and_rlx_system_b32 $s1, [$d2], 0; atomic_or_rlx_system_b64 $d1, [$d4], 23; atomic_xor_rlx_system_b64 $d1, [$d3], 23; atomic_cas_rlx_system_b64 $d1, [$d5], 23, 12; @@ -1247,7 +1247,7 @@ atomic_min_global_rlx_wg_u64 $d1, [0], 23; atomic_min_group_rlx_wg_s64 $d1, [0], 23; atomic_min_rlx_wg_u64 $d1, [0], 23; - atomic_and_scacq_wg_b32 $s1, [$d2], 23; + atomic_and_scacq_wg_b32 $s1, [$d2], 0; atomic_xor_scacq_wg_b64 $d1, [$d3], 23; atomic_cas_scacq_wg_b64 $d1, [$d5], 23, 12; atomic_add_scacq_wg_u64 $d1, [$d6], 23; @@ -1257,7 +1257,7 @@ atomic_max_scacq_wg_u64 $d1, [$d5], 23; atomic_exch_scacq_wg_b64 $d1, [$d4], 23; atomic_or_scacq_wg_b64 $d1, [$d4], 23; - atomic_and_screl_wg_b32 $s1, [$d2], 23; + atomic_and_screl_wg_b32 $s1, [$d2], 0; atomic_xor_screl_wg_b64 $d1, [$d3], 23; atomic_cas_screl_wg_b64 $d1, [$d5], 23, 12; atomic_add_screl_wg_u64 $d1, [$d6], 23; @@ -1267,7 +1267,7 @@ atomic_max_screl_wg_u64 $d1, [$d5], 23; atomic_exch_screl_wg_b64 $d1, [$d4], 23; atomic_or_screl_wg_b64 $d1, [$d4], 23; - atomic_and_screl_agent_b32 $s1, [$d2], 23; + atomic_and_screl_agent_b32 $s1, [$d2], 0; atomic_xor_screl_agent_b64 $d1, [$d3], 23; atomic_cas_screl_agent_b64 $d1, [$d5], 23, 12; atomic_add_screl_agent_u64 $d1, [$d6], 23; @@ -1287,12 +1287,12 @@ atomic_ld_scacq_agent_b64 $d1, [0]; atomic_ld_scacq_wave_b64 $d1, [0]; atomic_ld_scacq_wg_b64 $d1, [0]; - atomic_and_scar_system_equiv(8)_b32 $s1, [0], 23; - atomic_and_global_scar_system_equiv(1)_b32 $s1, [&x][$d0], 23; - atomic_and_group_scar_wave_equiv(255)_b32 $s1, [&g][$s0], 23; - atomic_and_group_scar_wg_b32 $s1, [&g][$s0], 23; + atomic_and_scar_system_equiv(8)_b32 $s1, [0], 0; + atomic_and_global_scar_system_equiv(1)_b32 $s1, [&x][$d0], 0; + atomic_and_group_scar_wave_equiv(255)_b32 $s1, [&g][$s0], 0; + atomic_and_group_scar_wg_b32 $s1, [&g][$s0], 0; //--------------------------------------- - atomicnoret_and_global_scar_system_b32 [&x], 23; + atomicnoret_and_global_scar_system_b32 [&x], 0; atomicnoret_or_global_scar_system_b64 [&x], 23; atomicnoret_xor_global_scar_system_b64 [&x], 23; atomicnoret_add_global_scar_system_u64 [&x], 23; @@ -1301,7 +1301,7 @@ atomicnoret_wrapdec_global_scar_system_u64 [&x], 23; atomicnoret_max_global_scar_system_u64 [&x], 23; atomicnoret_min_global_scar_system_u64 [&x], 23; - atomicnoret_and_global_scacq_agent_b32 [&x], 23; + atomicnoret_and_global_scacq_agent_b32 [&x], 0; atomicnoret_or_global_scacq_agent_b64 [&x], 23; atomicnoret_xor_global_scacq_agent_b64 [&x], 23; atomicnoret_add_global_scacq_agent_u64 [&x], 23; @@ -1310,7 +1310,7 @@ atomicnoret_wrapdec_global_scacq_agent_u64 [&x], 23; atomicnoret_max_global_scacq_agent_u64 [&x], 23; atomicnoret_min_global_scacq_agent_u64 [&x], 23; - atomicnoret_and_global_rlx_wave_b32 [&x], 23; + atomicnoret_and_global_rlx_wave_b32 [&x], 0; atomicnoret_or_global_rlx_wave_b64 [&x], 23; atomicnoret_xor_global_rlx_wave_b64 [&x], 23; atomicnoret_add_global_rlx_wave_u64 [&x], 23; @@ -1319,7 +1319,7 @@ atomicnoret_wrapdec_global_rlx_wave_u64 [&x], 23; atomicnoret_max_global_rlx_wave_u64 [&x], 23; atomicnoret_min_global_rlx_wave_u64 [&x], 23; - atomicnoret_and_global_screl_wg_b32 [&x], 23; + atomicnoret_and_global_screl_wg_b32 [&x], 0; atomicnoret_or_global_screl_wg_b64 [&x], 23; atomicnoret_xor_global_screl_wg_b64 [&x], 23; atomicnoret_add_global_screl_wg_u64 [&x], 23; @@ -1328,7 +1328,7 @@ atomicnoret_wrapdec_global_screl_wg_u64 [&x], 23; atomicnoret_max_global_screl_wg_u64 [&x], 23; atomicnoret_min_global_screl_wg_u64 [&x], 23; - atomicnoret_and_group_rlx_wave_b32 [&g][4], 23; + atomicnoret_and_group_rlx_wave_b32 [&g][4], 0; atomicnoret_or_group_rlx_wave_b64 [&g][$s0], 23; atomicnoret_xor_group_rlx_wave_b64 [&g], 23; atomicnoret_add_group_screl_wave_u64 [&g], 23; @@ -1337,7 +1337,7 @@ atomicnoret_wrapdec_group_screl_wave_u64 [&g], 23; atomicnoret_max_group_screl_wave_u64 [&g], 23; atomicnoret_min_group_screl_wave_u64 [&g], 23; - atomicnoret_and_group_scacq_wg_b32 [&g][4], 23; + atomicnoret_and_group_scacq_wg_b32 [&g][4], 0; atomicnoret_or_group_scacq_wg_b64 [&g][$s0], 23; atomicnoret_xor_group_scacq_wg_b64 [&g], 23; atomicnoret_add_group_scar_wg_u64 [&g], 23; @@ -1346,7 +1346,7 @@ atomicnoret_wrapdec_group_scar_wg_u64 [&g], 23; atomicnoret_max_group_scar_wg_u64 [&g], 23; atomicnoret_min_group_scar_wg_u64 [&g], 23; - atomicnoret_and_rlx_wg_b32 [0], 23; + atomicnoret_and_rlx_wg_b32 [0], 0; atomicnoret_or_rlx_wg_b64 [0], 23; atomicnoret_xor_rlx_wg_b64 [0], 23; atomicnoret_add_rlx_wg_u64 [0], 23; @@ -1355,7 +1355,7 @@ atomicnoret_wrapdec_rlx_wg_u64 [0], 23; atomicnoret_max_rlx_wg_u64 [0], 23; atomicnoret_min_rlx_wg_u64 [0], 23; - atomicnoret_and_screl_wave_b32 [0], 23; + atomicnoret_and_screl_wave_b32 [0], 0; atomicnoret_or_screl_wave_b64 [0], 23; atomicnoret_xor_screl_wave_b64 [0], 23; atomicnoret_add_screl_wave_u64 [0], 23; @@ -1364,7 +1364,7 @@ atomicnoret_wrapdec_screl_wave_u64 [0], 23; atomicnoret_max_screl_wave_u64 [0], 23; atomicnoret_min_screl_wave_u64 [0], 23; - atomicnoret_and_scar_agent_b32 [0], 23; + atomicnoret_and_scar_agent_b32 [0], 0; atomicnoret_or_scar_agent_b64 [0], 23; atomicnoret_xor_scar_agent_b64 [0], 23; atomicnoret_add_scar_agent_u64 [0], 23; @@ -1373,7 +1373,7 @@ atomicnoret_wrapdec_scar_agent_u64 [0], 23; atomicnoret_max_scar_agent_u64 [0], 23; atomicnoret_min_scar_agent_u64 [0], 23; - atomicnoret_and_scacq_system_b32 [0], 23; + atomicnoret_and_scacq_system_b32 [0], 0; atomicnoret_or_scacq_system_b64 [0], 23; atomicnoret_xor_scacq_system_b64 [0], 23; atomicnoret_add_scacq_system_u64 [0], 23; @@ -1393,9 +1393,9 @@ atomicnoret_st_screl_wg_b64 [0], $d1; atomicnoret_st_rlx_system_b64 [0], $d1; atomicnoret_st_screl_agent_b64 [0], $d1; - atomicnoret_and_scar_system_b32 [0], 23; - atomicnoret_and_global_scar_system_equiv(1)_b32 [&x], 23; - atomicnoret_and_group_scar_wg_equiv(255)_b32 [&g], 23; + atomicnoret_and_scar_system_b32 [0], 0; + atomicnoret_and_global_scar_system_equiv(1)_b32 [&x], 0; + atomicnoret_and_group_scar_wg_equiv(255)_b32 [&g], 0; //--------------------------------------- // signal + rlx signal_ld_rlx_b64_sig64 $d2, $d0; @@ -1515,30 +1515,30 @@ activelanecount_width(1024)_u32_b1 $s1, 0; activelanecount_width(WAVESIZE)_u32_b1 $s1, WAVESIZE; activelanecount_u32_b1 $s1, $c2; - activelanecount_u32_b1 $s1, 1; + activelanecount_u32_b1 $s1, 0; activelanecount_u32_b1 $s1, WAVESIZE; activelanemask_v4_b64_b1 ($d1, $d2, $d3, $d4), $c0; - activelanemask_v4_width(1024)_b64_b1 ($d1, $d2, $d3, $d4), 1; + activelanemask_v4_width(1024)_b64_b1 ($d1, $d2, $d3, $d4), 0; activelanemask_v4_width(WAVESIZE)_b64_b1 ($d1, $d2, $d3, $d4), 0; activelanemask_v4_b64_b1 ($d1, $d2, $d3, $d4), WAVESIZE; activelanemask_v4_b64_b1 ($d1, $d2, $d3, $d4), $c0; - activelanemask_v4_b64_b1 ($d1, $d2, $d3, $d4), 1; + activelanemask_v4_b64_b1 ($d1, $d2, $d3, $d4), 0; activelanemask_v4_b64_b1 ($d1, $d2, $d3, $d4), WAVESIZE; activelaneid_u32 $s1; activelaneid_width(WAVESIZE)_u32 $s1; activelanepermute_b1 $c1, $c2, $s2, $c3, $c1; - activelanepermute_b1 $c1, 0, 121, 0, 1; + activelanepermute_b1 $c1, 0, 0, 0, 0; activelanepermute_b32 $s1, $s2, $s2, $s3, $c1; - activelanepermute_b32 $s1, 222, 0x14d, 0x1bc, 0; + activelanepermute_b32 $s1, 0, 0, 0, 0; activelanepermute_width(WAVESIZE)_b64 $d1, $d2, $s2, $d3, $c1; - activelanepermute_width(4)_b64 $d1, $d2, 0x309, 0x378, 0; + activelanepermute_width(4)_b64 $d1, $d2, 0, 0x378, 0; activelanepermute_width(all)_b128 $q1, $q2, $s2, $q3, $c1; //--------------------------------------- alloca_u32 $s1, $s0; - alloca_u32 $s1, 24; + alloca_u32 $s1, 0; alloca_u32 $s1, WAVESIZE; alloca_align(2)_u32 $s1, 0; - alloca_align(8)_u32 $s1, 1; + alloca_align(8)_u32 $s1, 0; alloca_align(128)_u32 $s1, -1; alloca_align(256)_u32 $s1, -1; //--------------------------------------- @@ -1578,7 +1578,7 @@ stqueuereadindex_global_screl_u64 [&x], $d0; //--------------------------------------- debugtrap_u32 $s0; - debugtrap_u32 1; + debugtrap_u32 0; debugtrap_u32 WAVESIZE; //--------------------------------------- clock_u64 $d6; @@ -1587,26 +1587,26 @@ waveid_u32 $s3; maxwaveid_u32 $s4; laneid_u32 $s1; - cleardetectexcept_u32 1; + cleardetectexcept_u32 0; getdetectexcept_u32 $s1; - setdetectexcept_u32 1; + setdetectexcept_u32 0; nop; - gridsize_u32 $s2, 2; - gridsize_u64 $d2, 1; - gridgroups_u32 $s2, 2; + gridsize_u32 $s2, 0; + gridsize_u64 $d2, 0; + gridgroups_u32 $s2, 0; workgroupsize_u32 $s1, 0; currentworkgroupsize_u32 $s1, 0; - currentworkgroupsize_u32 $s1, 1; - currentworkgroupsize_u32 $s1, 2; + currentworkgroupsize_u32 $s1, 0; + currentworkgroupsize_u32 $s1, 0; currentworkitemflatid_u32 $s1; workitemabsid_u32 $s1, 0; workitemabsid_u64 $d1, 0; workgroupid_u32 $s1, 0; - workgroupid_u32 $s1, 1; - workgroupid_u32 $s1, 2; + workgroupid_u32 $s1, 0; + workgroupid_u32 $s1, 0; + workitemid_u32 $s1, 0; + workitemid_u32 $s1, 0; workitemid_u32 $s1, 0; - workitemid_u32 $s1, 1; - workitemid_u32 $s1, 2; workitemflatabsid_u32 $s1; workitemflatabsid_u64 $d1; packetcompletionsig_sig64 $d6; @@ -1714,8 +1714,8 @@ st_v3_arg_s8 ($s1, $s2, $s3), [%out][13]; st_v4_arg_s8 ($s1, $s2, $s3, $s4), [%out]; st_v4_arg_s8 ($s1, $s2, $s3, $s4), [%out][12]; - st_v4_arg_s8 (1, 2, 3, 4), [%out]; - st_v4_arg_s8 (1, 2, 3, 4), [%out][12]; + st_v4_arg_s8 (0, 0, 0, 0), [%out]; + st_v4_arg_s8 (0, 0, 0, 0), [%out][12]; st_arg_f16 $s1, [%out]; st_arg_f16 $s1, [%out][14]; st_arg_s16 0, [%out]; @@ -1816,9 +1816,9 @@ st_v2_b128 ($q0, $q0), [$d0]; st_v3_b128 ($q0, $q0, $q0), [$d0]; st_v4_b128 ($q0, $q0, $q0, $q0), [$d0]; - st_v2_b128 (u8x16(255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255), $q0), [$d0]; - st_v3_b128 (u8x16(0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255), $q0, $q0), [$d0]; - st_v4_b128 (u8x16(255,255,255,255,255,255,255,255,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0, $q0), [$d0]; + st_v2_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0), [$d0]; + st_v3_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0, $q0), [$d0]; + st_v4_b128 (u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), $q0, $q0), [$d0]; }; // Test vectors with sig64 31/115 Test #28: 1.0/syntax/000_inst_image_small ................... Passed 0.02 sec Start 32: 1.0/syntax/001_delimiters Start 33: 1.0/syntax/001_order_1 Start 34: 1.0/syntax/001_order_2 Start 35: 1.0/syntax/001_order_3 32/115 Test #35: 1.0/syntax/001_order_3 ............................ Passed 0.01 sec 33/115 Test #34: 1.0/syntax/001_order_2 ............................***Failed 0.01 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/syntax/001_order_2.hsail 2017-03-06 16:05:12.000000000 +0000 +++ 001_order_2_2.hsail 2017-12-24 01:26:46.717154345 +0000 @@ -16,7 +16,7 @@ //---------------------------------------------------- // Control directives // - enablebreakexceptions 0x70000001; + enablebreakexceptions 0; //---------------------------------------------------- loc 4 "this is a file"; pragma "123", 0x1f000000ffffffff; @@ -80,7 +80,7 @@ //---------------------------------------------------- // Control directives // - enablebreakexceptions 0x70000001; + enablebreakexceptions 0; //---------------------------------------------------- pragma "123", 0x1f000000ffffffff; loc 4 "this is a file"; 34/115 Test #33: 1.0/syntax/001_order_1 ............................ Passed 0.03 sec 35/115 Test #32: 1.0/syntax/001_delimiters ......................... Passed 0.03 sec Start 36: 1.0/syntax/002_version_large Start 37: 1.0/syntax/002_version_small Start 38: 1.0/syntax/003_pragma Start 39: 1.0/syntax/004_extension 36/115 Test #39: 1.0/syntax/004_extension .......................... Passed 0.01 sec 37/115 Test #38: 1.0/syntax/003_pragma .............................***Failed 0.01 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/syntax/003_pragma.hsail 2017-03-06 16:05:12.000000000 +0000 +++ 003_pragma_2.hsail 2017-12-24 01:26:46.727154345 +0000 @@ -6,32 +6,32 @@ fbarrier &fb; pragma &moduleName, &v, &fb, &vd, &fbd; pragma "", "123", "\n"; -pragma -1, 4, 0H57b0, 0F43e40000, 0D4088a80000000000, WAVESIZE; -pragma s8(57), s16(0xe240), s32(0xbc614e), s64(0x499602d2); -pragma u8(255), u16(-1), u32(-1), -1; -pragma 0H57b0, 0F43e40000, 0D4088a80000000000; +pragma -1, 4, 0Hb057, 0F0000e443, 0D0000000000a88840, WAVESIZE; +pragma s8(0), s16(0), s32(0), s64(0x499602d2); +pragma u8(0), u16(-1), u32(-1), -1; +pragma 0Hb057, 0F0000e443, 0D0000000000a88840; pragma s8(-1), -1; -pragma u8(255), 1; +pragma u8(0), 1; pragma s16(-1), -1; pragma u16(-1), 1; pragma s32(-1), -1; pragma u32(-1), 1; pragma s64(-1), -1; pragma -1, 1; -pragma 0H0000, 0Hbc00; -pragma 0F00000000, 0Fbf800000; -pragma 0D0000000000000000, 0Dbff0000000000000; -pragma u8x4(255,255,255,255); +pragma 0H0000, 0H00bc; +pragma 0F00000000, 0F000080bf; +pragma 0D0000000000000000, 0D000000000000f0bf; +pragma u8x4(0,0,0,0); pragma s8x4(-1,-1,-1,-1); pragma u16x2(-1,-1); pragma s16x2(-1,-1); -pragma u8x8(255,0,0,0,0,0,0,0); +pragma u8x8(0,0,0,0,0,0,0,0); pragma s8x8(-1,0,0,0,0,0,0,0); pragma u16x4(-1,0,0,0); pragma s16x4(-1,0,0,0); pragma u32x2(-1,0); pragma s32x2(-1,0); -pragma u8x16(255,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); +pragma u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); pragma s8x16(-1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); pragma u16x8(-1,0,0,0,0,0,0,0); pragma s16x8(-1,0,0,0,0,0,0,0); @@ -44,29 +44,29 @@ pragma rwimg(geometry = 3d, width = 5, height = 4, depth = 6, channel_type = unorm_int_101010, channel_order = rgbx); pragma samp(coord = normalized, filter = nearest, addressing = clamp_to_edge), sig64(0), sig64(0); pragma sig64(0); -pragma s8[](-1, 16, 1, 2, -72, 0, -1, -1); +pragma s8[](-1, 0, 0, 0, -1, 0, -1, -1); pragma s8[](-1, -1, 0); -pragma u8[](255, 255, 0); +pragma u8[](0, 0, 0); pragma s16[](-1, -1, 0); pragma u16[](-1, -1, 0); pragma s32[](-1, -1, 0); pragma u32[](-1, -1, 0); pragma s64[](-1, -1, 0); pragma u64[](-1, -1, 0); -pragma f16[](0H0000, 0H3c00, 0H4000); -pragma f32[](0F00000000, 0F3f800000, 0F40000000); -pragma f64[](0D0000000000000000, 0D3ff0000000000000, 0D4000000000000000); -pragma u8x4[](u8x4(255,255,255,255)); +pragma f16[](0H0000, 0H003c, 0H0040); +pragma f32[](0F00000000, 0F0000803f, 0F00000040); +pragma f64[](0D0000000000000000, 0D000000000000f03f, 0D0000000000000040); +pragma u8x4[](u8x4(0,0,0,0)); pragma s8x4[](s8x4(-1,-1,-1,-1)); pragma u16x2[](u16x2(-1,-1)); pragma s16x2[](s16x2(-1,-1)); -pragma u8x8[](u8x8(255,0,0,0,0,0,0,0)); +pragma u8x8[](u8x8(0,0,0,0,0,0,0,0)); pragma s8x8[](s8x8(-1,0,0,0,0,0,0,0)); pragma u16x4[](u16x4(-1,0,0,0)); pragma s16x4[](s16x4(-1,0,0,0)); pragma u32x2[](u32x2(-1,0)); pragma s32x2[](s32x2(-1,0)); -pragma u8x16[](u8x16(255,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)); +pragma u8x16[](u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)); pragma s8x16[](s8x16(-1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)); pragma u16x8[](u16x8(-1,0,0,0,0,0,0,0)); pragma s16x8[](s16x8(-1,0,0,0,0,0,0,0)); @@ -127,23 +127,23 @@ sig64[](sig64(0), sig64(0), sig64(0)) }; pragma { - u32(4), + u32(0), align(8), rwimg(geometry = 2d, width = 5, height = 4, channel_type = unorm_short_555, channel_order = rgb) }; pragma { - u32(4), + u32(0), align(8), samp(coord = unnormalized, filter = nearest, addressing = clamp_to_border) }; pragma { - u32(4), + u32(0), align(8), rwimg(geometry = 2d, width = 5, height = 4, channel_type = unorm_short_555, channel_order = rgb) }; pragma { - f32(0F3f800000), - u16(1), + f32(0F0000803f), + u16(0), align(8), sig64(0), s8(0), @@ -151,7 +151,7 @@ samp(coord = unnormalized, filter = nearest, addressing = clamp_to_border) }; pragma { - u32(4), + u32(0), align(8), sig64(0) }; 38/115 Test #37: 1.0/syntax/002_version_small ...................... Passed 0.01 sec 39/115 Test #36: 1.0/syntax/002_version_large ...................... Passed 0.01 sec Start 40: 1.0/syntax/004_extension_CORE Start 41: 1.0/syntax/004_extension_CORE_0 Start 42: 1.0/syntax/005_control_00 Start 43: 1.0/syntax/005_control_01 40/115 Test #43: 1.0/syntax/005_control_01 .........................***Failed 0.00 sec Assembling > maxflatworkgroupsize 100; > ^ input(17,23): Operand value must be greater than 0 41/115 Test #42: 1.0/syntax/005_control_00 .........................***Failed 0.00 sec Assembling > requireddim 3; > ^ input(41,14): Operand value must be in the range [1..3] 42/115 Test #41: 1.0/syntax/004_extension_CORE_0 ................... Passed 0.01 sec 43/115 Test #40: 1.0/syntax/004_extension_CORE ..................... Passed 0.01 sec Start 44: 1.0/syntax/005_control_02 Start 45: 1.0/syntax/005_control_03 Start 46: 1.0/syntax/005_control_04 Start 47: 1.0/syntax/005_control_05 44/115 Test #47: 1.0/syntax/005_control_05 .........................***Failed 0.00 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/syntax/005_control_05.hsail 2017-03-06 16:05:12.000000000 +0000 +++ 005_control_05_2.hsail 2017-12-24 01:26:46.737154345 +0000 @@ -7,7 +7,7 @@ // If multiple control directives are present in the kernel or the functions it calls, they must all have the same values. // The value specified must also be greater than or equal to the product of the values specified by requiredworkgroupsize. //----------------------------------------------------------------------------------------------------------------------- - requiredgridsize 1, 1, WAVESIZE; + requiredgridsize 0x100000000, 0x100000000, WAVESIZE; maxflatgridsize WAVESIZE; // The value specified must be greater than or equal to the product of the values specified by requiredgridsize }; 45/115 Test #46: 1.0/syntax/005_control_04 .........................***Failed 0.00 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/syntax/005_control_04.hsail 2017-03-06 16:05:12.000000000 +0000 +++ 005_control_04_2.hsail 2017-12-24 01:26:46.737154345 +0000 @@ -7,7 +7,7 @@ // If multiple control directives are present in the kernel or the functions it calls, they must all have the same values. // The value specified must also be greater than or equal to the product of the values specified by requiredworkgroupsize. //----------------------------------------------------------------------------------------------------------------------- - requiredgridsize WAVESIZE, 1, 1; + requiredgridsize WAVESIZE, 0x100000000, 0x100000000; maxflatgridsize WAVESIZE; // The value specified must be greater than or equal to the product of the values specified by requiredgridsize }; 46/115 Test #45: 1.0/syntax/005_control_03 .........................***Failed 0.01 sec Assembling > maxflatworkgroupsize 3; > ^ input(16,23): Operand value must be greater than 0 47/115 Test #44: 1.0/syntax/005_control_02 .........................***Failed 0.01 sec Assembling > maxflatworkgroupsize 9; > ^ input(16,23): Operand value must be greater than 0 Start 48: 1.0/syntax/005_control_06 Start 49: 1.0/syntax/005_control_07 Start 50: 1.0/syntax/005_control_08 Start 51: 1.0/syntax/005_control_09 48/115 Test #51: 1.0/syntax/005_control_09 .........................***Failed 0.00 sec Assembling > requiredworkgroupsize 10, WAVESIZE, WAVESIZE; > ^ input(8,24): Operand value must be greater than 0 49/115 Test #50: 1.0/syntax/005_control_08 .........................***Failed 0.00 sec Assembling > requiredworkgroupsize 1, 2, WAVESIZE; > ^ input(8,24): Operand value must be greater than 0 50/115 Test #49: 1.0/syntax/005_control_07 .........................***Failed 0.00 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/syntax/005_control_07.hsail 2017-03-06 16:05:12.000000000 +0000 +++ 005_control_07_2.hsail 2017-12-24 01:26:46.747154345 +0000 @@ -6,6 +6,6 @@ // If multiple control directives are present in the kernel or the functions it calls, they must all have the same values. //----------------------------------------------------------------------------------------------------------------------- maxflatgridsize WAVESIZE; - maxflatgridsize 10; + maxflatgridsize 0xa00000000; // WAVESIZE value is not known, so it might be equal to 10 }; 51/115 Test #48: 1.0/syntax/005_control_06 .........................***Failed 0.01 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/syntax/005_control_06.hsail 2017-03-06 16:05:12.000000000 +0000 +++ 005_control_06_2.hsail 2017-12-24 01:26:46.747154345 +0000 @@ -5,7 +5,7 @@ //----------------------------------------------------------------------------------------------------------------------- // If multiple control directives are present in the kernel or the functions it calls, they must all have the same values. //----------------------------------------------------------------------------------------------------------------------- - maxflatgridsize 10; + maxflatgridsize 0xa00000000; maxflatgridsize WAVESIZE; // WAVESIZE value is not known, so it might be equal to 10 }; Start 52: 1.0/syntax/005_control_10 Start 53: 1.0/syntax/005_control_enablebreakexceptions_1 Start 54: 1.0/syntax/005_control_enablebreakexceptions_2 Start 55: 1.0/syntax/005_control_enabledetectexceptions_1 52/115 Test #55: 1.0/syntax/005_control_enabledetectexceptions_1 ...***Failed 0.00 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/syntax/005_control_enabledetectexceptions_1.hsail 2017-03-06 16:05:12.000000000 +0000 +++ 005_control_enabledetectexceptions_1_2.hsail 2017-12-24 01:26:46.757154345 +0000 @@ -2,35 +2,35 @@ function &TestFunc0()() { - enabledetectexceptions 0x700000e0; + enabledetectexceptions 0; }; function &TestFunc1()() { - enabledetectexceptions 0x70000001; + enabledetectexceptions 0; }; function &TestFunc2()() { - enabledetectexceptions 0x70000002; + enabledetectexceptions 0; }; function &TestFunc3()() { - enabledetectexceptions 0x70000004; + enabledetectexceptions 0; }; function &TestFunc4()() { - enabledetectexceptions 0x70000008; + enabledetectexceptions 0; }; function &TestFunc5()() { - enabledetectexceptions 0x70000010; + enabledetectexceptions 0; }; function &TestFunc6()() { - enabledetectexceptions 0x7000001f; + enabledetectexceptions 0; }; 53/115 Test #54: 1.0/syntax/005_control_enablebreakexceptions_2 ....***Failed 0.01 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/syntax/005_control_enablebreakexceptions_2.hsail 2017-03-06 16:05:12.000000000 +0000 +++ 005_control_enablebreakexceptions_2_2.hsail 2017-12-24 01:26:46.757154345 +0000 @@ -2,35 +2,35 @@ function &TestFunc0()() { - enablebreakexceptions 0x700000e0; + enablebreakexceptions 0; }; function &TestFunc1()() { - enablebreakexceptions 0x70000001; + enablebreakexceptions 0; }; function &TestFunc2()() { - enablebreakexceptions 0x70000002; + enablebreakexceptions 0; }; function &TestFunc3()() { - enablebreakexceptions 0x70000004; + enablebreakexceptions 0; }; function &TestFunc4()() { - enablebreakexceptions 0x70000008; + enablebreakexceptions 0; }; function &TestFunc5()() { - enablebreakexceptions 0x70000010; + enablebreakexceptions 0; }; function &TestFunc6()() { - enablebreakexceptions 0x7000001f; + enablebreakexceptions 0; }; 54/115 Test #53: 1.0/syntax/005_control_enablebreakexceptions_1 ....***Failed 0.01 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/syntax/005_control_enablebreakexceptions_1.hsail 2017-03-06 16:05:12.000000000 +0000 +++ 005_control_enablebreakexceptions_1_2.hsail 2017-12-24 01:26:46.757154345 +0000 @@ -2,35 +2,35 @@ function &TestFunc0()() { - enablebreakexceptions 0x700000e0; + enablebreakexceptions 0; }; function &TestFunc1()() { - enablebreakexceptions 0x70000001; + enablebreakexceptions 0; }; function &TestFunc2()() { - enablebreakexceptions 0x70000002; + enablebreakexceptions 0; }; function &TestFunc3()() { - enablebreakexceptions 0x70000004; + enablebreakexceptions 0; }; function &TestFunc4()() { - enablebreakexceptions 0x70000008; + enablebreakexceptions 0; }; function &TestFunc5()() { - enablebreakexceptions 0x70000010; + enablebreakexceptions 0; }; function &TestFunc6()() { - enablebreakexceptions 0x7000001f; + enablebreakexceptions 0; }; 55/115 Test #52: 1.0/syntax/005_control_10 .........................***Failed 0.01 sec Assembling > requiredworkgroupsize 10, WAVESIZE, 1; > ^ input(8,24): Operand value must be greater than 0 Start 56: 1.0/syntax/005_control_enabledetectexceptions_2 Start 57: 1.0/syntax/005_control_maxdynamicgroupsize Start 58: 1.0/syntax/005_control_maxflatgridsize_1 Start 59: 1.0/syntax/005_control_maxflatgridsize_2 56/115 Test #59: 1.0/syntax/005_control_maxflatgridsize_2 .......... Passed 0.01 sec 57/115 Test #58: 1.0/syntax/005_control_maxflatgridsize_1 ..........***Failed 0.01 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/syntax/005_control_maxflatgridsize_1.hsail 2017-03-06 16:05:12.000000000 +0000 +++ 005_control_maxflatgridsize_1_2.hsail 2017-12-24 01:26:46.757154345 +0000 @@ -2,5 +2,5 @@ function &TestFunc()() { - maxflatgridsize 0x7fffffff70000001; + maxflatgridsize 0x700000017fffffff; }; 58/115 Test #57: 1.0/syntax/005_control_maxdynamicgroupsize ........***Failed 0.01 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/syntax/005_control_maxdynamicgroupsize.hsail 2017-03-06 16:05:12.000000000 +0000 +++ 005_control_maxdynamicgroupsize_2.hsail 2017-12-24 01:26:46.757154345 +0000 @@ -2,5 +2,5 @@ function &TestFunc()() { - maxdynamicgroupsize 0x70000001; + maxdynamicgroupsize 0; }; 59/115 Test #56: 1.0/syntax/005_control_enabledetectexceptions_2 ...***Failed 0.01 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/syntax/005_control_enabledetectexceptions_2.hsail 2017-03-06 16:05:12.000000000 +0000 +++ 005_control_enabledetectexceptions_2_2.hsail 2017-12-24 01:26:46.757154345 +0000 @@ -2,35 +2,35 @@ function &TestFunc0()() { - enabledetectexceptions 0x700000e0; + enabledetectexceptions 0; }; function &TestFunc1()() { - enabledetectexceptions 0x70000001; + enabledetectexceptions 0; }; function &TestFunc2()() { - enabledetectexceptions 0x70000002; + enabledetectexceptions 0; }; function &TestFunc3()() { - enabledetectexceptions 0x70000004; + enabledetectexceptions 0; }; function &TestFunc4()() { - enabledetectexceptions 0x70000008; + enabledetectexceptions 0; }; function &TestFunc5()() { - enabledetectexceptions 0x70000010; + enabledetectexceptions 0; }; function &TestFunc6()() { - enabledetectexceptions 0x7000001f; + enabledetectexceptions 0; }; Start 60: 1.0/syntax/005_control_maxflatworkgroupsize_1 Start 61: 1.0/syntax/005_control_maxflatworkgroupsize_2 Start 62: 1.0/syntax/005_control_requireddim Start 63: 1.0/syntax/005_control_requiredgridsize_1 60/115 Test #63: 1.0/syntax/005_control_requiredgridsize_1 .........***Failed 0.00 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/syntax/005_control_requiredgridsize_1.hsail 2017-03-06 16:05:12.000000000 +0000 +++ 005_control_requiredgridsize_1_2.hsail 2017-12-24 01:26:46.767154345 +0000 @@ -2,5 +2,5 @@ function &TestFunc()() { - requiredgridsize 0x7fffffff10000003, 0x7fffffff20000003, 0x7fffffff30000003; + requiredgridsize 0x100000037fffffff, 0x200000037fffffff, 0x300000037fffffff; }; 61/115 Test #62: 1.0/syntax/005_control_requireddim ................***Failed 0.00 sec Assembling > requireddim 3; > ^ input(5,14): Operand value must be in the range [1..3] 62/115 Test #61: 1.0/syntax/005_control_maxflatworkgroupsize_2 ..... Passed 0.01 sec 63/115 Test #60: 1.0/syntax/005_control_maxflatworkgroupsize_1 .....***Failed 0.01 sec Assembling > maxflatworkgroupsize 0x70000001; > ^ input(5,23): Operand value must be greater than 0 Start 64: 1.0/syntax/005_control_requiredgridsize_2 Start 65: 1.0/syntax/005_control_requiredworkgroupsize_1 Start 66: 1.0/syntax/005_control_requiredworkgroupsize_2 Start 67: 1.0/syntax/006_loc 64/115 Test #67: 1.0/syntax/006_loc ................................ Passed 0.01 sec 65/115 Test #66: 1.0/syntax/005_control_requiredworkgroupsize_2 .... Passed 0.01 sec 66/115 Test #64: 1.0/syntax/005_control_requiredgridsize_2 ......... Passed 0.01 sec 67/115 Test #65: 1.0/syntax/005_control_requiredworkgroupsize_1 ....***Failed 0.01 sec Assembling > requiredworkgroupsize 0x10000003, 0x20000003, 0x30000003; > ^ input(5,24): Operand value must be greater than 0 Start 68: 1.0/syntax/009_function_arg_align Start 69: 1.0/syntax/009_function_arg_array Start 70: 1.0/syntax/009_function_arg_flexible_array Start 71: 1.0/syntax/009_function_arg_generic 68/115 Test #71: 1.0/syntax/009_function_arg_generic ............... Passed 0.01 sec 69/115 Test #70: 1.0/syntax/009_function_arg_flexible_array ........ Passed 0.01 sec 70/115 Test #69: 1.0/syntax/009_function_arg_array ................. Passed 0.01 sec 71/115 Test #68: 1.0/syntax/009_function_arg_align ................. Passed 0.01 sec Start 72: 1.0/syntax/009_function_arg_generic_base Start 73: 1.0/syntax/009_function_arg_passing Start 74: 1.0/syntax/009_function_decl Start 75: 1.0/syntax/009_function_forw_decl 72/115 Test #75: 1.0/syntax/009_function_forw_decl ................. Passed 0.01 sec 73/115 Test #74: 1.0/syntax/009_function_decl ...................... Passed 0.01 sec 74/115 Test #73: 1.0/syntax/009_function_arg_passing ...............***Failed 0.01 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/syntax/009_function_arg_passing.hsail 2017-03-06 16:05:12.000000000 +0000 +++ 009_function_arg_passing_2.hsail 2017-12-24 01:26:46.787154345 +0000 @@ -39,14 +39,14 @@ arg_s64x2 %oarg; align(4) arg_s8 %iarg[3]; st_arg_s8 0, [%iarg]; - st_arg_s8 1, [%iarg][1]; - st_arg_s8 2, [%iarg][2]; + st_arg_s8 0, [%iarg][1]; + st_arg_s8 0, [%iarg][2]; call &TestFunc2 (%oarg) (%iarg); } { align(8) arg_s8 %iarg[2]; st_arg_s8 0, [%iarg]; - st_arg_s8 1, [%iarg][1]; + st_arg_s8 0, [%iarg][1]; call &TestFunc3 () (%iarg); } { 75/115 Test #72: 1.0/syntax/009_function_arg_generic_base .......... Passed 0.01 sec Start 76: 1.0/syntax/009_function_generic Start 77: 1.0/syntax/009_function_indirect Start 78: 1.0/syntax/009_function_indirect_small Start 79: 1.0/syntax/009_function_module 76/115 Test #79: 1.0/syntax/009_function_module .................... Passed 0.01 sec 77/115 Test #78: 1.0/syntax/009_function_indirect_small ............ Passed 0.01 sec 78/115 Test #77: 1.0/syntax/009_function_indirect ..................***Failed 0.01 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/syntax/009_function_indirect.hsail 2017-03-06 16:05:12.000000000 +0000 +++ 009_function_indirect_2.hsail 2017-12-24 01:26:46.797154345 +0000 @@ -156,7 +156,7 @@ { arg_f32 %a; arg_u32 %r; - st_arg_f32 0F40000000, [%a]; + st_arg_f32 0F00000040, [%a]; icall_width(all)_u64 $d1 (%r) (%a) &bar_or_foo_t; ld_arg_u32 $s1, [%r]; ld_kernarg_u64 $d1, [%res]; 79/115 Test #76: 1.0/syntax/009_function_generic ................... Passed 0.01 sec Start 80: 1.0/syntax/009_function_signature_0 Start 81: 1.0/syntax/009_function_signature_1 Start 82: 1.0/syntax/009_function_width Start 83: 1.0/syntax/010_kernel_args 80/115 Test #83: 1.0/syntax/010_kernel_args ........................ Passed 0.01 sec 81/115 Test #82: 1.0/syntax/009_function_width ..................... Passed 0.01 sec 82/115 Test #81: 1.0/syntax/009_function_signature_1 ............... Passed 0.01 sec 83/115 Test #80: 1.0/syntax/009_function_signature_0 ............... Passed 0.01 sec Start 84: 1.0/syntax/010_kernel_decl Start 85: 1.0/syntax/010_kernel_generic Start 86: 1.0/syntax/010_kernel_module Start 87: 1.0/syntax/011_argscope_generic 84/115 Test #87: 1.0/syntax/011_argscope_generic ................... Passed 0.01 sec 85/115 Test #86: 1.0/syntax/010_kernel_module ...................... Passed 0.01 sec 86/115 Test #85: 1.0/syntax/010_kernel_generic ..................... Passed 0.01 sec 87/115 Test #84: 1.0/syntax/010_kernel_decl ........................ Passed 0.01 sec Start 88: 1.0/syntax/011_argscope_jumps_01 Start 89: 1.0/syntax/011_argscope_jumps_02 Start 90: 1.0/syntax/011_argscope_jumps_03 Start 91: 1.0/syntax/011_argscope_jumps_04 88/115 Test #91: 1.0/syntax/011_argscope_jumps_04 ..................***Failed 0.01 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/syntax/011_argscope_jumps_04.hsail 2017-03-06 16:05:12.000000000 +0000 +++ 011_argscope_jumps_04_2.hsail 2017-12-24 01:26:46.827154345 +0000 @@ -10,7 +10,7 @@ function &TestFunc()() { - global_u32 %y1 = 1; + global_u32 %y1 = 0; global_u32 %y2; cbr_b1 $c1, @lab_out1x; cbr_b1 $c1, @lab_out; @@ -31,10 +31,10 @@ } @l01: - add_s32 $s0, 1, 1; + add_s32 $s0, 0, 0; @lab_out1x: - add_s32 $s0, 1, 1; + add_s32 $s0, 0, 0; @l02: { @@ -44,7 +44,7 @@ arg_u32 %y; @lab_in1y: - add_s32 $s0, 1, 1; + add_s32 $s0, 0, 0; @lab_in2: st_arg_u32 0, [%x]; 89/115 Test #90: 1.0/syntax/011_argscope_jumps_03 ..................***Failed 0.01 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/syntax/011_argscope_jumps_03.hsail 2017-03-06 16:05:12.000000000 +0000 +++ 011_argscope_jumps_03_2.hsail 2017-12-24 01:26:46.827154345 +0000 @@ -10,7 +10,7 @@ function &TestFunc()() { - global_u32 %y1 = 1; + global_u32 %y1 = 0; global_u32 %y2; cbr_b1 $c1, @lab_out1x; cbr_b1 $c1, @lab_out; @@ -28,10 +28,10 @@ cbr_b1 $c0, @lab_in1; @l01: - add_s32 $s0, 1, 1; + add_s32 $s0, 0, 0; @lab_in1x: - add_s32 $s0, 1, 1; + add_s32 $s0, 0, 0; @l02: } @@ -43,7 +43,7 @@ arg_u32 %y; @lab_in1y: - add_s32 $s0, 1, 1; + add_s32 $s0, 0, 0; @lab_in2: st_arg_u32 0, [%x]; 90/115 Test #89: 1.0/syntax/011_argscope_jumps_02 ..................***Failed 0.01 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/syntax/011_argscope_jumps_02.hsail 2017-03-06 16:05:12.000000000 +0000 +++ 011_argscope_jumps_02_2.hsail 2017-12-24 01:26:46.827154345 +0000 @@ -15,8 +15,8 @@ // // global_u32 %x1; - global_u32 %x2 = 1; - global_u32 %y1 = 1; + global_u32 %x2 = 0; + global_u32 %y1 = 0; global_u32 %y2; // cbr_b1 $c1, @lab_out2x; @@ -35,10 +35,10 @@ cbr_b1 $c0, @lab_in1; @l01: - add_s32 $s0, 1, 1; + add_s32 $s0, 0, 0; @lab_in1x: - add_s32 $s0, 1, 1; + add_s32 $s0, 0, 0; @l02: } @@ -57,10 +57,10 @@ cbr_b1 $c0, @lab_in2; @l03: - add_s32 $s0, 1, 1; + add_s32 $s0, 0, 0; @lab_in2x: - add_s32 $s0, 1, 1; + add_s32 $s0, 0, 0; @l04: } 91/115 Test #88: 1.0/syntax/011_argscope_jumps_01 ..................***Failed 0.01 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/syntax/011_argscope_jumps_01.hsail 2017-03-06 16:05:12.000000000 +0000 +++ 011_argscope_jumps_01_2.hsail 2017-12-24 01:26:46.827154345 +0000 @@ -11,17 +11,17 @@ function &TestFunc()() { global_u32 %x1; - global_u32 %x2 = 1; - global_u32 %y1 = 1; + global_u32 %x2 = 0; + global_u32 %y1 = 0; global_u32 %y2; cbr_b1 $c1, @lab_out2x; cbr_b1 $c1, @lab_out; @l01: - add_s32 $s0, 1, 1; + add_s32 $s0, 0, 0; @lab_out1x: - add_s32 $s0, 1, 1; + add_s32 $s0, 0, 0; @l02: { @@ -30,7 +30,7 @@ arg_u32 %iarg1; @lab_in1x: - add_s32 $s0, 1, 1; + add_s32 $s0, 0, 0; @lab_in1: st_arg_u32 0, [%iarg0]; @@ -49,13 +49,13 @@ arg_u32 %y; @l03: - add_s32 $s0, 1, 1; + add_s32 $s0, 0, 0; @lab_in2x: - add_s32 $s0, 1, 1; + add_s32 $s0, 0, 0; @l04: - add_s32 $s0, 1, 1; + add_s32 $s0, 0, 0; @lab_in2: st_arg_u32 0, [%x]; Start 92: 1.0/syntax/011_argscope_jumps_05 Start 93: 1.0/syntax/011_argscope_special Start 94: 1.0/syntax/012_var_align Start 95: 1.0/syntax/012_var_alloc 92/115 Test #95: 1.0/syntax/012_var_alloc ..........................***Failed 0.00 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/syntax/012_var_alloc.hsail 2017-03-06 16:05:12.000000000 +0000 +++ 012_var_alloc_2.hsail 2017-12-24 01:26:46.837154345 +0000 @@ -6,9 +6,9 @@ decl alloc(agent) global_s32 &k1; alloc(agent) global_s32 &k1; decl prog alloc(agent) global_s32 &k2; -prog alloc(agent) global_s32 &k2 = 123; +prog alloc(agent) global_s32 &k2 = 0; decl prog alloc(agent) const global_s32 &k3; -prog alloc(agent) const global_s32 &k3 = 123; +prog alloc(agent) const global_s32 &k3 = 0; function &TestFunc12a()() { 93/115 Test #94: 1.0/syntax/012_var_align .......................... Passed 0.01 sec 94/115 Test #93: 1.0/syntax/011_argscope_special ................... Passed 0.01 sec 95/115 Test #92: 1.0/syntax/011_argscope_jumps_05 ..................***Failed 0.01 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/syntax/011_argscope_jumps_05.hsail 2017-03-06 16:05:12.000000000 +0000 +++ 011_argscope_jumps_05_2.hsail 2017-12-24 01:26:46.827154345 +0000 @@ -10,7 +10,7 @@ function &TestFunc()() { - global_u32 %y1 = 1; + global_u32 %y1 = 0; global_u32 %y2; cbr_b1 $c1, @lab_out1x; cbr_b1 $c1, @lab_out; @@ -43,12 +43,12 @@ arg_u32 %y; @l01: - add_s32 $s0, 1, 1; + add_s32 $s0, 0, 0; @lab_in1y: @lab_in2y: - add_s32 $s0, 1, 1; + add_s32 $s0, 0, 0; @l02: Start 96: 1.0/syntax/012_var_array Start 97: 1.0/syntax/012_var_array_init Start 98: 1.0/syntax/012_var_base Start 99: 1.0/syntax/012_var_decl 96/115 Test #99: 1.0/syntax/012_var_decl ........................... Passed 0.01 sec 97/115 Test #98: 1.0/syntax/012_var_base ........................... Passed 0.01 sec 98/115 Test #97: 1.0/syntax/012_var_array_init .....................***Failed 0.01 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/syntax/012_var_array_init.hsail 2017-03-06 16:05:12.000000000 +0000 +++ 012_var_array_init_2.hsail 2017-12-24 01:26:46.837154345 +0000 @@ -4,25 +4,25 @@ // If there is an initialization (d_init not zero), the value of dim must be the same as the length of the initializer. // So 3d element must be initialized by 0 // -const global_u32 &global_const33[2] = u32[](1, 2); -const global_u32 &global_const34[3] = u32[](1, 2, 3); -align(8) const global_u32 &globalConst0[3] = u32[](1, 2, 3); -global_u8 &t1[4] = u8[](255, 208, 184, 255); -global_u8 &t2[12] = u8[](255, 28, 31, 30, 31, 30, 31, 31, 30, 31, 255, 255); -global_u8 &t3[8] = u8[](255, 16, 0, 0, 0, 0, 255, 255); -global_s8 &t4[8] = s8[](-1, 16, 1, 2, -72, 0, -1, -1); -global_u16 &t5[4] = u16[](1, 2, 3, 4); -global_u16 &t6[7] = u16[](1, 2, 3, 4, -1, 0, -1); -global_s16 &t7[7] = s16[](1, 2, 3, 4, -1, 0, -1); -global_f16 &t8[3] = f16[](0H3ccd, 0H4200, 0H0000); -global_s32 &b1[3] = s32[](-1, 0, 123); +const global_u32 &global_const33[2] = u32[](0, 0); +const global_u32 &global_const34[3] = u32[](0, 0, 0); +align(8) const global_u32 &globalConst0[3] = u32[](0, 0, 0); +global_u8 &t1[4] = u8[](0, 0, 0, 0); +global_u8 &t2[12] = u8[](0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0); +global_u8 &t3[8] = u8[](0, 0, 0, 0, 0, 0, 0, 0); +global_s8 &t4[8] = s8[](-1, 0, 0, 0, -1, 0, -1, -1); +global_u16 &t5[4] = u16[](0, 0, 0, 0); +global_u16 &t6[7] = u16[](0, 0, 0, 0, -1, 0, -1); +global_s16 &t7[7] = s16[](0, 0, 0, 0, -1, 0, -1); +global_f16 &t8[3] = f16[](0Hcd3c, 0H0042, 0H0000); +global_s32 &b1[3] = s32[](-1, 0, 0); global_u32 &b2[3] = u32[](-1, 0, -1); -global_f32 &b3[2] = f32[](0Fbf800000, 0F3f800000); +global_f32 &b3[2] = f32[](0F000080bf, 0F0000803f); global_s64 &b4[3] = s64[](-1, 0, 123); global_u64 &b5[3] = u64[](-1, 0, -1); -global_f64 &b6[2] = f64[](0Dbff0000000000000, 0D3ff0000000000000); -global_u8x8 &b7[2] = u8x8[](u8x8(255,255,255,255,255,255,255,255), u8x8(0,0,0,0,0,0,0,0)); -global_f16x4 &b8[2] = f16x4[](f16x4(0H0000,0H2e66,0H3266,0H34cd), f16x4(0H0000,0H2e66,0H3266,0H34cd)); +global_f64 &b6[2] = f64[](0D000000000000f0bf, 0D000000000000f03f); +global_u8x8 &b7[2] = u8x8[](u8x8(0,0,0,0,0,0,0,0), u8x8(0,0,0,0,0,0,0,0)); +global_f16x4 &b8[2] = f16x4[](f16x4(0H0000,0H662e,0H6632,0Hcd34), f16x4(0H0000,0H662e,0H6632,0Hcd34)); global_sig64 &s0 = sig64(0); global_sig64 &s1[1] = sig64[](sig64(0)); global_sig64 &s2[2] = sig64[](sig64(0), sig64(0)); @@ -30,7 +30,7 @@ kernel &TestKernel() { - align(8) const global_u32 %globalConst0[3] = u32[](1, 2, 3); + align(8) const global_u32 %globalConst0[3] = u32[](0, 0, 0); global_sig64 %s1 = sig64(0); global_sig64 %s2[2] = sig64[](sig64(0), sig64(0)); global_sig64 %s3[3] = sig64[](sig64(0), sig64(0), sig64(0)); 99/115 Test #96: 1.0/syntax/012_var_array ..........................***Failed 0.01 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/syntax/012_var_array.hsail 2017-03-06 16:05:12.000000000 +0000 +++ 012_var_array_2.hsail 2017-12-24 01:26:46.837154345 +0000 @@ -7,7 +7,7 @@ decl global_u32 ¬_flexible3[]; global_u32 ¬_flexible3[4]; prog global_u32 ¬_flexible1[3]; -prog const global_u32 ¬_flexible2[3] = u32[](1, 2, 3); +prog const global_u32 ¬_flexible2[3] = u32[](0, 0, 0); // Max array size global_s8 &x1[18446744073709551615]; global_s16 &x2[9223372036854775807]; Start 100: 1.0/syntax/012_var_generic Start 101: 1.0/syntax/012_var_img Start 102: 1.0/syntax/012_var_module Start 103: 1.0/syntax/012_var_sampler 100/115 Test #103: 1.0/syntax/012_var_sampler ........................ Passed 0.01 sec 101/115 Test #102: 1.0/syntax/012_var_module ......................... Passed 0.01 sec 102/115 Test #100: 1.0/syntax/012_var_generic ........................***Failed 0.01 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/syntax/012_var_generic.hsail 2017-03-06 16:05:12.000000000 +0000 +++ 012_var_generic_2.hsail 2017-12-24 01:26:46.847154345 +0000 @@ -2,22 +2,22 @@ extension "IMAGE"; global_u8 &t1 = 0; global_s8 &t2 = 0; -global_u16 &t6 = 8; -global_s16 &t7 = -16; +global_u16 &t6 = 0; +global_s16 &t7 = -1; global_f16 &t8 = 0H0000; -global_s32 &b1 = 83; -global_u32 &b2 = 1; -global_f32 &b3 = 0F3f800000; +global_s32 &b1 = 0; +global_u32 &b2 = 0; +global_f32 &b3 = 0F0000803f; global_s64 &b4 = 123; global_u64 &b5 = -123; -global_f64 &b6 = 0D3ff0000000000000; -global_f64 &c7 = 0Dbff0000000000000; -global_f64 &c8 = 0D3ff0000000000000; -global_f64 &c9 = 0D4024000000000000; -global_f64 &c0 = 0D4028b0a3d70a3d71; -global_f64 &c1 = 0D4028b0a3d70a3d71; -global_u8x8 &d2 = u8x8(255,255,255,255,255,255,255,255); -global_f16x4 &d3 = f16x4(0H0000,0H2e66,0H3266,0H34cd); +global_f64 &b6 = 0D000000000000f03f; +global_f64 &c7 = 0D000000000000f0bf; +global_f64 &c8 = 0D000000000000f03f; +global_f64 &c9 = 0D0000000000002440; +global_f64 &c0 = 0D713d0ad7a3b02840; +global_f64 &c1 = 0D713d0ad7a3b02840; +global_u8x8 &d2 = u8x8(0,0,0,0,0,0,0,0); +global_f16x4 &d3 = f16x4(0H0000,0H662e,0H6632,0Hcd34); function &TestFunc12(arg_s32x2 %out_arg0)( arg_u32 %in_arg0, @@ -30,10 +30,10 @@ { ret; }; -global_u32 &global_var[3] = u32[](1, 2, 3); -readonly_u32 &readonly_var[1] = u32[](1); -const readonly_u32 &readonly_const[4] = u32[](1, 31, 28, 31); -const global_u32 &global_const[3] = u32[](1, 2, 3); +global_u32 &global_var[3] = u32[](0, 0, 0); +readonly_u32 &readonly_var[1] = u32[](0); +const readonly_u32 &readonly_const[4] = u32[](0, 0, 0, 0); +const global_u32 &global_const[3] = u32[](0, 0, 0); // not necessary flexible because array size may be omitted in decl // decl prog const global_u32 &extern_global_const0[]; @@ -41,11 +41,11 @@ // definition => migh have initializer // global_u32 &global_var1; -global_u32 &global_var2 = 1; +global_u32 &global_var2 = 0; // // const definition => must have initializer // -const global_u32 &global_const_var = 1; +const global_u32 &global_const_var = 0; // // declaration => cannot have initializer // @@ -61,16 +61,16 @@ kernel &TestKernel(kernarg_samp %x) { - const global_u32 %globalConst0 = 1; - align(8) const global_u32 %globalConst1 = 1; - align(8) const global_u32 %globalConst2 = 1; - align(8) const global_u32 %globalConst3 = 1; - align(8) const global_u32 %globalConst4 = 1; - align(8) const global_u32 %globalConst5 = 1; - align(256) const global_u32 %globalConst9 = 1; - const readonly_u32 %readonlyConst = 1; - global_u32 %globalVar1 = 1; - readonly_u32 %readonlyVar1 = 1; + const global_u32 %globalConst0 = 0; + align(8) const global_u32 %globalConst1 = 0; + align(8) const global_u32 %globalConst2 = 0; + align(8) const global_u32 %globalConst3 = 0; + align(8) const global_u32 %globalConst4 = 0; + align(8) const global_u32 %globalConst5 = 0; + align(256) const global_u32 %globalConst9 = 0; + const readonly_u32 %readonlyConst = 0; + global_u32 %globalVar1 = 0; + readonly_u32 %readonlyVar1 = 0; group_u32 %groupVar1; private_u32 %privateVar1; spill_u32 %spillVar1; 103/115 Test #101: 1.0/syntax/012_var_img ............................ Passed 0.01 sec Start 104: 1.0/syntax/013_name_1 Start 105: 1.0/syntax/013_name_2 Start 106: 1.0/syntax/013_name_3 Start 107: 1.0/syntax/013_name_4 104/115 Test #107: 1.0/syntax/013_name_4 ............................. Passed 0.01 sec 105/115 Test #106: 1.0/syntax/013_name_3 ............................. Passed 0.01 sec 106/115 Test #105: 1.0/syntax/013_name_2 .............................***Failed 0.01 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/syntax/013_name_2.hsail 2017-03-06 16:05:12.000000000 +0000 +++ 013_name_2_2.hsail 2017-12-24 01:26:46.857154345 +0000 @@ -1,6 +1,6 @@ // Names may have "_" as the second symbol // module &moduleName:1:0:$full:$large:$default; -prog global_u32 &_[3] = u32[](1, 2, 3); -global_u32 &_a[3] = u32[](1, 2, 3); -global_u32 &_0[3] = u32[](1, 2, 3); +prog global_u32 &_[3] = u32[](0, 0, 0); +global_u32 &_a[3] = u32[](0, 0, 0); +global_u32 &_0[3] = u32[](0, 0, 0); 107/115 Test #104: 1.0/syntax/013_name_1 ............................. Passed 0.01 sec Start 108: 1.0/syntax/014_branch Start 109: 1.0/syntax/015_large_memory_model Start 110: 1.0/syntax/015_small_memory_model Start 111: 1.0/syntax/016_literal_conversion 108/115 Test #110: 1.0/syntax/015_small_memory_model .................***Failed 0.01 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/syntax/015_small_memory_model.hsail 2017-03-06 16:05:12.000000000 +0000 +++ 015_small_memory_model_2.hsail 2017-12-24 01:26:46.867154345 +0000 @@ -27,7 +27,7 @@ ld_global_u32 $s0, [&global_var]; ld_global_u32 $s0, [&global_var][12]; ld_global_u32 $s0, [&global_var][$s0+12]; - atomic_and_global_scar_system_b32 $s1, [&global_var], 23; + atomic_and_global_scar_system_b32 $s1, [&global_var], 0; lda_group_u32 $s1, [&group_var]; lda_group_u32 $s1, [&group_var][12]; lda_group_u32 $s1, [&group_var][$s0+12]; 109/115 Test #111: 1.0/syntax/016_literal_conversion .................***Failed 0.01 sec Assembling > maxflatworkgroupsize 1; > ^ input(226,23): Operand value must be greater than 0 110/115 Test #109: 1.0/syntax/015_large_memory_model .................***Failed 0.01 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/syntax/015_large_memory_model.hsail 2017-03-06 16:05:12.000000000 +0000 +++ 015_large_memory_model_2.hsail 2017-12-24 01:26:46.867154345 +0000 @@ -27,7 +27,7 @@ ld_global_u32 $s0, [&global_var]; ld_global_u32 $s0, [&global_var][12]; ld_global_u32 $s0, [&global_var][$d0+12]; - atomic_and_global_scar_system_b32 $s1, [&global_var], 23; + atomic_and_global_scar_system_b32 $s1, [&global_var], 0; lda_group_u32 $s1, [&group_var]; lda_group_u32 $s1, [&group_var][12]; lda_group_u32 $s1, [&group_var][$s0+12]; 111/115 Test #108: 1.0/syntax/014_branch .............................***Failed 0.01 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/syntax/014_branch.hsail 2017-03-06 16:05:12.000000000 +0000 +++ 014_branch_2.hsail 2017-12-24 01:26:46.867154345 +0000 @@ -14,7 +14,7 @@ @k2: sub_s32 $s1, $s2, $s3; - cbr_b1 1, @k1; + cbr_b1 0, @k1; @k3: sub_s32 $s1, $s2, $s3; Start 112: 1.0/syntax/016_literal_conversions_1_0 Start 113: 1.0/syntax/017_addressing Start 114: 1.0/syntax/018_aggregate_const Start 115: 1.0/syntax/019_reg_limit 112/115 Test #115: 1.0/syntax/019_reg_limit ..........................***Failed 0.01 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/syntax/019_reg_limit.hsail 2017-03-06 16:05:12.000000000 +0000 +++ 019_reg_limit_2.hsail 2017-12-24 01:26:46.877154345 +0000 @@ -116,7 +116,7 @@ function ®_limit_02()() { // limitations on c registers does not affect limitations on s, d and q registers - not_b1 $c127, 1; + not_b1 $c127, 0; // limitations on c registers does not affect limitations on s, d and q registers // Limitation on d registers add_u64 $d1023, $d1023, $d1023; @@ -127,7 +127,7 @@ function ®_limit_03()() { // limitations on c registers does not affect limitations on s, d and q registers - not_b1 $c127, 1; + not_b1 $c127, 0; // Limitation on q registers abs_s_s8x16 $q511, $q511; abs_s_s8x16 $q511, $q511; @@ -461,9 +461,9 @@ function ®_limit_05()() { // limitations on c registers does not affect limitations on s, d and q registers - not_b1 $c127, 1; - not_b1 $c127, 1; - not_b1 $c127, 1; + not_b1 $c127, 0; + not_b1 $c127, 0; + not_b1 $c127, 0; // Limitation on s, d, q registers: ((smax+1) + 2*(dmax+1) + 4*(qmax+1)) <= 2048 add_u32 $s0, $s1, $s7; // 8 @@ -476,9 +476,9 @@ function ®_limit_06()() { // limitations on c registers does not affect limitations on s, d and q registers - not_b1 $c0, 1; - not_b1 $c1, 1; - not_b1 $c127, 1; + not_b1 $c0, 0; + not_b1 $c1, 0; + not_b1 $c127, 0; // Limitation on s, d, q registers: ((smax+1) + 2*(dmax+1) + 4*(qmax+1)) <= 2048 add_u32 $s0, $s1, $s999; // 1000 113/115 Test #114: 1.0/syntax/018_aggregate_const ....................***Failed 0.01 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/syntax/018_aggregate_const.hsail 2017-03-06 16:05:12.000000000 +0000 +++ 018_aggregate_const_2.hsail 2017-12-24 01:26:46.877154345 +0000 @@ -1,90 +1,90 @@ module &moduleName:1:0:$full:$large:$default; extension "IMAGE"; global_b8 &aggregate_00[16] = { - u8(255), - f16(0Hbc00), - s32(1), - f64(0Dbff0000000000000), + u8(0), + f16(0H00bc), + s32(0), + f64(0D000000000000f0bf), s8(0) }; global_b16 &aggregate_01[8] = { - u8(255), - f16(0Hbc00), - s32(1), - f64(0Dbff0000000000000), + u8(0), + f16(0H00bc), + s32(0), + f64(0D000000000000f0bf), s8(0) }; global_b32 &aggregate_03[4] = { - u8(255), - f16(0Hbc00), - s32(1), - f64(0Dbff0000000000000), + u8(0), + f16(0H00bc), + s32(0), + f64(0D000000000000f0bf), s8(0) }; global_b64 &aggregate_04[2] = { - u8(255), - f16(0Hbc00), - s32(1), - f64(0Dbff0000000000000), + u8(0), + f16(0H00bc), + s32(0), + f64(0D000000000000f0bf), s8(0) }; global_b128 &aggregate_05[1] = { - u8(255), - f16(0Hbc00), - s32(1), - f64(0Dbff0000000000000), + u8(0), + f16(0H00bc), + s32(0), + f64(0D000000000000f0bf), s8(0) }; global_b8 &aggregate_10[16] = { - f16(0Hbc00), - s16x2(-1,1), - f32x2(0Fbf800000,0F3f800000), + f16(0H00bc), + s16x2(-1,0), + f32x2(0F000080bf,0F0000803f), s16(-1) }; global_b16 &aggregate_11[8] = { - f16(0Hbc00), - s16x2(-1,1), - f32x2(0Fbf800000,0F3f800000), + f16(0H00bc), + s16x2(-1,0), + f32x2(0F000080bf,0F0000803f), s16(-1) }; global_b32 &aggregate_13[4] = { - f16(0Hbc00), - s16x2(-1,1), - f32x2(0Fbf800000,0F3f800000), + f16(0H00bc), + s16x2(-1,0), + f32x2(0F000080bf,0F0000803f), s16(-1) }; global_b64 &aggregate_14[2] = { - f16(0Hbc00), - s16x2(-1,1), - f32x2(0Fbf800000,0F3f800000), + f16(0H00bc), + s16x2(-1,0), + f32x2(0F000080bf,0F0000803f), s16(-1) }; global_b128 &aggregate_15[1] = { - f16(0Hbc00), - s16x2(-1,1), - f32x2(0Fbf800000,0F3f800000), + f16(0H00bc), + s16x2(-1,0), + f32x2(0F000080bf,0F0000803f), s16(-1) }; global_b128 &aggregate_20[1] = { - f16(0Hbc00), - s32(1), - f64(0Dbff0000000000000), + f16(0H00bc), + s32(0), + f64(0D000000000000f0bf), s8(0), align(16) }; global_b128 &aggregate_21[1] = { - s32(1), - f64(0Dbff0000000000000), + s32(0), + f64(0D000000000000f0bf), s8(0), align(16) }; global_b128 &aggregate_22[1] = { - s32(1), - f64(0Dbff0000000000000), + s32(0), + f64(0D000000000000f0bf), align(16) }; global_b128 &aggregate_23[1] = { - f64(0Dbff0000000000000), + f64(0D000000000000f0bf), align(16) }; global_b128 &aggregate_24[1] = { @@ -97,49 +97,49 @@ align(16) }; global_b128 &aggregate_30[1] = { - f16(0Hbc00), - s32(1), - f64(0Dbff0000000000000), + f16(0H00bc), + s32(0), + f64(0D000000000000f0bf), s8(0), align(8) }; global_b128 &aggregate_31[1] = { - s32(1), - f64(0Dbff0000000000000), + s32(0), + f64(0D000000000000f0bf), s8(0), align(8) }; global_b128 &aggregate_32[1] = { - s32(1), - f64(0Dbff0000000000000), + s32(0), + f64(0D000000000000f0bf), align(8) }; global_b128 &aggregate_33[1] = { - f64(0Dbff0000000000000), + f64(0D000000000000f0bf), s8(0), align(8) }; global_b128 &aggregate_34[1] = { s8(0), - f64(0Dbff0000000000000), + f64(0D000000000000f0bf), align(8) }; global_b128 &aggregate_40[1] = { align(8), s8(0), - f64(0Dbff0000000000000), + f64(0D000000000000f0bf), align(8) }; global_b128 &aggregate_41[1] = { s8(0), align(8), - f64(0Dbff0000000000000) + f64(0D000000000000f0bf) }; global_b128 &aggregate_42[1] = { s8(0), align(4), align(8), - f32(0Fbf800000), + f32(0F000080bf), align(8) }; global_b128 &aggregate_43[1] = { @@ -147,7 +147,7 @@ align(2), align(4), align(8), - f16(0Hbc00), + f16(0H00bc), align(4), align(8), align(4) @@ -179,32 +179,32 @@ }; global_b8 &aggregate_011[4] = { s8(0), - u16(1), - s8(2) + u16(0), + s8(0) }; global_b8 &aggregate_012[5] = { s8(0), - u16(1), - f16(0H4000) + u16(0), + f16(0H0040) }; global_b8 &aggregate_013[13] = { s8(0), - u16[](1, 2, 3, 4, 9), - f16(0H4000) + u16[](0, 0, 0, 0, 0), + f16(0H0040) }; global_b8 &aggregate_021[12] = { - u8(31), - u8(28), - u8(31), - u8(30), - u8(31), - u8(30), - u8(31), - u8(31), - u8(30), - u8(31), - u8(30), - u8(31) + u8(0), + u8(0), + u8(0), + u8(0), + u8(0), + u8(0), + u8(0), + u8(0), + u8(0), + u8(0), + u8(0), + u8(0) }; global_b8 &aggregate_022[8] = { u8(0), @@ -219,23 +219,23 @@ global_b64 &aggregate_023[4] = { sig64(0), sig64(0), - u8[](0, 1, 2, 3, 4), + u8[](0, 0, 0, 0, 0), align(8), sig64(0) }; alloc(agent) global_b8 &aggregare_131[16] = { - u32(4), + u32(0), align(8), rwimg(geometry = 2d, width = 5, height = 4, channel_type = unorm_short_555, channel_order = rgb) }; alloc(agent) global_b8 &aggregare_132[16] = { - u32(4), + u32(0), align(8), samp(coord = unnormalized, filter = nearest, addressing = clamp_to_border) }; alloc(agent) global_b8 &aggregate_133[32] = { - f32(0F3f800000), - u16(1), + f32(0F0000803f), + u16(0), align(8), sig64(0), s8(0), @@ -243,13 +243,13 @@ samp(coord = unnormalized, filter = nearest, addressing = clamp_to_border) }; global_b8 &aggregare_134[16] = { - u32(4), + u32(0), align(8), sig64(0) }; alloc(agent) global_b8 &aggregate_241[48] = { - f32(0F3f800000), - u16(1), + f32(0F0000803f), + u16(0), align(8), sig64[](sig64(0), sig64(0), sig64(0)), s8(0), @@ -257,26 +257,26 @@ samp(coord = unnormalized, filter = nearest, addressing = clamp_to_border) }; alloc(agent) global_b8 &aggregare_242[32] = { - u32(4), + u32(0), align(8), rwimg(geometry = 2d, width = 5, height = 4, channel_type = unorm_short_555, channel_order = rgb), rwimg(geometry = 1d, width = 5, channel_type = unorm_int_101010, channel_order = rgbx), rwimg(geometry = 2ddepth, width = 5, height = 4, channel_type = unorm_int_101010, channel_order = depth) }; alloc(agent) global_b8 &aggregare_243[32] = { - u32(4), + u32(0), align(8), samp(coord = unnormalized, filter = nearest, addressing = clamp_to_border), samp(coord = normalized, filter = linear, addressing = clamp_to_border), samp(coord = unnormalized, filter = nearest, addressing = clamp_to_edge) }; alloc(agent) global_b8 &aggregare_244[64] = { - u32(4), + u32(0), align(8), rwimg(geometry = 2d, width = 5, height = 4, channel_type = unorm_short_555, channel_order = rgb), rwimg(geometry = 1d, width = 5, channel_type = unorm_int_101010, channel_order = rgbx), rwimg(geometry = 2ddepth, width = 5, height = 4, channel_type = unorm_int_101010, channel_order = depth), - u32(4), + u32(0), align(8), samp(coord = unnormalized, filter = nearest, addressing = clamp_to_border), samp(coord = normalized, filter = linear, addressing = clamp_to_border), 114/115 Test #113: 1.0/syntax/017_addressing ......................... Passed 0.01 sec 115/115 Test #112: 1.0/syntax/016_literal_conversions_1_0 ............***Failed 0.01 sec Assembling Disassembling Comparing with disassembly --- /<>/tests/1.0/syntax/016_literal_conversions_1_0.hsail 2017-03-06 16:05:12.000000000 +0000 +++ 016_literal_conversions_1_0_2.hsail 2017-12-24 01:26:46.877154345 +0000 @@ -6,50 +6,50 @@ extension "IMAGE"; //------------------------------------------------------------ // i -> bit -global_b8 &ib01 = 255; -global_b8 &ib02 = 1; -global_b8 &ib03 = 255; +global_b8 &ib01 = 0; +global_b8 &ib02 = 0; +global_b8 &ib03 = 0; global_b16 &ib04 = -1; -global_b16 &ib05 = 1; +global_b16 &ib05 = 0; global_b16 &ib06 = -1; //------------------------------------------------------------ // i -> int global_s32 &ii01 = -1; -global_u32 &ii02 = 1; +global_u32 &ii02 = 0; global_s64 &ii03 = -1; global_u64 &ii04 = 1; global_s16 &ii07 = -1; -global_u16 &ii08 = 1; +global_u16 &ii08 = 0; global_s8 &ii09 = -1; -global_u8 &ii00 = 1; -global_s32 &ii11 = 1; +global_u8 &ii00 = 0; +global_s32 &ii11 = 0; global_u32 &ii12 = -1; global_s64 &ii13 = 1; global_u64 &ii14 = -1; -global_s16 &ii17 = 1; +global_s16 &ii17 = 0; global_u16 &ii18 = -1; -global_s8 &ii19 = 1; -global_u8 &ii10 = 255; +global_s8 &ii19 = 0; +global_u8 &ii10 = 0; //------------------------------------------------------------ // f -> bit global_b16 &fb01 = 0; global_b32 &fb02 = 0; global_b64 &fb03 = 0; -global_b16 &fb11 = 0x6001; -global_b32 &fb12 = 0x44002000; +global_b16 &fb11 = 0; +global_b32 &fb12 = 0; global_b64 &fb13 = 0x4080040000000000; //------------------------------------------------------------ // f -> f global_f16 &ff01 = 0H0000; global_f32 &ff02 = 0F00000000; global_f64 &ff03 = 0D0000000000000000; -global_f16 &ff11 = 0H6001; -global_f32 &ff12 = 0F44002000; -global_f64 &ff13 = 0D4080040000000000; +global_f16 &ff11 = 0H0160; +global_f32 &ff12 = 0F00200044; +global_f64 &ff13 = 0D0000000000048040; //------------------------------------------------------------ // i typed -> bit -global_b8 &itb01 = 255; -global_b8 &itb02 = 255; +global_b8 &itb01 = 0; +global_b8 &itb02 = 0; global_b16 &itb03 = -1; global_b16 &itb04 = -1; global_b32 &itb05 = -1; @@ -64,30 +64,30 @@ global_b32 &itb16 = 0; global_b64 &itb17 = 0; global_b64 &itb18 = 0; -global_b8 &itb21 = 15; -global_b8 &itb22 = 15; -global_b16 &itb23 = 15; -global_b16 &itb24 = 15; -global_b32 &itb25 = 15; -global_b32 &itb26 = 15; +global_b8 &itb21 = 0; +global_b8 &itb22 = 0; +global_b16 &itb23 = 0; +global_b16 &itb24 = 0; +global_b32 &itb25 = 0; +global_b32 &itb26 = 0; global_b64 &itb27 = 15; global_b64 &itb28 = 15; //------------------------------------------------------------ // i typed -> i global_s8 &iti01 = -1; -global_u8 &iti02 = 255; +global_u8 &iti02 = 0; global_s16 &iti03 = -1; global_u16 &iti04 = -1; global_s32 &iti05 = -1; global_u32 &iti06 = -1; global_s64 &iti07 = -1; global_u64 &iti08 = -1; -global_s8 &iti11 = 15; -global_u8 &iti12 = 15; -global_s16 &iti13 = 15; -global_u16 &iti14 = 15; -global_s32 &iti15 = 15; -global_u32 &iti16 = 15; +global_s8 &iti11 = 0; +global_u8 &iti12 = 0; +global_s16 &iti13 = 0; +global_u16 &iti14 = 0; +global_s32 &iti15 = 0; +global_u32 &iti16 = 0; global_s64 &iti17 = 15; global_u64 &iti18 = 15; //------------------------------------------------------------ @@ -95,17 +95,17 @@ global_b16 &ftb01 = 0; global_b32 &ftb02 = 0; global_b64 &ftb03 = 0; -global_b16 &ftb11 = 0x3e00; -global_b32 &ftb12 = 0x3fc00000; +global_b16 &ftb11 = 0; +global_b32 &ftb12 = 0; global_b64 &ftb13 = 0x3ff8000000000000; //------------------------------------------------------------ // f typed -> f global_f16 &ftf01 = 0H0000; global_f32 &ftf02 = 0F00000000; global_f64 &ftf03 = 0D0000000000000000; -global_f16 &ftf11 = 0H3e00; -global_f32 &ftf12 = 0F3fc00000; -global_f64 &ftf13 = 0D3ff8000000000000; +global_f16 &ftf11 = 0H003e; +global_f32 &ftf12 = 0F0000c03f; +global_f64 &ftf13 = 0D000000000000f83f; //------------------------------------------------------------ // packed -> bit global_b32 &pb03 = -1; @@ -118,27 +118,27 @@ global_b64 &pb10 = 0xffff000000000000; global_b64 &pb11 = 0xffffffff00000000; global_b64 &pb12 = 0xffffffff00000000; -global_b128 &pb13 = u8x16(255,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); -global_b128 &pb14 = u8x16(255,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); -global_b128 &pb15 = u8x16(255,255,0,0,0,0,0,0,0,0,0,0,0,0,0,0); -global_b128 &pb16 = u8x16(255,255,0,0,0,0,0,0,0,0,0,0,0,0,0,0); -global_b128 &pb17 = u8x16(255,255,255,255,0,0,0,0,0,0,0,0,0,0,0,0); -global_b128 &pb18 = u8x16(255,255,255,255,0,0,0,0,0,0,0,0,0,0,0,0); -global_b128 &pb19 = u8x16(255,255,255,255,255,255,255,255,0,0,0,0,0,0,0,0); -global_b128 &pb20 = u8x16(255,255,255,255,255,255,255,255,0,0,0,0,0,0,0,0); +global_b128 &pb13 = u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); +global_b128 &pb14 = u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); +global_b128 &pb15 = u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); +global_b128 &pb16 = u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); +global_b128 &pb17 = u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); +global_b128 &pb18 = u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); +global_b128 &pb19 = u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); +global_b128 &pb20 = u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); //------------------------------------------------------------ // packed -> packed -global_u8x4 &pp03 = u8x4(255,255,255,255); +global_u8x4 &pp03 = u8x4(0,0,0,0); global_s8x4 &pp04 = s8x4(-1,-1,-1,-1); global_u16x2 &pp05 = u16x2(-1,-1); global_s16x2 &pp06 = s16x2(-1,-1); -global_u8x8 &pp07 = u8x8(255,0,0,0,0,0,0,0); +global_u8x8 &pp07 = u8x8(0,0,0,0,0,0,0,0); global_s8x8 &pp08 = s8x8(-1,0,0,0,0,0,0,0); global_u16x4 &pp09 = u16x4(-1,0,0,0); global_s16x4 &pp10 = s16x4(-1,0,0,0); global_u32x2 &pp11 = u32x2(-1,0); global_s32x2 &pp12 = s32x2(-1,0); -global_u8x16 &pp13 = u8x16(255,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); +global_u8x16 &pp13 = u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); global_s8x16 &pp14 = s8x16(-1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); global_u16x8 &pp15 = u16x8(-1,0,0,0,0,0,0,0); global_s16x8 &pp16 = s16x8(-1,0,0,0,0,0,0,0); @@ -146,12 +146,12 @@ global_s32x4 &pp18 = s32x4(-1,0,0,0); global_u64x2 &pp19 = u64x2(-1,0); global_s64x2 &pp20 = s64x2(-1,0); -global_f16x2 &pp21 = f16x2(0H3c00,0H0000); -global_f16x4 &pp22 = f16x4(0H3c00,0H0000,0H0000,0H0000); -global_f16x8 &pp23 = f16x8(0H3c00,0H0000,0H0000,0H0000,0H0000,0H0000,0H0000,0H0000); -global_f32x2 &pp24 = f32x2(0F3f800000,0F00000000); -global_f32x4 &pp25 = f32x4(0F3f800000,0F00000000,0F3f800000,0F00000000); -global_f64x2 &pp26 = f64x2(0D3ff0000000000000,0D0000000000000000); +global_f16x2 &pp21 = f16x2(0H003c,0H0000); +global_f16x4 &pp22 = f16x4(0H003c,0H0000,0H0000,0H0000); +global_f16x8 &pp23 = f16x8(0H003c,0H0000,0H0000,0H0000,0H0000,0H0000,0H0000,0H0000); +global_f32x2 &pp24 = f32x2(0F0000803f,0F00000000); +global_f32x4 &pp25 = f32x4(0F0000803f,0F00000000,0F0000803f,0F00000000); +global_f64x2 &pp26 = f64x2(0D000000000000f03f,0D0000000000000000); //------------------------------------------------------------ // opaque -> opaque alloc(agent) global_woimg &op_op_0 = woimg(geometry = 3d, width = 5, height = 4, depth = 6, channel_type = unorm_int_101010, channel_order = rgbx); @@ -165,47 +165,47 @@ // array -> array //------------------------------------------------------------ // i[] -> i[] -global_s32 &arr_ii01[3] = s32[](-1, 0, 1); -global_u32 &arr_ii02[3] = u32[](1, 0, 1); +global_s32 &arr_ii01[3] = s32[](-1, 0, 0); +global_u32 &arr_ii02[3] = u32[](0, 0, 0); global_s64 &arr_ii03[3] = s64[](-1, 0, 1); global_u64 &arr_ii04[3] = u64[](1, 0, 1); -global_s16 &arr_ii05[3] = s16[](-1, 0, 1); -global_u16 &arr_ii06[3] = u16[](1, 0, 1); -global_s8 &arr_ii07[3] = s8[](-1, 0, 1); -global_u8 &arr_ii08[3] = u8[](1, 0, 1); +global_s16 &arr_ii05[3] = s16[](-1, 0, 0); +global_u16 &arr_ii06[3] = u16[](0, 0, 0); +global_s8 &arr_ii07[3] = s8[](-1, 0, 0); +global_u8 &arr_ii08[3] = u8[](0, 0, 0); //------------------------------------------------------------ // f[] -> f[] -global_f16 &arr_ff01[2] = f16[](0H0000, 0H3c00); -global_f32 &arr_ff02[2] = f32[](0F00000000, 0F3f800000); -global_f64 &arr_ff03[2] = f64[](0D0000000000000000, 0D3ff0000000000000); +global_f16 &arr_ff01[2] = f16[](0H0000, 0H003c); +global_f32 &arr_ff02[2] = f32[](0F00000000, 0F0000803f); +global_f64 &arr_ff03[2] = f64[](0D0000000000000000, 0D000000000000f03f); //------------------------------------------------------------ // it[] -> i[] -global_s8 &arr_iti01[3] = s8[](-1, 0, 1); -global_u8 &arr_iti02[3] = u8[](255, 0, 1); -global_s16 &arr_iti03[3] = s16[](-1, 0, 1); -global_u16 &arr_iti04[3] = u16[](-1, 0, 1); -global_s32 &arr_iti05[3] = s32[](-1, 0, 1); -global_u32 &arr_iti06[3] = u32[](-1, 0, 1); +global_s8 &arr_iti01[3] = s8[](-1, 0, 0); +global_u8 &arr_iti02[3] = u8[](0, 0, 0); +global_s16 &arr_iti03[3] = s16[](-1, 0, 0); +global_u16 &arr_iti04[3] = u16[](-1, 0, 0); +global_s32 &arr_iti05[3] = s32[](-1, 0, 0); +global_u32 &arr_iti06[3] = u32[](-1, 0, 0); global_s64 &arr_iti07[3] = s64[](-1, 0, 1); global_u64 &arr_iti08[3] = u64[](-1, 0, 1); //------------------------------------------------------------ // ft[] -> f[] -global_f16 &arr_ftf01[2] = f16[](0H0000, 0H3c00); -global_f32 &arr_ftf02[2] = f32[](0F00000000, 0F3f800000); -global_f64 &arr_ftf03[2] = f64[](0D0000000000000000, 0D3ff0000000000000); +global_f16 &arr_ftf01[2] = f16[](0H0000, 0H003c); +global_f32 &arr_ftf02[2] = f32[](0F00000000, 0F0000803f); +global_f64 &arr_ftf03[2] = f64[](0D0000000000000000, 0D000000000000f03f); //------------------------------------------------------------ // p[] -> p[] -global_u8x4 &arr_pp03[1] = u8x4[](u8x4(255,255,255,255)); +global_u8x4 &arr_pp03[1] = u8x4[](u8x4(0,0,0,0)); global_s8x4 &arr_pp04[1] = s8x4[](s8x4(-1,-1,-1,-1)); global_u16x2 &arr_pp05[1] = u16x2[](u16x2(-1,-1)); global_s16x2 &arr_pp06[1] = s16x2[](s16x2(-1,-1)); -global_u8x8 &arr_pp07[1] = u8x8[](u8x8(255,0,0,0,0,0,0,0)); +global_u8x8 &arr_pp07[1] = u8x8[](u8x8(0,0,0,0,0,0,0,0)); global_s8x8 &arr_pp08[1] = s8x8[](s8x8(-1,0,0,0,0,0,0,0)); global_u16x4 &arr_pp09[1] = u16x4[](u16x4(-1,0,0,0)); global_s16x4 &arr_pp10[1] = s16x4[](s16x4(-1,0,0,0)); global_u32x2 &arr_pp11[1] = u32x2[](u32x2(-1,0)); global_s32x2 &arr_pp12[1] = s32x2[](s32x2(-1,0)); -global_u8x16 &arr_pp13[1] = u8x16[](u8x16(255,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)); +global_u8x16 &arr_pp13[1] = u8x16[](u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)); global_s8x16 &arr_pp14[1] = s8x16[](s8x16(-1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0)); global_u16x8 &arr_pp15[1] = u16x8[](u16x8(-1,0,0,0,0,0,0,0)); global_s16x8 &arr_pp16[1] = s16x8[](s16x8(-1,0,0,0,0,0,0,0)); @@ -230,66 +230,66 @@ //------------------------------------------------------------ // i -> bit mov_b1 $c1, 0; - mov_b1 $c1, 1; - mov_b1 $c1, 1; - mov_b1 $c1, 1; + mov_b1 $c1, 0; + mov_b1 $c1, 0; + mov_b1 $c1, 0; mov_b32 $s1, -1; - mov_b32 $s1, 1; + mov_b32 $s1, 0; mov_b32 $s1, -1; mov_b64 $d1, -1; mov_b64 $d1, 1; mov_b64 $d1, -1; mov_b1 $c1, 0; - mov_b1 $c1, 1; - mov_b1 $c1, 1; - mov_b32 $s1, 15; - mov_b32 $s1, -15; - mov_b32 $s1, 15; + mov_b1 $c1, 0; + mov_b1 $c1, 0; + mov_b32 $s1, 0; + mov_b32 $s1, -1; + mov_b32 $s1, 0; mov_b64 $d1, 15; mov_b64 $d1, -15; mov_b64 $d1, 15; //------------------------------------------------------------ // i -> int add_s32 $s0, $s0, -1; - add_u32 $s0, $s0, 1; + add_u32 $s0, $s0, 0; add_u32 $s0, $s0, -1; add_s64 $d0, $d0, -1; add_u64 $d0, $d0, 1; add_u64 $d0, $d0, -1; - add_s32 $s0, $s0, 15; - add_u32 $s0, $s0, -15; - add_u32 $s0, $s0, 15; + add_s32 $s0, $s0, 0; + add_u32 $s0, $s0, -1; + add_u32 $s0, $s0, 0; add_s64 $d0, $d0, 15; add_u64 $d0, $d0, -15; add_u64 $d0, $d0, 15; st_global_s64 -1, [&x]; - st_global_u32 1, [&x]; + st_global_u32 0, [&x]; st_global_s16 -1, [&x]; - st_global_u8 1, [&x]; + st_global_u8 0, [&x]; st_global_s64 15, [&x]; - st_global_u32 -15, [&x]; - st_global_s16 15, [&x]; - st_global_u8 241, [&x]; + st_global_u32 -1, [&x]; + st_global_s16 0, [&x]; + st_global_u8 0, [&x]; //------------------------------------------------------------ // fx -> bit mov_b32 $s1, 0; mov_b64 $d1, 0; - mov_b32 $s1, 0x3f800000; + mov_b32 $s1, 0; mov_b64 $d1, 4607182418800017408; //------------------------------------------------------------ // fx -> fx add_f16 $s0, $s0, 0H0000; add_f32 $s0, $s0, 0F00000000; add_f64 $d0, $d0, 0D0000000000000000; - add_f16 $s0, $s0, 0H3c00; - add_f32 $s0, $s0, 0F3f800000; - add_f64 $d0, $d0, 0D3ff0000000000000; + add_f16 $s0, $s0, 0H003c; + add_f32 $s0, $s0, 0F0000803f; + add_f64 $d0, $d0, 0D000000000000f03f; //------------------------------------------------------------ // i typed -> bit mov_b32 $s1, -1; mov_b32 $s1, -1; mov_b32 $s1, 0; - mov_b32 $s1, 1; + mov_b32 $s1, 0; mov_b64 $d1, -1; mov_b64 $d1, -1; mov_b64 $d1, 0; @@ -297,9 +297,9 @@ //------------------------------------------------------------ // i typed -> int add_s32 $s0, $s0, -1; - add_s32 $s0, $s0, 1; + add_s32 $s0, $s0, 0; add_u32 $s0, $s0, -1; - add_u32 $s0, $s0, 1; + add_u32 $s0, $s0, 0; add_s64 $d0, $d0, -1; add_s64 $d0, $d0, 1; add_u64 $d0, $d0, -1; @@ -309,29 +309,29 @@ st_global_s16 -1, [&x]; st_global_u16 -1, [&x]; st_global_s8 -1, [&x]; - st_global_u8 255, [&x]; + st_global_u8 0, [&x]; st_global_s64 15, [&x]; - st_global_u32 15, [&x]; - st_global_s16 15, [&x]; - st_global_u16 -15, [&x]; - st_global_s8 15, [&x]; - st_global_u8 1, [&x]; + st_global_u32 0, [&x]; + st_global_s16 0, [&x]; + st_global_u16 -1, [&x]; + st_global_s8 0, [&x]; + st_global_u8 0, [&x]; //------------------------------------------------------------ // f typed -> bit mov_b32 $s1, 0; mov_b64 $d1, 0; - mov_b32 $s1, 0x3f800000; + mov_b32 $s1, 0; mov_b64 $d1, 4607182418800017408; //------------------------------------------------------------ // f typed -> f - add_f32 $s0, $s0, 0F3fc00000; - add_f64 $d0, $d0, 0D3ff8000000000000; + add_f32 $s0, $s0, 0F0000c03f; + add_f64 $d0, $d0, 0D000000000000f83f; st_global_f64 0D0000000000000000, [&x]; st_global_f32 0F00000000, [&x]; st_global_f16 0H0000, [&x]; - st_global_f64 0D3ff0000000000000, [&x]; - st_global_f32 0F3f800000, [&x]; - st_global_f16 0H3c00, [&x]; + st_global_f64 0D000000000000f03f, [&x]; + st_global_f32 0F0000803f, [&x]; + st_global_f16 0H003c, [&x]; //------------------------------------------------------------ // packed -> bit mov_b32 $s1, -1; @@ -344,31 +344,31 @@ mov_b64 $d1, 0xffff000000000000; mov_b64 $d1, 0xffffffff00000000; mov_b64 $d1, 0xffffffff00000000; - mov_b128 $q1, u8x16(255,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); - mov_b128 $q1, u8x16(255,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); - mov_b128 $q1, u8x16(255,255,0,0,0,0,0,0,0,0,0,0,0,0,0,0); - mov_b128 $q1, u8x16(255,255,0,0,0,0,0,0,0,0,0,0,0,0,0,0); - mov_b128 $q1, u8x16(255,255,255,255,0,0,0,0,0,0,0,0,0,0,0,0); - mov_b128 $q1, u8x16(255,255,255,255,0,0,0,0,0,0,0,0,0,0,0,0); - mov_b128 $q1, u8x16(255,255,255,255,255,255,255,255,0,0,0,0,0,0,0,0); - mov_b128 $q1, u8x16(255,255,255,255,255,255,255,255,0,0,0,0,0,0,0,0); + mov_b128 $q1, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); + mov_b128 $q1, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); + mov_b128 $q1, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); + mov_b128 $q1, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); + mov_b128 $q1, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); + mov_b128 $q1, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); + mov_b128 $q1, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); + mov_b128 $q1, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); //------------------------------------------------------------ // packed -> packed - add_pp_sat_u8x4 $s1, $s0, u8x4(255,0,0,0); + add_pp_sat_u8x4 $s1, $s0, u8x4(0,0,0,0); add_pp_sat_s8x4 $s1, $s0, s8x4(-1,0,0,0); add_pp_sat_u16x2 $s1, $s0, u16x2(-1,0); add_pp_sat_s16x2 $s1, $s0, s16x2(-1,0); add_pp_sat_s16x2 $s1, $s0, s16x2(-1,0); - add_pp_f16x2 $s1, $s0, f16x2(0H3c00,0H4000); - add_pp_sat_u8x8 $d1, $d0, u8x8(255,0,0,0,0,0,0,0); + add_pp_f16x2 $s1, $s0, f16x2(0H003c,0H0040); + add_pp_sat_u8x8 $d1, $d0, u8x8(0,0,0,0,0,0,0,0); add_pp_sat_s8x8 $d1, $d0, s8x8(-1,0,0,0,0,0,0,0); add_pp_sat_u16x4 $d1, $d0, u16x4(-1,0,0,0); add_pp_sat_s16x4 $d1, $d0, s16x4(-1,0,0,0); add_pp_sat_u32x2 $d1, $d0, u32x2(-1,0); add_pp_sat_s32x2 $d1, $d0, s32x2(-1,0); - add_pp_f16x4 $d1, $d0, f16x4(0H3c00,0H4000,0H4200,0H4400); - add_pp_f32x2 $d1, $d0, f32x2(0F3f800000,0F40000000); - add_pp_sat_u8x16 $q1, $q0, u8x16(255,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); + add_pp_f16x4 $d1, $d0, f16x4(0H003c,0H0040,0H0042,0H0044); + add_pp_f32x2 $d1, $d0, f32x2(0F0000803f,0F00000040); + add_pp_sat_u8x16 $q1, $q0, u8x16(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); add_pp_sat_s8x16 $q1, $q0, s8x16(-1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0); add_pp_sat_u16x8 $q1, $q0, u16x8(-1,0,0,0,0,0,0,0); add_pp_sat_s16x8 $q1, $q0, s16x8(-1,0,0,0,0,0,0,0); @@ -376,8 +376,8 @@ add_pp_sat_s32x4 $q1, $q0, s32x4(-1,0,0,0); add_pp_sat_u64x2 $q1, $q0, u64x2(-1,0); add_pp_sat_s64x2 $q1, $q0, s64x2(-1,0); - add_pp_f16x8 $q1, $q0, f16x8(0H3c00,0H4000,0H4200,0H4400,0H4500,0H4600,0H4700,0H4800); - add_pp_f32x4 $q1, $q0, f32x4(0F3f800000,0F40000000,0F40400000,0F40800000); - add_pp_f64x2 $q1, $q0, f64x2(0D3ff0000000000000,0D4000000000000000); + add_pp_f16x8 $q1, $q0, f16x8(0H003c,0H0040,0H0042,0H0044,0H0045,0H0046,0H0047,0H0048); + add_pp_f32x4 $q1, $q0, f32x4(0F0000803f,0F00000040,0F00004040,0F00008040); + add_pp_f64x2 $q1, $q0, f64x2(0D000000000000f03f,0D0000000000000040); //------------------------------------------------------------ }; 53% tests passed, 54 tests failed out of 115 Total Test time (real) = 0.80 sec The following tests FAILED: 10 - 1.0/instruction/CORE-base-large (Failed) 11 - 1.0/instruction/CORE-base-small (Failed) 12 - 1.0/instruction/CORE-full-large (Failed) 13 - 1.0/instruction/CORE-full-small (Failed) 18 - 1.0/instruction/amd_gcn-base-large (Failed) 19 - 1.0/instruction/amd_gcn-base-small (Failed) 20 - 1.0/instruction/amd_gcn-full-large (Failed) 21 - 1.0/instruction/amd_gcn-full-small (Failed) 27 - 1.0/syntax/000_inst_image_large (Failed) 29 - 1.0/syntax/000_inst_large (Failed) 30 - 1.0/syntax/000_inst_large_base (Failed) 31 - 1.0/syntax/000_inst_small (Failed) 34 - 1.0/syntax/001_order_2 (Failed) 38 - 1.0/syntax/003_pragma (Failed) 42 - 1.0/syntax/005_control_00 (Failed) 43 - 1.0/syntax/005_control_01 (Failed) 44 - 1.0/syntax/005_control_02 (Failed) 45 - 1.0/syntax/005_control_03 (Failed) 46 - 1.0/syntax/005_control_04 (Failed) 47 - 1.0/syntax/005_control_05 (Failed) 48 - 1.0/syntax/005_control_06 (Failed) 49 - 1.0/syntax/005_control_07 (Failed) 50 - 1.0/syntax/005_control_08 (Failed) 51 - 1.0/syntax/005_control_09 (Failed) 52 - 1.0/syntax/005_control_10 (Failed) 53 - 1.0/syntax/005_control_enablebreakexceptions_1 (Failed) 54 - 1.0/syntax/005_control_enablebreakexceptions_2 (Failed) 55 - 1.0/syntax/005_control_enabledetectexceptions_1 (Failed) 56 - 1.0/syntax/005_control_enabledetectexceptions_2 (Failed) 57 - 1.0/syntax/005_control_maxdynamicgroupsize (Failed) 58 - 1.0/syntax/005_control_maxflatgridsize_1 (Failed) 60 - 1.0/syntax/005_control_maxflatworkgroupsize_1 (Failed) 62 - 1.0/syntax/005_control_requireddim (Failed) 63 - 1.0/syntax/005_control_requiredgridsize_1 (Failed) 65 - 1.0/syntax/005_control_requiredworkgroupsize_1 (Failed) 73 - 1.0/syntax/009_function_arg_passing (Failed) 77 - 1.0/syntax/009_function_indirect (Failed) 88 - 1.0/syntax/011_argscope_jumps_01 (Failed) 89 - 1.0/syntax/011_argscope_jumps_02 (Failed) 90 - 1.0/syntax/011_argscope_jumps_03 (Failed) 91 - 1.0/syntax/011_argscope_jumps_04 (Failed) 92 - 1.0/syntax/011_argscope_jumps_05 (Failed) 95 - 1.0/syntax/012_var_alloc (Failed) 96 - 1.0/syntax/012_var_array (Failed) 97 - 1.0/syntax/012_var_array_init (Failed) 100 - 1.0/syntax/012_var_generic (Failed) 105 - 1.0/syntax/013_name_2 (Failed) 108 - 1.0/syntax/014_branch (Failed) 109 - 1.0/syntax/015_large_memory_model (Failed) 110 - 1.0/syntax/015_small_memory_model (Failed) 111 - 1.0/syntax/016_literal_conversion (Failed) 112 - 1.0/syntax/016_literal_conversions_1_0 (Failed) 114 - 1.0/syntax/018_aggregate_const (Failed) 115 - 1.0/syntax/019_reg_limit (Failed) Errors while running CTest Makefile:122: recipe for target 'test' failed make[1]: *** [test] Error 8 make[1]: Leaving directory '/<>/obj-s390x-linux-gnu' dh_auto_test: cd obj-s390x-linux-gnu && make -j4 test ARGS\+=-j4 returned exit code 2 debian/rules:4: recipe for target 'build-arch' failed make: *** [build-arch] Error 2 dpkg-buildpackage: error: debian/rules build-arch subprocess returned exit status 2 -------------------------------------------------------------------------------- Build finished at 20171224-0126 Finished -------- E: Build failure (dpkg-buildpackage died) +------------------------------------------------------------------------------+ | Cleanup | +------------------------------------------------------------------------------+ Purging /<> Not removing build depends: as requested +------------------------------------------------------------------------------+ | Summary | +------------------------------------------------------------------------------+ Build Architecture: s390x Build-Space: 124248 Build-Time: 20 Distribution: bionic Fail-Stage: build Host Architecture: s390x Install-Time: 6 Job: hsail-tools_0~20170314-3.dsc Machine Architecture: s390x Package: hsail-tools Package-Time: 26 Source-Version: 0~20170314-3 Space: 124248 Status: attempted Version: 0~20170314-3 -------------------------------------------------------------------------------- Finished at 20171224-0126 Build needed 00:00:26, 124248k disc space RUN: /usr/share/launchpad-buildd/slavebin/in-target scan-for-processes --backend=chroot --series=bionic --arch=s390x PACKAGEBUILD-13916727 Scanning for processes to kill in build PACKAGEBUILD-13916727 RUN: /usr/share/launchpad-buildd/slavebin/in-target umount-chroot --backend=chroot --series=bionic --arch=s390x PACKAGEBUILD-13916727 Stopping target for build PACKAGEBUILD-13916727 RUN: /usr/share/launchpad-buildd/slavebin/in-target remove-build --backend=chroot --series=bionic --arch=s390x PACKAGEBUILD-13916727 Removing build PACKAGEBUILD-13916727