https://launchpad.net/ubuntu/+archive/test-rebuild-20210805-impish/+build/21951341 RUN: /usr/share/launchpad-buildd/bin/builder-prep Kernel version: Linux bos02-arm64-020 4.15.0-154-generic #161-Ubuntu SMP Fri Jul 30 13:01:15 UTC 2021 aarch64 Buildd toolchain package versions: launchpad-buildd_200~495~ubuntu18.04.1 python3-lpbuildd_200~495~ubuntu18.04.1 sbuild_0.75.0-1ubuntu1 bzr-builder_0.7.3+bzr174~ppa13~ubuntu16.04.1 bzr_2.7.0+bzr6622-10 git-build-recipe_0.3.6~git201906051340.ff11471~ubuntu18.04.1 git_1:2.17.1-1ubuntu0.8 dpkg-dev_1.19.0.5ubuntu2.3 python-debian_0.1.32 python3-debian_0.1.32. Syncing the system clock with the buildd NTP service... 2 Sep 21:53:17 ntpdate[1648]: adjust time server 10.211.37.1 offset -0.004907 sec RUN: /usr/share/launchpad-buildd/bin/in-target unpack-chroot --backend=chroot --series=impish --arch=armhf PACKAGEBUILD-21951341 --image-type chroot /home/buildd/filecache-default/97616dc8f1f80df2f95e447b7ddaa1d0ac1b5f62 Creating target for build PACKAGEBUILD-21951341 RUN: /usr/share/launchpad-buildd/bin/in-target mount-chroot --backend=chroot --series=impish --arch=armhf PACKAGEBUILD-21951341 Starting target for build PACKAGEBUILD-21951341 RUN: /usr/share/launchpad-buildd/bin/in-target override-sources-list --backend=chroot --series=impish --arch=armhf PACKAGEBUILD-21951341 'deb http://ppa.launchpad.net/ubuntu-toolchain-r/volatile/ubuntu impish main' 'deb http://ftpmaster.internal/ubuntu impish main restricted universe multiverse' Overriding sources.list in build-PACKAGEBUILD-21951341 RUN: /usr/share/launchpad-buildd/bin/in-target add-trusted-keys --backend=chroot --series=impish --arch=armhf PACKAGEBUILD-21951341 Adding trusted keys to build-PACKAGEBUILD-21951341 Warning: apt-key is deprecated. Manage keyring files in trusted.gpg.d instead (see apt-key(8)). OK Warning: apt-key is deprecated. Manage keyring files in trusted.gpg.d instead (see apt-key(8)). /etc/apt/trusted.gpg -------------------- pub rsa1024 2009-10-22 [SC] 60C3 1780 3A41 BA51 845E 371A 1E93 77A2 BA9E F27F uid [ unknown] Launchpad Toolchain builds /etc/apt/trusted.gpg.d/ubuntu-keyring-2012-cdimage.gpg ------------------------------------------------------ pub rsa4096 2012-05-11 [SC] 8439 38DF 228D 22F7 B374 2BC0 D94A A3F0 EFE2 1092 uid [ unknown] Ubuntu CD Image Automatic Signing Key (2012) /etc/apt/trusted.gpg.d/ubuntu-keyring-2018-archive.gpg ------------------------------------------------------ pub rsa4096 2018-09-17 [SC] F6EC B376 2474 EDA9 D21B 7022 8719 20D1 991B C93C uid [ unknown] Ubuntu Archive Automatic Signing Key (2018) RUN: /usr/share/launchpad-buildd/bin/in-target update-debian-chroot --backend=chroot --series=impish --arch=armhf PACKAGEBUILD-21951341 Updating target for build PACKAGEBUILD-21951341 Get:1 http://ppa.launchpad.net/ubuntu-toolchain-r/volatile/ubuntu impish InRelease [17.5 kB] Get:2 http://ftpmaster.internal/ubuntu impish InRelease [269 kB] Get:3 http://ppa.launchpad.net/ubuntu-toolchain-r/volatile/ubuntu impish/main armhf Packages [21.7 kB] Get:4 http://ppa.launchpad.net/ubuntu-toolchain-r/volatile/ubuntu impish/main Translation-en [11.9 kB] Get:5 http://ftpmaster.internal/ubuntu impish/main armhf Packages [1354 kB] Get:6 http://ftpmaster.internal/ubuntu impish/main Translation-en [513 kB] Get:7 http://ftpmaster.internal/ubuntu impish/restricted armhf Packages [9596 B] Get:8 http://ftpmaster.internal/ubuntu impish/restricted Translation-en [12.5 kB] Get:9 http://ftpmaster.internal/ubuntu impish/universe armhf Packages [12.6 MB] Get:10 http://ftpmaster.internal/ubuntu impish/universe Translation-en [5464 kB] Get:11 http://ftpmaster.internal/ubuntu impish/multiverse armhf Packages [161 kB] Get:12 http://ftpmaster.internal/ubuntu impish/multiverse Translation-en [108 kB] Fetched 20.6 MB in 9s (2216 kB/s) Reading package lists... Reading package lists... Building dependency tree... Reading state information... Calculating upgrade... The following packages were automatically installed and are no longer required: g++-10 libstdc++-10-dev Use 'sudo apt autoremove' to remove them. The following NEW packages will be installed: cpp-11 g++-11 gcc-11 libexpat1 libgcc-11-dev libmpdec3 libpython3-stdlib libpython3.9-minimal libpython3.9-stdlib libstdc++-11-dev media-types python3 python3-minimal python3-psutil python3.9 python3.9-minimal The following packages will be upgraded: advancecomp apt base-files base-passwd bash binutils binutils-arm-linux-gnueabihf binutils-common bsdutils build-essential cpp cpp-10 dash debconf diffutils dpkg dpkg-dev e2fsprogs findutils g++ g++-10 gcc gcc-10 gcc-10-base gcc-11-base gpg gpg-agent gpgconf gpgv gzip libapparmor1 libapt-pkg6.0 libasan6 libassuan0 libatomic1 libaudit-common libaudit1 libbinutils libblkid1 libc-bin libc-dev-bin libc6 libc6-dev libcc1-0 libcom-err2 libcrypt-dev libcrypt1 libctf-nobfd0 libctf0 libdb5.3 libdevmapper1.02.1 libdpkg-perl libext2fs2 libgcc-10-dev libgcc-s1 libgcrypt20 libgnutls30 libgomp1 libgssapi-krb5-2 libhogweed6 libidn2-0 libisl23 libk5crypto3 libkmod2 libkrb5-3 libkrb5support0 liblz4-1 liblzma5 libmount1 libnettle8 libnsl-dev libnsl2 libpam-modules libpam-modules-bin libpam-runtime libpam0g libperl5.32 libprocps8 libreadline8 libsmartcols1 libsqlite3-0 libss2 libssl1.1 libstdc++-10-dev libstdc++6 libsystemd0 libubsan1 libudev1 libuuid1 libzstd1 linux-libc-dev login logsave lto-disabled-list mount openssl passwd perl perl-base perl-modules-5.32 pinentry-curses pkgbinarymangler procps readline-common systemd systemd-sysv systemd-timesyncd sysvinit-utils usrmerge util-linux xz-utils zlib1g 112 upgraded, 16 newly installed, 0 to remove and 0 not upgraded. Need to get 242 MB of archives. After this operation, 452 MB of additional disk space will be used. Get:1 http://ftpmaster.internal/ubuntu impish/main armhf libcrypt-dev armhf 1:4.4.18-4ubuntu1 [112 kB] Get:2 http://ppa.launchpad.net/ubuntu-toolchain-r/volatile/ubuntu impish/main armhf libc6-dev armhf 2.34-0ubuntu1~ppa5 [1314 kB] Get:3 http://ftpmaster.internal/ubuntu impish/main armhf libnsl-dev armhf 1.3.0-2 [61.2 kB] Get:4 http://ftpmaster.internal/ubuntu impish/main armhf libcrypt1 armhf 1:4.4.18-4ubuntu1 [86.6 kB] Get:5 http://ftpmaster.internal/ubuntu impish/main armhf libnsl2 armhf 1.3.0-2 [33.3 kB] Get:6 http://ftpmaster.internal/ubuntu impish/main armhf libasan6 armhf 11.2.0-1ubuntu2 [2242 kB] Get:7 http://ftpmaster.internal/ubuntu impish/main armhf libubsan1 armhf 11.2.0-1ubuntu2 [893 kB] Get:8 http://ftpmaster.internal/ubuntu impish/main armhf libgomp1 armhf 11.2.0-1ubuntu2 [100 kB] Get:9 http://ftpmaster.internal/ubuntu impish/main armhf gcc-11-base armhf 11.2.0-1ubuntu2 [21.5 kB] Get:10 http://ftpmaster.internal/ubuntu impish/main armhf libgcc-s1 armhf 11.2.0-1ubuntu2 [42.6 kB] Get:11 http://ftpmaster.internal/ubuntu impish/main armhf libcc1-0 armhf 11.2.0-1ubuntu2 [45.8 kB] Get:12 http://ftpmaster.internal/ubuntu impish/main armhf libatomic1 armhf 11.2.0-1ubuntu2 [7634 B] Get:13 http://ftpmaster.internal/ubuntu impish/main armhf libstdc++6 armhf 11.2.0-1ubuntu2 [572 kB] Get:14 http://ftpmaster.internal/ubuntu impish/main armhf base-files armhf 11.1ubuntu3 [60.6 kB] Get:15 http://ftpmaster.internal/ubuntu impish/main armhf bash armhf 5.1-3ubuntu1 [605 kB] Get:16 http://ftpmaster.internal/ubuntu impish/main armhf bsdutils armhf 1:2.36.1-8ubuntu1 [86.7 kB] Get:17 http://ftpmaster.internal/ubuntu impish/main armhf libperl5.32 armhf 5.32.1-3ubuntu3 [3985 kB] Get:18 http://ppa.launchpad.net/ubuntu-toolchain-r/volatile/ubuntu impish/main armhf libc-dev-bin armhf 2.34-0ubuntu1~ppa5 [18.9 kB] Get:19 http://ppa.launchpad.net/ubuntu-toolchain-r/volatile/ubuntu impish/main armhf linux-libc-dev armhf 5.13.0-14.14 [1182 kB] Get:20 http://ppa.launchpad.net/ubuntu-toolchain-r/volatile/ubuntu impish/main armhf libc6 armhf 2.34-0ubuntu1~ppa5 [2500 kB] Get:21 http://ftpmaster.internal/ubuntu impish/main armhf perl armhf 5.32.1-3ubuntu3 [227 kB] Get:22 http://ftpmaster.internal/ubuntu impish/main armhf perl-base armhf 5.32.1-3ubuntu3 [1598 kB] Get:23 http://ftpmaster.internal/ubuntu impish/main armhf perl-modules-5.32 all 5.32.1-3ubuntu3 [2945 kB] Get:24 http://ppa.launchpad.net/ubuntu-toolchain-r/volatile/ubuntu impish/main armhf libc-bin armhf 2.34-0ubuntu1~ppa5 [843 kB] Get:25 http://ftpmaster.internal/ubuntu impish/main armhf libdb5.3 armhf 5.3.28+dfsg1-0.8ubuntu1 [585 kB] Get:26 http://ppa.launchpad.net/ubuntu-toolchain-r/volatile/ubuntu impish/main armhf dpkg armhf 1.20.9ubuntu13 [1215 kB] Get:27 http://ftpmaster.internal/ubuntu impish/main armhf zlib1g armhf 1:1.2.11.dfsg-2ubuntu7 [51.3 kB] Get:28 http://ftpmaster.internal/ubuntu impish/main armhf debconf all 1.5.77 [121 kB] Get:29 http://ftpmaster.internal/ubuntu impish/main armhf dash armhf 0.5.11+git20210120+802ebd4-1 [78.7 kB] Get:30 http://ftpmaster.internal/ubuntu impish/main armhf diffutils armhf 1:3.7-5ubuntu1 [177 kB] Get:31 http://ftpmaster.internal/ubuntu impish/main armhf findutils armhf 4.8.0-1ubuntu2 [323 kB] Get:32 http://ftpmaster.internal/ubuntu impish/main armhf gzip armhf 1.10-4ubuntu1 [91.1 kB] Get:33 http://ftpmaster.internal/ubuntu impish/main armhf login armhf 1:4.8.1-1ubuntu9 [221 kB] Get:34 http://ftpmaster.internal/ubuntu impish/main armhf util-linux armhf 2.36.1-8ubuntu1 [1072 kB] Get:35 http://ppa.launchpad.net/ubuntu-toolchain-r/volatile/ubuntu impish/main armhf g++ armhf 4:11.2.0-1ubuntu1 [1400 B] Get:36 http://ppa.launchpad.net/ubuntu-toolchain-r/volatile/ubuntu impish/main armhf gcc armhf 4:11.2.0-1ubuntu1 [5126 B] Get:37 http://ppa.launchpad.net/ubuntu-toolchain-r/volatile/ubuntu impish/main armhf cpp armhf 4:11.2.0-1ubuntu1 [27.7 kB] Get:38 http://ppa.launchpad.net/ubuntu-toolchain-r/volatile/ubuntu impish/main armhf dpkg-dev all 1.20.9ubuntu13 [938 kB] Get:39 http://ftpmaster.internal/ubuntu impish/main armhf base-passwd armhf 3.5.51 [48.6 kB] Get:40 http://ftpmaster.internal/ubuntu impish/main armhf sysvinit-utils armhf 2.96-7ubuntu1 [19.2 kB] Get:41 http://ftpmaster.internal/ubuntu impish/main armhf libgcrypt20 armhf 1.8.7-5ubuntu1 [363 kB] Get:42 http://ftpmaster.internal/ubuntu impish/main armhf liblz4-1 armhf 1.9.3-2 [49.6 kB] Get:43 http://ftpmaster.internal/ubuntu impish/main armhf liblzma5 armhf 5.2.5-2 [83.7 kB] Get:44 http://ftpmaster.internal/ubuntu impish/main armhf systemd-sysv armhf 248.3-1ubuntu3 [10.5 kB] Get:45 http://ftpmaster.internal/ubuntu impish/main armhf systemd-timesyncd armhf 248.3-1ubuntu3 [30.6 kB] Get:46 http://ftpmaster.internal/ubuntu impish/main armhf libapparmor1 armhf 3.0.3-0ubuntu1 [32.7 kB] Get:47 http://ftpmaster.internal/ubuntu impish/main armhf libaudit-common all 1:3.0-2ubuntu2 [4788 B] Get:48 http://ftpmaster.internal/ubuntu impish/main armhf libaudit1 armhf 1:3.0-2ubuntu2 [37.1 kB] Get:49 http://ftpmaster.internal/ubuntu impish/main armhf libnettle8 armhf 3.7.3-1 [159 kB] Get:50 http://ftpmaster.internal/ubuntu impish/main armhf libhogweed6 armhf 3.7.3-1 [183 kB] Get:51 http://ppa.launchpad.net/ubuntu-toolchain-r/volatile/ubuntu impish/main armhf libdpkg-perl all 1.20.9ubuntu13 [235 kB] Get:52 http://ftpmaster.internal/ubuntu impish/main armhf libidn2-0 armhf 2.3.1-1 [50.3 kB] Get:53 http://ftpmaster.internal/ubuntu impish/main armhf libgnutls30 armhf 3.7.1-4ubuntu1 [844 kB] Get:54 http://ftpmaster.internal/ubuntu impish/main armhf libssl1.1 armhf 1.1.1j-1ubuntu5 [1203 kB] Get:55 http://ftpmaster.internal/ubuntu impish/main armhf libzstd1 armhf 1.4.8+dfsg-2.1 [255 kB] Get:56 http://ftpmaster.internal/ubuntu impish/main armhf libkmod2 armhf 28-1ubuntu4 [37.8 kB] Get:57 http://ftpmaster.internal/ubuntu impish/main armhf libblkid1 armhf 2.36.1-8ubuntu1 [131 kB] Get:58 http://ftpmaster.internal/ubuntu impish/main armhf libmount1 armhf 2.36.1-8ubuntu1 [146 kB] Get:59 http://ftpmaster.internal/ubuntu impish/main armhf libpam0g armhf 1.3.1-5ubuntu8 [54.0 kB] Get:60 http://ftpmaster.internal/ubuntu impish/main armhf mount armhf 2.36.1-8ubuntu1 [122 kB] Get:61 http://ftpmaster.internal/ubuntu impish/main armhf systemd armhf 248.3-1ubuntu3 [4319 kB] Get:62 http://ftpmaster.internal/ubuntu impish/main armhf libsystemd0 armhf 248.3-1ubuntu3 [286 kB] Get:63 http://ftpmaster.internal/ubuntu impish/main armhf libudev1 armhf 248.3-1ubuntu3 [70.6 kB] Get:64 http://ftpmaster.internal/ubuntu impish/main armhf libapt-pkg6.0 armhf 2.3.7 [896 kB] Get:65 http://ftpmaster.internal/ubuntu impish/main armhf gpgv armhf 2.2.20-1ubuntu4 [172 kB] Get:66 http://ftpmaster.internal/ubuntu impish/main armhf apt armhf 2.3.7 [1376 kB] Get:67 http://ftpmaster.internal/ubuntu impish/main armhf libpam-modules-bin armhf 1.3.1-5ubuntu8 [40.5 kB] Get:68 http://ftpmaster.internal/ubuntu impish/main armhf libpam-modules armhf 1.3.1-5ubuntu8 [257 kB] Get:69 http://ftpmaster.internal/ubuntu impish/main armhf logsave armhf 1.46.2-1ubuntu2 [10.9 kB] Get:70 http://ftpmaster.internal/ubuntu impish/main armhf libext2fs2 armhf 1.46.2-1ubuntu2 [176 kB] Get:71 http://ftpmaster.internal/ubuntu impish/main armhf e2fsprogs armhf 1.46.2-1ubuntu2 [523 kB] Get:72 http://ftpmaster.internal/ubuntu impish/main armhf libpython3.9-minimal armhf 3.9.6-1 [746 kB] Get:73 http://ftpmaster.internal/ubuntu impish/main armhf libexpat1 armhf 2.3.0-1 [53.8 kB] Get:74 http://ftpmaster.internal/ubuntu impish/main armhf python3.9-minimal armhf 3.9.6-1 [1585 kB] Get:75 http://ftpmaster.internal/ubuntu impish/main armhf python3-minimal armhf 3.9.4-1 [23.8 kB] Get:76 http://ftpmaster.internal/ubuntu impish/main armhf media-types all 4.0.0 [22.2 kB] Get:77 http://ftpmaster.internal/ubuntu impish/main armhf libmpdec3 armhf 2.5.1-2 [69.4 kB] Get:78 http://ftpmaster.internal/ubuntu impish/main armhf readline-common all 8.1-2 [54.1 kB] Get:79 http://ftpmaster.internal/ubuntu impish/main armhf libreadline8 armhf 8.1-2 [114 kB] Get:80 http://ftpmaster.internal/ubuntu impish/main armhf libsqlite3-0 armhf 3.35.5-1 [512 kB] Get:81 http://ftpmaster.internal/ubuntu impish/main armhf libuuid1 armhf 2.36.1-8ubuntu1 [22.7 kB] Get:82 http://ftpmaster.internal/ubuntu impish/main armhf libpython3.9-stdlib armhf 3.9.6-1 [1610 kB] Get:83 http://ftpmaster.internal/ubuntu impish/main armhf python3.9 armhf 3.9.6-1 [426 kB] Get:84 http://ftpmaster.internal/ubuntu impish/main armhf libpython3-stdlib armhf 3.9.4-1 [6984 B] Get:85 http://ftpmaster.internal/ubuntu impish/main armhf python3 armhf 3.9.4-1 [22.2 kB] Get:86 http://ftpmaster.internal/ubuntu impish/main armhf libcom-err2 armhf 1.46.2-1ubuntu2 [9900 B] Get:87 http://ftpmaster.internal/ubuntu impish/main armhf libpam-runtime all 1.3.1-5ubuntu8 [38.7 kB] Get:88 http://ftpmaster.internal/ubuntu impish/main armhf libsmartcols1 armhf 2.36.1-8ubuntu1 [91.1 kB] Get:89 http://ftpmaster.internal/ubuntu impish/main armhf passwd armhf 1:4.8.1-1ubuntu9 [787 kB] Get:90 http://ftpmaster.internal/ubuntu impish/main armhf libk5crypto3 armhf 1.18.3-6 [83.9 kB] Get:91 http://ftpmaster.internal/ubuntu impish/main armhf libkrb5support0 armhf 1.18.3-6 [30.2 kB] Get:92 http://ftpmaster.internal/ubuntu impish/main armhf libkrb5-3 armhf 1.18.3-6 [330 kB] Get:93 http://ftpmaster.internal/ubuntu impish/main armhf libgssapi-krb5-2 armhf 1.18.3-6 [120 kB] Get:94 http://ftpmaster.internal/ubuntu impish/main armhf libprocps8 armhf 2:3.3.17-5ubuntu3 [32.6 kB] Get:95 http://ftpmaster.internal/ubuntu impish/main armhf libss2 armhf 1.46.2-1ubuntu2 [9440 B] Get:96 http://ftpmaster.internal/ubuntu impish/main armhf procps armhf 2:3.3.17-5ubuntu3 [373 kB] Get:97 http://ftpmaster.internal/ubuntu impish/main armhf usrmerge all 25ubuntu1 [53.5 kB] Get:98 http://ftpmaster.internal/ubuntu impish/main armhf libdevmapper1.02.1 armhf 2:1.02.175-2.1ubuntu1 [120 kB] Get:99 http://ftpmaster.internal/ubuntu impish/main armhf openssl armhf 1.1.1j-1ubuntu5 [624 kB] Get:100 http://ftpmaster.internal/ubuntu impish/main armhf xz-utils armhf 5.2.5-2 [80.7 kB] Get:101 http://ftpmaster.internal/ubuntu impish/main armhf advancecomp armhf 2.1-2.1ubuntu1 [185 kB] Get:102 http://ftpmaster.internal/ubuntu impish/main armhf libctf0 armhf 2.37-1ubuntu1 [96.4 kB] Get:103 http://ftpmaster.internal/ubuntu impish/main armhf libctf-nobfd0 armhf 2.37-1ubuntu1 [95.8 kB] Get:104 http://ftpmaster.internal/ubuntu impish/main armhf binutils-arm-linux-gnueabihf armhf 2.37-1ubuntu1 [2906 kB] Get:105 http://ftpmaster.internal/ubuntu impish/main armhf libbinutils armhf 2.37-1ubuntu1 [501 kB] Get:106 http://ftpmaster.internal/ubuntu impish/main armhf binutils armhf 2.37-1ubuntu1 [3170 B] Get:107 http://ftpmaster.internal/ubuntu impish/main armhf binutils-common armhf 2.37-1ubuntu1 [52.6 kB] Get:108 http://ftpmaster.internal/ubuntu impish/main armhf libisl23 armhf 0.24-1 [517 kB] Get:109 http://ftpmaster.internal/ubuntu impish/main armhf cpp-11 armhf 11.2.0-1ubuntu2 [48.0 MB] Get:110 http://ftpmaster.internal/ubuntu impish/main armhf libgcc-11-dev armhf 11.2.0-1ubuntu2 [839 kB] Get:111 http://ftpmaster.internal/ubuntu impish/main armhf gcc-11 armhf 11.2.0-1ubuntu2 [54.8 MB] Get:112 http://ftpmaster.internal/ubuntu impish/main armhf libstdc++-11-dev armhf 11.2.0-1ubuntu2 [2168 kB] Get:113 http://ftpmaster.internal/ubuntu impish/main armhf g++-11 armhf 11.2.0-1ubuntu2 [52.3 MB] Get:114 http://ftpmaster.internal/ubuntu impish/main armhf lto-disabled-list all 16 [12.5 kB] Get:115 http://ftpmaster.internal/ubuntu impish/main armhf python3-psutil armhf 5.8.0-1 [151 kB] Get:116 http://ftpmaster.internal/ubuntu impish/main armhf build-essential armhf 12.9ubuntu1 [4740 B] Get:117 http://ftpmaster.internal/ubuntu impish/universe armhf g++-10 armhf 10.3.0-6ubuntu1 [8459 kB] Get:118 http://ftpmaster.internal/ubuntu impish/universe armhf gcc-10 armhf 10.3.0-6ubuntu1 [15.1 MB] Get:119 http://ftpmaster.internal/ubuntu impish/main armhf libstdc++-10-dev armhf 10.3.0-6ubuntu1 [1960 kB] Get:120 http://ftpmaster.internal/ubuntu impish/main armhf libgcc-10-dev armhf 10.3.0-6ubuntu1 [812 kB] Get:121 http://ftpmaster.internal/ubuntu impish/universe armhf cpp-10 armhf 10.3.0-6ubuntu1 [7426 kB] Get:122 http://ftpmaster.internal/ubuntu impish/main armhf gcc-10-base armhf 10.3.0-6ubuntu1 [21.4 kB] Get:123 http://ftpmaster.internal/ubuntu impish/main armhf libassuan0 armhf 2.5.5-1 [31.4 kB] Get:124 http://ftpmaster.internal/ubuntu impish/main armhf pinentry-curses armhf 1.1.1-1 [32.9 kB] Get:125 http://ftpmaster.internal/ubuntu impish/main armhf gpg armhf 2.2.20-1ubuntu4 [428 kB] Get:126 http://ftpmaster.internal/ubuntu impish/main armhf gpgconf armhf 2.2.20-1ubuntu4 [108 kB] Get:127 http://ftpmaster.internal/ubuntu impish/main armhf gpg-agent armhf 2.2.20-1ubuntu4 [196 kB] Get:128 http://ftpmaster.internal/ubuntu impish/main armhf pkgbinarymangler all 148 [32.3 kB] debconf: delaying package configuration, since apt-utils is not installed Fetched 242 MB in 45s (5337 kB/s) (Reading database ... 12870 files and directories currently installed.) Preparing to unpack .../libcrypt-dev_1%3a4.4.18-4ubuntu1_armhf.deb ... Unpacking libcrypt-dev:armhf (1:4.4.18-4ubuntu1) over (1:4.4.17-1ubuntu3) ... Preparing to unpack .../libnsl-dev_1.3.0-2_armhf.deb ... Unpacking libnsl-dev:armhf (1.3.0-2) over (1.3.0-0ubuntu3) ... Preparing to unpack .../libc6-dev_2.34-0ubuntu1~ppa5_armhf.deb ... Unpacking libc6-dev:armhf (2.34-0ubuntu1~ppa5) over (2.33-0ubuntu5) ... Preparing to unpack .../libc-dev-bin_2.34-0ubuntu1~ppa5_armhf.deb ... Unpacking libc-dev-bin (2.34-0ubuntu1~ppa5) over (2.33-0ubuntu5) ... Preparing to unpack .../libcrypt1_1%3a4.4.18-4ubuntu1_armhf.deb ... Unpacking libcrypt1:armhf (1:4.4.18-4ubuntu1) over (1:4.4.17-1ubuntu3) ... Setting up libcrypt1:armhf (1:4.4.18-4ubuntu1) ... (Reading database ... 12876 files and directories currently installed.) Preparing to unpack .../libnsl2_1.3.0-2_armhf.deb ... Unpacking libnsl2:armhf (1.3.0-2) over (1.3.0-0ubuntu3) ... Setting up libnsl2:armhf (1.3.0-2) ... (Reading database ... 12876 files and directories currently installed.) Preparing to unpack .../linux-libc-dev_5.13.0-14.14_armhf.deb ... Unpacking linux-libc-dev:armhf (5.13.0-14.14) over (5.11.0-14.15) ... Preparing to unpack .../libc6_2.34-0ubuntu1~ppa5_armhf.deb ... Unpacking libc6:armhf (2.34-0ubuntu1~ppa5) over (2.33-0ubuntu5) ... Setting up libc6:armhf (2.34-0ubuntu1~ppa5) ... (Reading database ... 12875 files and directories currently installed.) Preparing to unpack .../libc-bin_2.34-0ubuntu1~ppa5_armhf.deb ... Unpacking libc-bin (2.34-0ubuntu1~ppa5) over (2.33-0ubuntu5) ... Setting up libc-bin (2.34-0ubuntu1~ppa5) ... (Reading database ... 12875 files and directories currently installed.) Preparing to unpack .../libasan6_11.2.0-1ubuntu2_armhf.deb ... Unpacking libasan6:armhf (11.2.0-1ubuntu2) over (11-20210417-1ubuntu1) ... Preparing to unpack .../libubsan1_11.2.0-1ubuntu2_armhf.deb ... Unpacking libubsan1:armhf (11.2.0-1ubuntu2) over (11-20210417-1ubuntu1) ... Preparing to unpack .../libgomp1_11.2.0-1ubuntu2_armhf.deb ... Unpacking libgomp1:armhf (11.2.0-1ubuntu2) over (11-20210417-1ubuntu1) ... Preparing to unpack .../gcc-11-base_11.2.0-1ubuntu2_armhf.deb ... Unpacking gcc-11-base:armhf (11.2.0-1ubuntu2) over (11-20210417-1ubuntu1) ... Setting up gcc-11-base:armhf (11.2.0-1ubuntu2) ... (Reading database ... 12875 files and directories currently installed.) Preparing to unpack .../libgcc-s1_11.2.0-1ubuntu2_armhf.deb ... Unpacking libgcc-s1:armhf (11.2.0-1ubuntu2) over (11-20210417-1ubuntu1) ... Setting up libgcc-s1:armhf (11.2.0-1ubuntu2) ... (Reading database ... 12875 files and directories currently installed.) Preparing to unpack .../libcc1-0_11.2.0-1ubuntu2_armhf.deb ... Unpacking libcc1-0:armhf (11.2.0-1ubuntu2) over (11-20210417-1ubuntu1) ... Preparing to unpack .../libatomic1_11.2.0-1ubuntu2_armhf.deb ... Unpacking libatomic1:armhf (11.2.0-1ubuntu2) over (11-20210417-1ubuntu1) ... Preparing to unpack .../libstdc++6_11.2.0-1ubuntu2_armhf.deb ... Unpacking libstdc++6:armhf (11.2.0-1ubuntu2) over (11-20210417-1ubuntu1) ... Setting up libstdc++6:armhf (11.2.0-1ubuntu2) ... (Reading database ... 12875 files and directories currently installed.) Preparing to unpack .../base-files_11.1ubuntu3_armhf.deb ... Unpacking base-files (11.1ubuntu3) over (11ubuntu18) ... Setting up base-files (11.1ubuntu3) ... Installing new version of config file /etc/debian_version ... Installing new version of config file /etc/dpkg/origins/debian ... Installing new version of config file /etc/issue ... Installing new version of config file /etc/issue.net ... Installing new version of config file /etc/lsb-release ... Updating /etc/profile to current default. (Reading database ... 12875 files and directories currently installed.) Preparing to unpack .../bash_5.1-3ubuntu1_armhf.deb ... Unpacking bash (5.1-3ubuntu1) over (5.1-2ubuntu1) ... Setting up bash (5.1-3ubuntu1) ... update-alternatives: using /usr/share/man/man7/bash-builtins.7.gz to provide /usr/share/man/man7/builtins.7.gz (builtins.7.gz) in auto mode (Reading database ... 12875 files and directories currently installed.) Preparing to unpack .../bsdutils_1%3a2.36.1-8ubuntu1_armhf.deb ... Unpacking bsdutils (1:2.36.1-8ubuntu1) over (1:2.36.1-7ubuntu2) ... Setting up bsdutils (1:2.36.1-8ubuntu1) ... (Reading database ... 12875 files and directories currently installed.) Preparing to unpack .../dpkg_1.20.9ubuntu13_armhf.deb ... Unpacking dpkg (1.20.9ubuntu13) over (1.20.7.1ubuntu4) ... Setting up dpkg (1.20.9ubuntu13) ... (Reading database ... 12880 files and directories currently installed.) Preparing to unpack .../libperl5.32_5.32.1-3ubuntu3_armhf.deb ... Unpacking libperl5.32:armhf (5.32.1-3ubuntu3) over (5.32.1-3ubuntu2) ... Preparing to unpack .../perl_5.32.1-3ubuntu3_armhf.deb ... Unpacking perl (5.32.1-3ubuntu3) over (5.32.1-3ubuntu2) ... Preparing to unpack .../perl-base_5.32.1-3ubuntu3_armhf.deb ... Unpacking perl-base (5.32.1-3ubuntu3) over (5.32.1-3ubuntu2) ... Setting up perl-base (5.32.1-3ubuntu3) ... (Reading database ... 12879 files and directories currently installed.) Preparing to unpack .../perl-modules-5.32_5.32.1-3ubuntu3_all.deb ... Unpacking perl-modules-5.32 (5.32.1-3ubuntu3) over (5.32.1-3ubuntu2) ... Preparing to unpack .../libdb5.3_5.3.28+dfsg1-0.8ubuntu1_armhf.deb ... Unpacking libdb5.3:armhf (5.3.28+dfsg1-0.8ubuntu1) over (5.3.28+dfsg1-0.6ubuntu4) ... Setting up libdb5.3:armhf (5.3.28+dfsg1-0.8ubuntu1) ... (Reading database ... 12879 files and directories currently installed.) Preparing to unpack .../zlib1g_1%3a1.2.11.dfsg-2ubuntu7_armhf.deb ... Unpacking zlib1g:armhf (1:1.2.11.dfsg-2ubuntu7) over (1:1.2.11.dfsg-2ubuntu6) ... Setting up zlib1g:armhf (1:1.2.11.dfsg-2ubuntu7) ... (Reading database ... 12879 files and directories currently installed.) Preparing to unpack .../debconf_1.5.77_all.deb ... Unpacking debconf (1.5.77) over (1.5.74) ... Setting up debconf (1.5.77) ... (Reading database ... 12879 files and directories currently installed.) Preparing to unpack .../dash_0.5.11+git20210120+802ebd4-1_armhf.deb ... Unpacking dash (0.5.11+git20210120+802ebd4-1) over (0.5.11+git20200708+dd9ef66+really0.5.11+git20200708+dd9ef66-5ubuntu1) ... Setting up dash (0.5.11+git20210120+802ebd4-1) ... (Reading database ... 12879 files and directories currently installed.) Preparing to unpack .../diffutils_1%3a3.7-5ubuntu1_armhf.deb ... Unpacking diffutils (1:3.7-5ubuntu1) over (1:3.7-3ubuntu1) ... Setting up diffutils (1:3.7-5ubuntu1) ... (Reading database ... 12879 files and directories currently installed.) Preparing to unpack .../findutils_4.8.0-1ubuntu2_armhf.deb ... Unpacking findutils (4.8.0-1ubuntu2) over (4.8.0-1ubuntu1) ... Setting up findutils (4.8.0-1ubuntu2) ... (Reading database ... 12879 files and directories currently installed.) Preparing to unpack .../gzip_1.10-4ubuntu1_armhf.deb ... Unpacking gzip (1.10-4ubuntu1) over (1.10-2ubuntu3) ... Setting up gzip (1.10-4ubuntu1) ... (Reading database ... 12879 files and directories currently installed.) Preparing to unpack .../login_1%3a4.8.1-1ubuntu9_armhf.deb ... Unpacking login (1:4.8.1-1ubuntu9) over (1:4.8.1-1ubuntu8) ... Setting up login (1:4.8.1-1ubuntu9) ... (Reading database ... 12879 files and directories currently installed.) Preparing to unpack .../util-linux_2.36.1-8ubuntu1_armhf.deb ... Unpacking util-linux (2.36.1-8ubuntu1) over (2.36.1-7ubuntu2) ... Setting up util-linux (2.36.1-8ubuntu1) ... (Reading database ... 12879 files and directories currently installed.) Preparing to unpack .../base-passwd_3.5.51_armhf.deb ... Unpacking base-passwd (3.5.51) over (3.5.49) ... Setting up base-passwd (3.5.51) ... (Reading database ... 12879 files and directories currently installed.) Preparing to unpack .../sysvinit-utils_2.96-7ubuntu1_armhf.deb ... Unpacking sysvinit-utils (2.96-7ubuntu1) over (2.96-6ubuntu1) ... Setting up sysvinit-utils (2.96-7ubuntu1) ... (Reading database ... 12879 files and directories currently installed.) Preparing to unpack .../libgcrypt20_1.8.7-5ubuntu1_armhf.deb ... Unpacking libgcrypt20:armhf (1.8.7-5ubuntu1) over (1.8.7-2ubuntu2) ... Setting up libgcrypt20:armhf (1.8.7-5ubuntu1) ... (Reading database ... 12879 files and directories currently installed.) Preparing to unpack .../liblz4-1_1.9.3-2_armhf.deb ... Unpacking liblz4-1:armhf (1.9.3-2) over (1.9.3-1build1) ... Setting up liblz4-1:armhf (1.9.3-2) ... (Reading database ... 12879 files and directories currently installed.) Preparing to unpack .../liblzma5_5.2.5-2_armhf.deb ... Unpacking liblzma5:armhf (5.2.5-2) over (5.2.5-1.0build2) ... Setting up liblzma5:armhf (5.2.5-2) ... (Reading database ... 12879 files and directories currently installed.) Preparing to unpack .../systemd-sysv_248.3-1ubuntu3_armhf.deb ... Unpacking systemd-sysv (248.3-1ubuntu3) over (247.3-3ubuntu3) ... Preparing to unpack .../systemd-timesyncd_248.3-1ubuntu3_armhf.deb ... Unpacking systemd-timesyncd (248.3-1ubuntu3) over (247.3-3ubuntu3) ... Preparing to unpack .../libapparmor1_3.0.3-0ubuntu1_armhf.deb ... Unpacking libapparmor1:armhf (3.0.3-0ubuntu1) over (3.0.0-0ubuntu7) ... Preparing to unpack .../libaudit-common_1%3a3.0-2ubuntu2_all.deb ... Unpacking libaudit-common (1:3.0-2ubuntu2) over (1:3.0-2ubuntu1) ... Setting up libaudit-common (1:3.0-2ubuntu2) ... (Reading database ... 12879 files and directories currently installed.) Preparing to unpack .../libaudit1_1%3a3.0-2ubuntu2_armhf.deb ... Unpacking libaudit1:armhf (1:3.0-2ubuntu2) over (1:3.0-2ubuntu1) ... Setting up libaudit1:armhf (1:3.0-2ubuntu2) ... (Reading database ... 12879 files and directories currently installed.) Preparing to unpack .../libnettle8_3.7.3-1_armhf.deb ... Unpacking libnettle8:armhf (3.7.3-1) over (3.7-2.1ubuntu1) ... Setting up libnettle8:armhf (3.7.3-1) ... (Reading database ... 12879 files and directories currently installed.) Preparing to unpack .../libhogweed6_3.7.3-1_armhf.deb ... Unpacking libhogweed6:armhf (3.7.3-1) over (3.7-2.1ubuntu1) ... Setting up libhogweed6:armhf (3.7.3-1) ... (Reading database ... 12879 files and directories currently installed.) Preparing to unpack .../libidn2-0_2.3.1-1_armhf.deb ... Unpacking libidn2-0:armhf (2.3.1-1) over (2.3.0-5) ... Setting up libidn2-0:armhf (2.3.1-1) ... (Reading database ... 12879 files and directories currently installed.) Preparing to unpack .../libgnutls30_3.7.1-4ubuntu1_armhf.deb ... Unpacking libgnutls30:armhf (3.7.1-4ubuntu1) over (3.7.1-3ubuntu1) ... Setting up libgnutls30:armhf (3.7.1-4ubuntu1) ... (Reading database ... 12879 files and directories currently installed.) Preparing to unpack .../libssl1.1_1.1.1j-1ubuntu5_armhf.deb ... Unpacking libssl1.1:armhf (1.1.1j-1ubuntu5) over (1.1.1j-1ubuntu3) ... Setting up libssl1.1:armhf (1.1.1j-1ubuntu5) ... (Reading database ... 12879 files and directories currently installed.) Preparing to unpack .../libzstd1_1.4.8+dfsg-2.1_armhf.deb ... Unpacking libzstd1:armhf (1.4.8+dfsg-2.1) over (1.4.8+dfsg-2build2) ... Setting up libzstd1:armhf (1.4.8+dfsg-2.1) ... (Reading database ... 12879 files and directories currently installed.) Preparing to unpack .../libkmod2_28-1ubuntu4_armhf.deb ... Unpacking libkmod2:armhf (28-1ubuntu4) over (28-1ubuntu2) ... Preparing to unpack .../libblkid1_2.36.1-8ubuntu1_armhf.deb ... Unpacking libblkid1:armhf (2.36.1-8ubuntu1) over (2.36.1-7ubuntu2) ... Setting up libblkid1:armhf (2.36.1-8ubuntu1) ... (Reading database ... 12879 files and directories currently installed.) Preparing to unpack .../libmount1_2.36.1-8ubuntu1_armhf.deb ... Unpacking libmount1:armhf (2.36.1-8ubuntu1) over (2.36.1-7ubuntu2) ... Setting up libmount1:armhf (2.36.1-8ubuntu1) ... (Reading database ... 12879 files and directories currently installed.) Preparing to unpack .../libpam0g_1.3.1-5ubuntu8_armhf.deb ... Unpacking libpam0g:armhf (1.3.1-5ubuntu8) over (1.3.1-5ubuntu6) ... Setting up libpam0g:armhf (1.3.1-5ubuntu8) ... (Reading database ... 12879 files and directories currently installed.) Preparing to unpack .../mount_2.36.1-8ubuntu1_armhf.deb ... Unpacking mount (2.36.1-8ubuntu1) over (2.36.1-7ubuntu2) ... Preparing to unpack .../systemd_248.3-1ubuntu3_armhf.deb ... Unpacking systemd (248.3-1ubuntu3) over (247.3-3ubuntu3) ... Preparing to unpack .../libsystemd0_248.3-1ubuntu3_armhf.deb ... Unpacking libsystemd0:armhf (248.3-1ubuntu3) over (247.3-3ubuntu3) ... Setting up libsystemd0:armhf (248.3-1ubuntu3) ... (Reading database ... 12894 files and directories currently installed.) Preparing to unpack .../libudev1_248.3-1ubuntu3_armhf.deb ... Unpacking libudev1:armhf (248.3-1ubuntu3) over (247.3-3ubuntu3) ... Setting up libudev1:armhf (248.3-1ubuntu3) ... (Reading database ... 12894 files and directories currently installed.) Preparing to unpack .../libapt-pkg6.0_2.3.7_armhf.deb ... Unpacking libapt-pkg6.0:armhf (2.3.7) over (2.2.3) ... Setting up libapt-pkg6.0:armhf (2.3.7) ... (Reading database ... 12894 files and directories currently installed.) Preparing to unpack .../gpgv_2.2.20-1ubuntu4_armhf.deb ... Unpacking gpgv (2.2.20-1ubuntu4) over (2.2.20-1ubuntu3) ... Setting up gpgv (2.2.20-1ubuntu4) ... (Reading database ... 12894 files and directories currently installed.) Preparing to unpack .../archives/apt_2.3.7_armhf.deb ... Unpacking apt (2.3.7) over (2.2.3) ... Setting up apt (2.3.7) ... (Reading database ... 12894 files and directories currently installed.) Preparing to unpack .../libpam-modules-bin_1.3.1-5ubuntu8_armhf.deb ... Unpacking libpam-modules-bin (1.3.1-5ubuntu8) over (1.3.1-5ubuntu6) ... Setting up libpam-modules-bin (1.3.1-5ubuntu8) ... (Reading database ... 12896 files and directories currently installed.) Preparing to unpack .../libpam-modules_1.3.1-5ubuntu8_armhf.deb ... Unpacking libpam-modules:armhf (1.3.1-5ubuntu8) over (1.3.1-5ubuntu6) ... Setting up libpam-modules:armhf (1.3.1-5ubuntu8) ... (Reading database ... 12900 files and directories currently installed.) Preparing to unpack .../logsave_1.46.2-1ubuntu2_armhf.deb ... Unpacking logsave (1.46.2-1ubuntu2) over (1.45.7-1ubuntu2) ... Preparing to unpack .../libext2fs2_1.46.2-1ubuntu2_armhf.deb ... Unpacking libext2fs2:armhf (1.46.2-1ubuntu2) over (1.45.7-1ubuntu2) ... Setting up libext2fs2:armhf (1.46.2-1ubuntu2) ... (Reading database ... 12900 files and directories currently installed.) Preparing to unpack .../e2fsprogs_1.46.2-1ubuntu2_armhf.deb ... Unpacking e2fsprogs (1.46.2-1ubuntu2) over (1.45.7-1ubuntu2) ... Selecting previously unselected package libpython3.9-minimal:armhf. Preparing to unpack .../libpython3.9-minimal_3.9.6-1_armhf.deb ... Unpacking libpython3.9-minimal:armhf (3.9.6-1) ... Selecting previously unselected package libexpat1:armhf. Preparing to unpack .../libexpat1_2.3.0-1_armhf.deb ... Unpacking libexpat1:armhf (2.3.0-1) ... Selecting previously unselected package python3.9-minimal. Preparing to unpack .../python3.9-minimal_3.9.6-1_armhf.deb ... Unpacking python3.9-minimal (3.9.6-1) ... Setting up libpython3.9-minimal:armhf (3.9.6-1) ... Setting up libexpat1:armhf (2.3.0-1) ... Setting up python3.9-minimal (3.9.6-1) ... Selecting previously unselected package python3-minimal. (Reading database ... 13193 files and directories currently installed.) Preparing to unpack .../0-python3-minimal_3.9.4-1_armhf.deb ... Unpacking python3-minimal (3.9.4-1) ... Selecting previously unselected package media-types. Preparing to unpack .../1-media-types_4.0.0_all.deb ... Unpacking media-types (4.0.0) ... Selecting previously unselected package libmpdec3:armhf. Preparing to unpack .../2-libmpdec3_2.5.1-2_armhf.deb ... Unpacking libmpdec3:armhf (2.5.1-2) ... Preparing to unpack .../3-readline-common_8.1-2_all.deb ... Unpacking readline-common (8.1-2) over (8.1-1) ... Preparing to unpack .../4-libreadline8_8.1-2_armhf.deb ... Unpacking libreadline8:armhf (8.1-2) over (8.1-1) ... Preparing to unpack .../5-libsqlite3-0_3.35.5-1_armhf.deb ... Unpacking libsqlite3-0:armhf (3.35.5-1) over (3.34.1-3) ... Preparing to unpack .../6-libuuid1_2.36.1-8ubuntu1_armhf.deb ... Unpacking libuuid1:armhf (2.36.1-8ubuntu1) over (2.36.1-7ubuntu2) ... Setting up libuuid1:armhf (2.36.1-8ubuntu1) ... Selecting previously unselected package libpython3.9-stdlib:armhf. (Reading database ... 13227 files and directories currently installed.) Preparing to unpack .../libpython3.9-stdlib_3.9.6-1_armhf.deb ... Unpacking libpython3.9-stdlib:armhf (3.9.6-1) ... Selecting previously unselected package python3.9. Preparing to unpack .../python3.9_3.9.6-1_armhf.deb ... Unpacking python3.9 (3.9.6-1) ... Selecting previously unselected package libpython3-stdlib:armhf. Preparing to unpack .../libpython3-stdlib_3.9.4-1_armhf.deb ... Unpacking libpython3-stdlib:armhf (3.9.4-1) ... Setting up python3-minimal (3.9.4-1) ... Selecting previously unselected package python3. (Reading database ... 13589 files and directories currently installed.) Preparing to unpack .../python3_3.9.4-1_armhf.deb ... Unpacking python3 (3.9.4-1) ... Preparing to unpack .../libcom-err2_1.46.2-1ubuntu2_armhf.deb ... Unpacking libcom-err2:armhf (1.46.2-1ubuntu2) over (1.45.7-1ubuntu2) ... Setting up libcom-err2:armhf (1.46.2-1ubuntu2) ... (Reading database ... 13609 files and directories currently installed.) Preparing to unpack .../libpam-runtime_1.3.1-5ubuntu8_all.deb ... Unpacking libpam-runtime (1.3.1-5ubuntu8) over (1.3.1-5ubuntu6) ... Setting up libpam-runtime (1.3.1-5ubuntu8) ... (Reading database ... 13609 files and directories currently installed.) Preparing to unpack .../libsmartcols1_2.36.1-8ubuntu1_armhf.deb ... Unpacking libsmartcols1:armhf (2.36.1-8ubuntu1) over (2.36.1-7ubuntu2) ... Setting up libsmartcols1:armhf (2.36.1-8ubuntu1) ... (Reading database ... 13609 files and directories currently installed.) Preparing to unpack .../passwd_1%3a4.8.1-1ubuntu9_armhf.deb ... Unpacking passwd (1:4.8.1-1ubuntu9) over (1:4.8.1-1ubuntu8) ... Setting up passwd (1:4.8.1-1ubuntu9) ... (Reading database ... 13609 files and directories currently installed.) Preparing to unpack .../libk5crypto3_1.18.3-6_armhf.deb ... Unpacking libk5crypto3:armhf (1.18.3-6) over (1.18.3-4) ... Setting up libk5crypto3:armhf (1.18.3-6) ... (Reading database ... 13609 files and directories currently installed.) Preparing to unpack .../libkrb5support0_1.18.3-6_armhf.deb ... Unpacking libkrb5support0:armhf (1.18.3-6) over (1.18.3-4) ... Setting up libkrb5support0:armhf (1.18.3-6) ... (Reading database ... 13609 files and directories currently installed.) Preparing to unpack .../libkrb5-3_1.18.3-6_armhf.deb ... Unpacking libkrb5-3:armhf (1.18.3-6) over (1.18.3-4) ... Setting up libkrb5-3:armhf (1.18.3-6) ... (Reading database ... 13609 files and directories currently installed.) Preparing to unpack .../libgssapi-krb5-2_1.18.3-6_armhf.deb ... Unpacking libgssapi-krb5-2:armhf (1.18.3-6) over (1.18.3-4) ... Setting up libgssapi-krb5-2:armhf (1.18.3-6) ... (Reading database ... 13609 files and directories currently installed.) Preparing to unpack .../00-libprocps8_2%3a3.3.17-5ubuntu3_armhf.deb ... Unpacking libprocps8:armhf (2:3.3.17-5ubuntu3) over (2:3.3.16-5ubuntu3) ... Preparing to unpack .../01-libss2_1.46.2-1ubuntu2_armhf.deb ... Unpacking libss2:armhf (1.46.2-1ubuntu2) over (1.45.7-1ubuntu2) ... Preparing to unpack .../02-procps_2%3a3.3.17-5ubuntu3_armhf.deb ... Unpacking procps (2:3.3.17-5ubuntu3) over (2:3.3.16-5ubuntu3) ... Preparing to unpack .../03-usrmerge_25ubuntu1_all.deb ... Unpacking usrmerge (25ubuntu1) over (24ubuntu3) ... Preparing to unpack .../04-libdevmapper1.02.1_2%3a1.02.175-2.1ubuntu1_armhf.deb ... Unpacking libdevmapper1.02.1:armhf (2:1.02.175-2.1ubuntu1) over (2:1.02.175-2ubuntu4) ... Preparing to unpack .../05-openssl_1.1.1j-1ubuntu5_armhf.deb ... Unpacking openssl (1.1.1j-1ubuntu5) over (1.1.1j-1ubuntu3) ... Preparing to unpack .../06-xz-utils_5.2.5-2_armhf.deb ... Unpacking xz-utils (5.2.5-2) over (5.2.5-1.0build2) ... Preparing to unpack .../07-advancecomp_2.1-2.1ubuntu1_armhf.deb ... Unpacking advancecomp (2.1-2.1ubuntu1) over (2.1-2.1build1) ... Preparing to unpack .../08-libctf0_2.37-1ubuntu1_armhf.deb ... Unpacking libctf0:armhf (2.37-1ubuntu1) over (2.36.1-6ubuntu1) ... Preparing to unpack .../09-libctf-nobfd0_2.37-1ubuntu1_armhf.deb ... Unpacking libctf-nobfd0:armhf (2.37-1ubuntu1) over (2.36.1-6ubuntu1) ... Preparing to unpack .../10-binutils-arm-linux-gnueabihf_2.37-1ubuntu1_armhf.deb ... Unpacking binutils-arm-linux-gnueabihf (2.37-1ubuntu1) over (2.36.1-6ubuntu1) ... Preparing to unpack .../11-libbinutils_2.37-1ubuntu1_armhf.deb ... Unpacking libbinutils:armhf (2.37-1ubuntu1) over (2.36.1-6ubuntu1) ... Preparing to unpack .../12-binutils_2.37-1ubuntu1_armhf.deb ... Unpacking binutils (2.37-1ubuntu1) over (2.36.1-6ubuntu1) ... Preparing to unpack .../13-binutils-common_2.37-1ubuntu1_armhf.deb ... Unpacking binutils-common:armhf (2.37-1ubuntu1) over (2.36.1-6ubuntu1) ... Preparing to unpack .../14-libisl23_0.24-1_armhf.deb ... Unpacking libisl23:armhf (0.24-1) over (0.23-1build1) ... Selecting previously unselected package cpp-11. Preparing to unpack .../15-cpp-11_11.2.0-1ubuntu2_armhf.deb ... Unpacking cpp-11 (11.2.0-1ubuntu2) ... Preparing to unpack .../16-g++_4%3a11.2.0-1ubuntu1_armhf.deb ... Unpacking g++ (4:11.2.0-1ubuntu1) over (4:10.3.0-1ubuntu1) ... Preparing to unpack .../17-gcc_4%3a11.2.0-1ubuntu1_armhf.deb ... Unpacking gcc (4:11.2.0-1ubuntu1) over (4:10.3.0-1ubuntu1) ... Preparing to unpack .../18-cpp_4%3a11.2.0-1ubuntu1_armhf.deb ... Unpacking cpp (4:11.2.0-1ubuntu1) over (4:10.3.0-1ubuntu1) ... Selecting previously unselected package libgcc-11-dev:armhf. Preparing to unpack .../19-libgcc-11-dev_11.2.0-1ubuntu2_armhf.deb ... Unpacking libgcc-11-dev:armhf (11.2.0-1ubuntu2) ... Selecting previously unselected package gcc-11. Preparing to unpack .../20-gcc-11_11.2.0-1ubuntu2_armhf.deb ... Unpacking gcc-11 (11.2.0-1ubuntu2) ... Selecting previously unselected package libstdc++-11-dev:armhf. Preparing to unpack .../21-libstdc++-11-dev_11.2.0-1ubuntu2_armhf.deb ... Unpacking libstdc++-11-dev:armhf (11.2.0-1ubuntu2) ... Selecting previously unselected package g++-11. Preparing to unpack .../22-g++-11_11.2.0-1ubuntu2_armhf.deb ... Unpacking g++-11 (11.2.0-1ubuntu2) ... Preparing to unpack .../23-dpkg-dev_1.20.9ubuntu13_all.deb ... Unpacking dpkg-dev (1.20.9ubuntu13) over (1.20.7.1ubuntu4) ... Preparing to unpack .../24-libdpkg-perl_1.20.9ubuntu13_all.deb ... Unpacking libdpkg-perl (1.20.9ubuntu13) over (1.20.7.1ubuntu4) ... Preparing to unpack .../25-lto-disabled-list_16_all.deb ... Unpacking lto-disabled-list (16) over (7) ... Selecting previously unselected package python3-psutil. Preparing to unpack .../26-python3-psutil_5.8.0-1_armhf.deb ... Unpacking python3-psutil (5.8.0-1) ... Preparing to unpack .../27-build-essential_12.9ubuntu1_armhf.deb ... Unpacking build-essential (12.9ubuntu1) over (12.8ubuntu3) ... Preparing to unpack .../28-g++-10_10.3.0-6ubuntu1_armhf.deb ... Unpacking g++-10 (10.3.0-6ubuntu1) over (10.3.0-1ubuntu1) ... Preparing to unpack .../29-gcc-10_10.3.0-6ubuntu1_armhf.deb ... Unpacking gcc-10 (10.3.0-6ubuntu1) over (10.3.0-1ubuntu1) ... Preparing to unpack .../30-libstdc++-10-dev_10.3.0-6ubuntu1_armhf.deb ... Unpacking libstdc++-10-dev:armhf (10.3.0-6ubuntu1) over (10.3.0-1ubuntu1) ... Preparing to unpack .../31-libgcc-10-dev_10.3.0-6ubuntu1_armhf.deb ... Unpacking libgcc-10-dev:armhf (10.3.0-6ubuntu1) over (10.3.0-1ubuntu1) ... Preparing to unpack .../32-cpp-10_10.3.0-6ubuntu1_armhf.deb ... Unpacking cpp-10 (10.3.0-6ubuntu1) over (10.3.0-1ubuntu1) ... Preparing to unpack .../33-gcc-10-base_10.3.0-6ubuntu1_armhf.deb ... Unpacking gcc-10-base:armhf (10.3.0-6ubuntu1) over (10.3.0-1ubuntu1) ... Preparing to unpack .../34-libassuan0_2.5.5-1_armhf.deb ... Unpacking libassuan0:armhf (2.5.5-1) over (2.5.4-1ubuntu1) ... Preparing to unpack .../35-pinentry-curses_1.1.1-1_armhf.deb ... Unpacking pinentry-curses (1.1.1-1) over (1.1.0-4build1) ... Preparing to unpack .../36-gpg_2.2.20-1ubuntu4_armhf.deb ... Unpacking gpg (2.2.20-1ubuntu4) over (2.2.20-1ubuntu3) ... Preparing to unpack .../37-gpgconf_2.2.20-1ubuntu4_armhf.deb ... Unpacking gpgconf (2.2.20-1ubuntu4) over (2.2.20-1ubuntu3) ... Preparing to unpack .../38-gpg-agent_2.2.20-1ubuntu4_armhf.deb ... Unpacking gpg-agent (2.2.20-1ubuntu4) over (2.2.20-1ubuntu3) ... Preparing to unpack .../39-pkgbinarymangler_148_all.deb ... Unpacking pkgbinarymangler (148) over (147) ... Setting up media-types (4.0.0) ... Setting up lto-disabled-list (16) ... Setting up libapparmor1:armhf (3.0.3-0ubuntu1) ... Setting up perl-modules-5.32 (5.32.1-3ubuntu3) ... Setting up libsqlite3-0:armhf (3.35.5-1) ... Setting up binutils-common:armhf (2.37-1ubuntu1) ... Setting up linux-libc-dev:armhf (5.13.0-14.14) ... Setting up libctf-nobfd0:armhf (2.37-1ubuntu1) ... Setting up libassuan0:armhf (2.5.5-1) ... Setting up libgomp1:armhf (11.2.0-1ubuntu2) ... Setting up libasan6:armhf (11.2.0-1ubuntu2) ... Setting up gcc-10-base:armhf (10.3.0-6ubuntu1) ... Setting up xz-utils (5.2.5-2) ... Setting up libatomic1:armhf (11.2.0-1ubuntu2) ... Setting up usrmerge (25ubuntu1) ... Setting up libss2:armhf (1.46.2-1ubuntu2) ... Setting up libperl5.32:armhf (5.32.1-3ubuntu3) ... Setting up logsave (1.46.2-1ubuntu2) ... Setting up libubsan1:armhf (11.2.0-1ubuntu2) ... Setting up advancecomp (2.1-2.1ubuntu1) ... Setting up libdevmapper1.02.1:armhf (2:1.02.175-2.1ubuntu1) ... Setting up libgcc-11-dev:armhf (11.2.0-1ubuntu2) ... Setting up mount (2.36.1-8ubuntu1) ... Setting up libnsl-dev:armhf (1.3.0-2) ... Setting up libcrypt-dev:armhf (1:4.4.18-4ubuntu1) ... Setting up libmpdec3:armhf (2.5.1-2) ... Setting up libbinutils:armhf (2.37-1ubuntu1) ... Setting up libisl23:armhf (0.24-1) ... Setting up libc-dev-bin (2.34-0ubuntu1~ppa5) ... Setting up openssl (1.1.1j-1ubuntu5) ... Setting up readline-common (8.1-2) ... Setting up libcc1-0:armhf (11.2.0-1ubuntu2) ... Setting up libprocps8:armhf (2:3.3.17-5ubuntu3) ... Setting up cpp-10 (10.3.0-6ubuntu1) ... Setting up libkmod2:armhf (28-1ubuntu4) ... Setting up libctf0:armhf (2.37-1ubuntu1) ... Setting up pinentry-curses (1.1.1-1) ... Setting up cpp-11 (11.2.0-1ubuntu2) ... Setting up pkgbinarymangler (148) ... Setting up libgcc-10-dev:armhf (10.3.0-6ubuntu1) ... Setting up libreadline8:armhf (8.1-2) ... Setting up e2fsprogs (1.46.2-1ubuntu2) ... Setting up perl (5.32.1-3ubuntu3) ... Setting up libdpkg-perl (1.20.9ubuntu13) ... Setting up cpp (4:11.2.0-1ubuntu1) ... Setting up procps (2:3.3.17-5ubuntu3) ... update-alternatives: warning: alternative /usr/bin/w.procps (part of link group w) doesn't exist; removing from list of alternatives update-alternatives: warning: /etc/alternatives/w is dangling; it will be updated with best choice Setting up gpgconf (2.2.20-1ubuntu4) ... Setting up libc6-dev:armhf (2.34-0ubuntu1~ppa5) ... Setting up binutils-arm-linux-gnueabihf (2.37-1ubuntu1) ... Setting up gpg (2.2.20-1ubuntu4) ... Setting up libpython3.9-stdlib:armhf (3.9.6-1) ... Setting up libpython3-stdlib:armhf (3.9.4-1) ... Setting up libstdc++-10-dev:armhf (10.3.0-6ubuntu1) ... Setting up gpg-agent (2.2.20-1ubuntu4) ... Setting up binutils (2.37-1ubuntu1) ... Setting up gcc-10 (10.3.0-6ubuntu1) ... Setting up libstdc++-11-dev:armhf (11.2.0-1ubuntu2) ... Setting up gcc-11 (11.2.0-1ubuntu2) ... Setting up python3.9 (3.9.6-1) ... Setting up g++-10 (10.3.0-6ubuntu1) ... Setting up g++-11 (11.2.0-1ubuntu2) ... Setting up python3 (3.9.4-1) ... Setting up python3-psutil (5.8.0-1) ... Setting up gcc (4:11.2.0-1ubuntu1) ... Setting up dpkg-dev (1.20.9ubuntu13) ... Setting up g++ (4:11.2.0-1ubuntu1) ... Setting up build-essential (12.9ubuntu1) ... Setting up systemd-timesyncd (248.3-1ubuntu3) ... Installing new version of config file /etc/systemd/timesyncd.conf ... Setting up systemd (248.3-1ubuntu3) ... Installing new version of config file /etc/systemd/journald.conf ... Installing new version of config file /etc/systemd/logind.conf ... Installing new version of config file /etc/systemd/networkd.conf ... Installing new version of config file /etc/systemd/pstore.conf ... Installing new version of config file /etc/systemd/resolved.conf ... Installing new version of config file /etc/systemd/sleep.conf ... Installing new version of config file /etc/systemd/system.conf ... Installing new version of config file /etc/systemd/user.conf ... Initializing machine ID from random generator. Setting up systemd-sysv (248.3-1ubuntu3) ... Processing triggers for libc-bin (2.34-0ubuntu1~ppa5) ... RUN: /usr/share/launchpad-buildd/bin/sbuild-package PACKAGEBUILD-21951341 armhf impish -c chroot:build-PACKAGEBUILD-21951341 --arch=armhf --dist=impish --nolog yosys_0.9-1build4.dsc Initiating build PACKAGEBUILD-21951341 with 4 jobs across 4 processor cores. Kernel reported to sbuild: 4.15.0-154-generic #161-Ubuntu SMP Fri Jul 30 13:01:15 UTC 2021 armv7l sbuild (Debian sbuild) 0.75.0 (21 Mar 2018) on bos02-arm64-020.buildd +==============================================================================+ | yosys 0.9-1build4 (armhf) Thu, 02 Sep 2021 21:55:15 +0000 | +==============================================================================+ Package: yosys Version: 0.9-1build4 Source Version: 0.9-1build4 Distribution: impish Machine Architecture: arm64 Host Architecture: armhf Build Architecture: armhf Build Type: any I: NOTICE: Log filtering will replace 'home/buildd/build-PACKAGEBUILD-21951341/chroot-autobuild' with '<>' +------------------------------------------------------------------------------+ | Fetch source files | +------------------------------------------------------------------------------+ Local sources ------------- yosys_0.9-1build4.dsc exists in .; copying to chroot I: NOTICE: Log filtering will replace 'build/yosys-LnDH9i/yosys-0.9' with '<>' I: NOTICE: Log filtering will replace 'build/yosys-LnDH9i' with '<>' +------------------------------------------------------------------------------+ | Install build-essential | +------------------------------------------------------------------------------+ Setup apt archive ----------------- Merged Build-Depends: build-essential, fakeroot Filtered Build-Depends: build-essential, fakeroot dpkg-deb: building package 'sbuild-build-depends-core-dummy' in '/<>/resolver-5ZIeKM/apt_archive/sbuild-build-depends-core-dummy.deb'. dpkg-scanpackages: warning: Packages in archive but missing from override file: dpkg-scanpackages: warning: sbuild-build-depends-core-dummy dpkg-scanpackages: info: Wrote 1 entries to output Packages file. Ign:1 copy:/<>/resolver-5ZIeKM/apt_archive ./ InRelease Get:2 copy:/<>/resolver-5ZIeKM/apt_archive ./ Release [957 B] Ign:3 copy:/<>/resolver-5ZIeKM/apt_archive ./ Release.gpg Get:4 copy:/<>/resolver-5ZIeKM/apt_archive ./ Sources [349 B] Get:5 copy:/<>/resolver-5ZIeKM/apt_archive ./ Packages [433 B] Fetched 1739 B in 0s (48.1 kB/s) Reading package lists... Reading package lists... Install core build dependencies (apt-based resolver) ---------------------------------------------------- Installing build dependencies Reading package lists... Building dependency tree... Reading state information... The following packages were automatically installed and are no longer required: cpp-10 g++-10 gcc-10 gcc-10-base libgcc-10-dev libstdc++-10-dev Use 'apt autoremove' to remove them. The following NEW packages will be installed: sbuild-build-depends-core-dummy 0 upgraded, 1 newly installed, 0 to remove and 0 not upgraded. Need to get 652 B of archives. After this operation, 0 B of additional disk space will be used. Get:1 copy:/<>/resolver-5ZIeKM/apt_archive ./ sbuild-build-depends-core-dummy 0.invalid.0 [652 B] debconf: delaying package configuration, since apt-utils is not installed Fetched 652 B in 0s (51.7 kB/s) Selecting previously unselected package sbuild-build-depends-core-dummy. (Reading database ... 14695 files and directories currently installed.) Preparing to unpack .../sbuild-build-depends-core-dummy_0.invalid.0_armhf.deb ... Unpacking sbuild-build-depends-core-dummy (0.invalid.0) ... Setting up sbuild-build-depends-core-dummy (0.invalid.0) ... +------------------------------------------------------------------------------+ | Check architectures | +------------------------------------------------------------------------------+ Arch check ok (armhf included in any all) +------------------------------------------------------------------------------+ | Install package build dependencies | +------------------------------------------------------------------------------+ Setup apt archive ----------------- Merged Build-Depends: debhelper-compat (= 12), dh-python, tcl-dev, libreadline-dev, bison, flex, gawk, libffi-dev, pkg-config, txt2man, iverilog (>= 10.1), python3, berkeley-abc (>= 1.01+20161002hgeb6eca6+dfsg) Filtered Build-Depends: debhelper-compat (= 12), dh-python, tcl-dev, libreadline-dev, bison, flex, gawk, libffi-dev, pkg-config, txt2man, iverilog (>= 10.1), python3, berkeley-abc (>= 1.01+20161002hgeb6eca6+dfsg) dpkg-deb: building package 'sbuild-build-depends-yosys-dummy' in '/<>/resolver-5ZIeKM/apt_archive/sbuild-build-depends-yosys-dummy.deb'. dpkg-scanpackages: warning: Packages in archive but missing from override file: dpkg-scanpackages: warning: sbuild-build-depends-core-dummy sbuild-build-depends-yosys-dummy dpkg-scanpackages: info: Wrote 2 entries to output Packages file. Ign:1 copy:/<>/resolver-5ZIeKM/apt_archive ./ InRelease Get:2 copy:/<>/resolver-5ZIeKM/apt_archive ./ Release [963 B] Ign:3 copy:/<>/resolver-5ZIeKM/apt_archive ./ Release.gpg Get:4 copy:/<>/resolver-5ZIeKM/apt_archive ./ Sources [592 B] Get:5 copy:/<>/resolver-5ZIeKM/apt_archive ./ Packages [676 B] Fetched 2231 B in 0s (65.8 kB/s) Reading package lists... Reading package lists... Install yosys build dependencies (apt-based resolver) ----------------------------------------------------- Installing build dependencies Reading package lists... Building dependency tree... Reading state information... The following packages were automatically installed and are no longer required: g++-10 libstdc++-10-dev Use 'apt autoremove' to remove them. The following additional packages will be installed: autoconf automake autopoint autotools-dev berkeley-abc bison bsdextrautils debhelper debugedit dh-autoreconf dh-python dh-strip-nondeterminism dwz file flex gawk gettext gettext-base groff-base intltool-debian iverilog libarchive-zip-perl libdebhelper-perl libdw1 libelf1 libffi-dev libfile-stripnondeterminism-perl libglib2.0-0 libicu67 libmagic-mgc libmagic1 libncurses-dev libpipeline1 libreadline-dev libsigsegv2 libsub-override-perl libtcl8.6 libtool libuchardet0 libxml2 m4 man-db pkg-config po-debconf python3-distutils python3-lib2to3 tcl tcl-dev tcl8.6 tcl8.6-dev txt2man zlib1g-dev Suggested packages: autoconf-archive gnu-standards autoconf-doc bison-doc dh-make flex-doc gawk-doc gettext-doc libasprintf-dev libgettextpo-dev groff gtkwave ncurses-doc readline-doc libtool-doc gfortran | fortran95-compiler gcj-jdk m4-doc apparmor less www-browser libmail-box-perl tcl-doc tcl-tclreadline tcl8.6-doc ghostscript Recommended packages: libfl-dev curl | wget | lynx libarchive-cpio-perl libglib2.0-data shared-mime-info xdg-user-dirs libltdl-dev libmail-sendmail-perl The following NEW packages will be installed: autoconf automake autopoint autotools-dev berkeley-abc bison bsdextrautils debhelper debugedit dh-autoreconf dh-python dh-strip-nondeterminism dwz file flex gawk gettext gettext-base groff-base intltool-debian iverilog libarchive-zip-perl libdebhelper-perl libdw1 libelf1 libffi-dev libfile-stripnondeterminism-perl libglib2.0-0 libicu67 libmagic-mgc libmagic1 libncurses-dev libpipeline1 libreadline-dev libsigsegv2 libsub-override-perl libtcl8.6 libtool libuchardet0 libxml2 m4 man-db pkg-config po-debconf python3-distutils python3-lib2to3 sbuild-build-depends-yosys-dummy tcl tcl-dev tcl8.6 tcl8.6-dev txt2man zlib1g-dev 0 upgraded, 53 newly installed, 0 to remove and 0 not upgraded. Need to get 27.3 MB of archives. After this operation, 92.3 MB of additional disk space will be used. Get:1 copy:/<>/resolver-5ZIeKM/apt_archive ./ sbuild-build-depends-yosys-dummy 0.invalid.0 [764 B] Get:2 http://ftpmaster.internal/ubuntu impish/main armhf bsdextrautils armhf 2.36.1-8ubuntu1 [80.7 kB] Get:3 http://ftpmaster.internal/ubuntu impish/main armhf libuchardet0 armhf 0.0.7-1 [65.0 kB] Get:4 http://ftpmaster.internal/ubuntu impish/main armhf groff-base armhf 1.22.4-6 [774 kB] Get:5 http://ftpmaster.internal/ubuntu impish/main armhf libpipeline1 armhf 1.5.3-1 [23.3 kB] Get:6 http://ftpmaster.internal/ubuntu impish/main armhf man-db armhf 2.9.4-2 [1118 kB] Get:7 http://ftpmaster.internal/ubuntu impish/main armhf libsigsegv2 armhf 2.13-1ubuntu1 [13.4 kB] Get:8 http://ftpmaster.internal/ubuntu impish/main armhf m4 armhf 1.4.18-5 [187 kB] Get:9 http://ftpmaster.internal/ubuntu impish/main armhf flex armhf 2.6.4-8 [279 kB] Get:10 http://ftpmaster.internal/ubuntu impish/main armhf gawk armhf 1:5.1.0-1build1 [379 kB] Get:11 http://ftpmaster.internal/ubuntu impish/main armhf libelf1 armhf 0.185-1 [39.9 kB] Get:12 http://ftpmaster.internal/ubuntu impish/main armhf libglib2.0-0 armhf 2.68.3-1ubuntu1 [1287 kB] Get:13 http://ftpmaster.internal/ubuntu impish/main armhf libicu67 armhf 67.1-6ubuntu2 [8301 kB] Get:14 http://ftpmaster.internal/ubuntu impish/main armhf libxml2 armhf 2.9.10+dfsg-6.7 [529 kB] Get:15 http://ftpmaster.internal/ubuntu impish/main armhf libmagic-mgc armhf 1:5.39-3 [228 kB] Get:16 http://ftpmaster.internal/ubuntu impish/main armhf libmagic1 armhf 1:5.39-3 [71.7 kB] Get:17 http://ftpmaster.internal/ubuntu impish/main armhf file armhf 1:5.39-3 [22.7 kB] Get:18 http://ftpmaster.internal/ubuntu impish/main armhf gettext-base armhf 0.21-4ubuntu3 [36.0 kB] Get:19 http://ftpmaster.internal/ubuntu impish/main armhf autoconf all 2.69-14 [293 kB] Get:20 http://ftpmaster.internal/ubuntu impish/main armhf autotools-dev all 20180224.1+nmu1 [39.4 kB] Get:21 http://ftpmaster.internal/ubuntu impish/main armhf automake all 1:1.16.4-1ubuntu1 [557 kB] Get:22 http://ftpmaster.internal/ubuntu impish/main armhf autopoint all 0.21-4ubuntu3 [422 kB] Get:23 http://ftpmaster.internal/ubuntu impish/universe armhf berkeley-abc armhf 1.01+20191006git52a8ebb+dfsg-1build1 [4772 kB] Get:24 http://ftpmaster.internal/ubuntu impish/main armhf bison armhf 2:3.7.6+dfsg-1build1 [707 kB] Get:25 http://ftpmaster.internal/ubuntu impish/main armhf libdebhelper-perl all 13.3.4ubuntu2 [62.5 kB] Get:26 http://ftpmaster.internal/ubuntu impish/main armhf libtool all 2.4.6-15 [161 kB] Get:27 http://ftpmaster.internal/ubuntu impish/main armhf dh-autoreconf all 20 [16.1 kB] Get:28 http://ftpmaster.internal/ubuntu impish/main armhf libarchive-zip-perl all 1.68-1 [90.2 kB] Get:29 http://ftpmaster.internal/ubuntu impish/main armhf libsub-override-perl all 0.09-2 [9532 B] Get:30 http://ftpmaster.internal/ubuntu impish/main armhf libfile-stripnondeterminism-perl all 1.12.0-1 [17.5 kB] Get:31 http://ftpmaster.internal/ubuntu impish/main armhf dh-strip-nondeterminism all 1.12.0-1 [5228 B] Get:32 http://ftpmaster.internal/ubuntu impish/main armhf libdw1 armhf 0.185-1 [198 kB] Get:33 http://ftpmaster.internal/ubuntu impish/main armhf debugedit armhf 1:5.0-0ubuntu1 [43.8 kB] Get:34 http://ftpmaster.internal/ubuntu impish/main armhf dwz armhf 0.14-1 [90.7 kB] Get:35 http://ftpmaster.internal/ubuntu impish/main armhf gettext armhf 0.21-4ubuntu3 [755 kB] Get:36 http://ftpmaster.internal/ubuntu impish/main armhf intltool-debian all 0.35.0+20060710.5 [24.9 kB] Get:37 http://ftpmaster.internal/ubuntu impish/main armhf po-debconf all 1.0.21+nmu1 [233 kB] Get:38 http://ftpmaster.internal/ubuntu impish/main armhf debhelper all 13.3.4ubuntu2 [921 kB] Get:39 http://ftpmaster.internal/ubuntu impish/main armhf python3-lib2to3 all 3.9.5-0ubuntu3 [76.2 kB] Get:40 http://ftpmaster.internal/ubuntu impish/main armhf python3-distutils all 3.9.5-0ubuntu3 [142 kB] Get:41 http://ftpmaster.internal/ubuntu impish/universe armhf dh-python all 4.20201102ubuntu4 [92.0 kB] Get:42 http://ftpmaster.internal/ubuntu impish/universe armhf iverilog armhf 11.0-1 [1767 kB] Get:43 http://ftpmaster.internal/ubuntu impish/main armhf libncurses-dev armhf 6.2+20201114-2build1 [306 kB] Get:44 http://ftpmaster.internal/ubuntu impish/main armhf libreadline-dev armhf 8.1-2 [134 kB] Get:45 http://ftpmaster.internal/ubuntu impish/main armhf libtcl8.6 armhf 8.6.11+dfsg-1 [806 kB] Get:46 http://ftpmaster.internal/ubuntu impish/main armhf pkg-config armhf 0.29.2-1ubuntu1 [43.4 kB] Get:47 http://ftpmaster.internal/ubuntu impish/main armhf tcl8.6 armhf 8.6.11+dfsg-1 [14.6 kB] Get:48 http://ftpmaster.internal/ubuntu impish/main armhf tcl armhf 8.6.11+1 [4648 B] Get:49 http://ftpmaster.internal/ubuntu impish/main armhf zlib1g-dev armhf 1:1.2.11.dfsg-2ubuntu7 [157 kB] Get:50 http://ftpmaster.internal/ubuntu impish/main armhf tcl8.6-dev armhf 8.6.11+dfsg-1 [829 kB] Get:51 http://ftpmaster.internal/ubuntu impish/main armhf tcl-dev armhf 8.6.11+1 [5760 B] Get:52 http://ftpmaster.internal/ubuntu impish/universe armhf txt2man all 1.7.1-1 [34.3 kB] Get:53 http://ftpmaster.internal/ubuntu impish/main armhf libffi-dev armhf 3.4~20200819gead65ca871-0ubuntu5 [53.0 kB] debconf: delaying package configuration, since apt-utils is not installed Fetched 27.3 MB in 3s (10.2 MB/s) Selecting previously unselected package bsdextrautils. (Reading database ... 14695 files and directories currently installed.) Preparing to unpack .../0-bsdextrautils_2.36.1-8ubuntu1_armhf.deb ... Unpacking bsdextrautils (2.36.1-8ubuntu1) ... Selecting previously unselected package libuchardet0:armhf. Preparing to unpack .../1-libuchardet0_0.0.7-1_armhf.deb ... Unpacking libuchardet0:armhf (0.0.7-1) ... Selecting previously unselected package groff-base. Preparing to unpack .../2-groff-base_1.22.4-6_armhf.deb ... Unpacking groff-base (1.22.4-6) ... Selecting previously unselected package libpipeline1:armhf. Preparing to unpack .../3-libpipeline1_1.5.3-1_armhf.deb ... Unpacking libpipeline1:armhf (1.5.3-1) ... Selecting previously unselected package man-db. Preparing to unpack .../4-man-db_2.9.4-2_armhf.deb ... Unpacking man-db (2.9.4-2) ... Selecting previously unselected package libsigsegv2:armhf. Preparing to unpack .../5-libsigsegv2_2.13-1ubuntu1_armhf.deb ... Unpacking libsigsegv2:armhf (2.13-1ubuntu1) ... Selecting previously unselected package m4. Preparing to unpack .../6-m4_1.4.18-5_armhf.deb ... Unpacking m4 (1.4.18-5) ... Selecting previously unselected package flex. Preparing to unpack .../7-flex_2.6.4-8_armhf.deb ... Unpacking flex (2.6.4-8) ... Setting up libsigsegv2:armhf (2.13-1ubuntu1) ... Selecting previously unselected package gawk. (Reading database ... 15342 files and directories currently installed.) Preparing to unpack .../00-gawk_1%3a5.1.0-1build1_armhf.deb ... Unpacking gawk (1:5.1.0-1build1) ... Selecting previously unselected package libelf1:armhf. Preparing to unpack .../01-libelf1_0.185-1_armhf.deb ... Unpacking libelf1:armhf (0.185-1) ... Selecting previously unselected package libglib2.0-0:armhf. Preparing to unpack .../02-libglib2.0-0_2.68.3-1ubuntu1_armhf.deb ... Unpacking libglib2.0-0:armhf (2.68.3-1ubuntu1) ... Selecting previously unselected package libicu67:armhf. Preparing to unpack .../03-libicu67_67.1-6ubuntu2_armhf.deb ... Unpacking libicu67:armhf (67.1-6ubuntu2) ... Selecting previously unselected package libxml2:armhf. Preparing to unpack .../04-libxml2_2.9.10+dfsg-6.7_armhf.deb ... Unpacking libxml2:armhf (2.9.10+dfsg-6.7) ... Selecting previously unselected package libmagic-mgc. Preparing to unpack .../05-libmagic-mgc_1%3a5.39-3_armhf.deb ... Unpacking libmagic-mgc (1:5.39-3) ... Selecting previously unselected package libmagic1:armhf. Preparing to unpack .../06-libmagic1_1%3a5.39-3_armhf.deb ... Unpacking libmagic1:armhf (1:5.39-3) ... Selecting previously unselected package file. Preparing to unpack .../07-file_1%3a5.39-3_armhf.deb ... Unpacking file (1:5.39-3) ... Selecting previously unselected package gettext-base. Preparing to unpack .../08-gettext-base_0.21-4ubuntu3_armhf.deb ... Unpacking gettext-base (0.21-4ubuntu3) ... Selecting previously unselected package autoconf. Preparing to unpack .../09-autoconf_2.69-14_all.deb ... Unpacking autoconf (2.69-14) ... Selecting previously unselected package autotools-dev. Preparing to unpack .../10-autotools-dev_20180224.1+nmu1_all.deb ... Unpacking autotools-dev (20180224.1+nmu1) ... Selecting previously unselected package automake. Preparing to unpack .../11-automake_1%3a1.16.4-1ubuntu1_all.deb ... Unpacking automake (1:1.16.4-1ubuntu1) ... Selecting previously unselected package autopoint. Preparing to unpack .../12-autopoint_0.21-4ubuntu3_all.deb ... Unpacking autopoint (0.21-4ubuntu3) ... Selecting previously unselected package berkeley-abc. Preparing to unpack .../13-berkeley-abc_1.01+20191006git52a8ebb+dfsg-1build1_armhf.deb ... Unpacking berkeley-abc (1.01+20191006git52a8ebb+dfsg-1build1) ... Selecting previously unselected package bison. Preparing to unpack .../14-bison_2%3a3.7.6+dfsg-1build1_armhf.deb ... Unpacking bison (2:3.7.6+dfsg-1build1) ... Selecting previously unselected package libdebhelper-perl. Preparing to unpack .../15-libdebhelper-perl_13.3.4ubuntu2_all.deb ... Unpacking libdebhelper-perl (13.3.4ubuntu2) ... Selecting previously unselected package libtool. Preparing to unpack .../16-libtool_2.4.6-15_all.deb ... Unpacking libtool (2.4.6-15) ... Selecting previously unselected package dh-autoreconf. Preparing to unpack .../17-dh-autoreconf_20_all.deb ... Unpacking dh-autoreconf (20) ... Selecting previously unselected package libarchive-zip-perl. Preparing to unpack .../18-libarchive-zip-perl_1.68-1_all.deb ... Unpacking libarchive-zip-perl (1.68-1) ... Selecting previously unselected package libsub-override-perl. Preparing to unpack .../19-libsub-override-perl_0.09-2_all.deb ... Unpacking libsub-override-perl (0.09-2) ... Selecting previously unselected package libfile-stripnondeterminism-perl. Preparing to unpack .../20-libfile-stripnondeterminism-perl_1.12.0-1_all.deb ... Unpacking libfile-stripnondeterminism-perl (1.12.0-1) ... Selecting previously unselected package dh-strip-nondeterminism. Preparing to unpack .../21-dh-strip-nondeterminism_1.12.0-1_all.deb ... Unpacking dh-strip-nondeterminism (1.12.0-1) ... Selecting previously unselected package libdw1:armhf. Preparing to unpack .../22-libdw1_0.185-1_armhf.deb ... Unpacking libdw1:armhf (0.185-1) ... Selecting previously unselected package debugedit. Preparing to unpack .../23-debugedit_1%3a5.0-0ubuntu1_armhf.deb ... Unpacking debugedit (1:5.0-0ubuntu1) ... Selecting previously unselected package dwz. Preparing to unpack .../24-dwz_0.14-1_armhf.deb ... Unpacking dwz (0.14-1) ... Selecting previously unselected package gettext. Preparing to unpack .../25-gettext_0.21-4ubuntu3_armhf.deb ... Unpacking gettext (0.21-4ubuntu3) ... Selecting previously unselected package intltool-debian. Preparing to unpack .../26-intltool-debian_0.35.0+20060710.5_all.deb ... Unpacking intltool-debian (0.35.0+20060710.5) ... Selecting previously unselected package po-debconf. Preparing to unpack .../27-po-debconf_1.0.21+nmu1_all.deb ... Unpacking po-debconf (1.0.21+nmu1) ... Selecting previously unselected package debhelper. Preparing to unpack .../28-debhelper_13.3.4ubuntu2_all.deb ... Unpacking debhelper (13.3.4ubuntu2) ... Selecting previously unselected package python3-lib2to3. Preparing to unpack .../29-python3-lib2to3_3.9.5-0ubuntu3_all.deb ... Unpacking python3-lib2to3 (3.9.5-0ubuntu3) ... Selecting previously unselected package python3-distutils. Preparing to unpack .../30-python3-distutils_3.9.5-0ubuntu3_all.deb ... Unpacking python3-distutils (3.9.5-0ubuntu3) ... Selecting previously unselected package dh-python. Preparing to unpack .../31-dh-python_4.20201102ubuntu4_all.deb ... Unpacking dh-python (4.20201102ubuntu4) ... Selecting previously unselected package iverilog. Preparing to unpack .../32-iverilog_11.0-1_armhf.deb ... Unpacking iverilog (11.0-1) ... Selecting previously unselected package libncurses-dev:armhf. Preparing to unpack .../33-libncurses-dev_6.2+20201114-2build1_armhf.deb ... Unpacking libncurses-dev:armhf (6.2+20201114-2build1) ... Selecting previously unselected package libreadline-dev:armhf. Preparing to unpack .../34-libreadline-dev_8.1-2_armhf.deb ... Unpacking libreadline-dev:armhf (8.1-2) ... Selecting previously unselected package libtcl8.6:armhf. Preparing to unpack .../35-libtcl8.6_8.6.11+dfsg-1_armhf.deb ... Unpacking libtcl8.6:armhf (8.6.11+dfsg-1) ... Selecting previously unselected package pkg-config. Preparing to unpack .../36-pkg-config_0.29.2-1ubuntu1_armhf.deb ... Unpacking pkg-config (0.29.2-1ubuntu1) ... Selecting previously unselected package tcl8.6. Preparing to unpack .../37-tcl8.6_8.6.11+dfsg-1_armhf.deb ... Unpacking tcl8.6 (8.6.11+dfsg-1) ... Selecting previously unselected package tcl. Preparing to unpack .../38-tcl_8.6.11+1_armhf.deb ... Unpacking tcl (8.6.11+1) ... Selecting previously unselected package zlib1g-dev:armhf. Preparing to unpack .../39-zlib1g-dev_1%3a1.2.11.dfsg-2ubuntu7_armhf.deb ... Unpacking zlib1g-dev:armhf (1:1.2.11.dfsg-2ubuntu7) ... Selecting previously unselected package tcl8.6-dev:armhf. Preparing to unpack .../40-tcl8.6-dev_8.6.11+dfsg-1_armhf.deb ... Unpacking tcl8.6-dev:armhf (8.6.11+dfsg-1) ... Selecting previously unselected package tcl-dev:armhf. Preparing to unpack .../41-tcl-dev_8.6.11+1_armhf.deb ... Unpacking tcl-dev:armhf (8.6.11+1) ... Selecting previously unselected package txt2man. Preparing to unpack .../42-txt2man_1.7.1-1_all.deb ... Unpacking txt2man (1.7.1-1) ... Selecting previously unselected package libffi-dev:armhf. Preparing to unpack .../43-libffi-dev_3.4~20200819gead65ca871-0ubuntu5_armhf.deb ... Unpacking libffi-dev:armhf (3.4~20200819gead65ca871-0ubuntu5) ... Selecting previously unselected package sbuild-build-depends-yosys-dummy. Preparing to unpack .../44-sbuild-build-depends-yosys-dummy_0.invalid.0_armhf.deb ... Unpacking sbuild-build-depends-yosys-dummy (0.invalid.0) ... Setting up libpipeline1:armhf (1.5.3-1) ... Setting up libncurses-dev:armhf (6.2+20201114-2build1) ... Setting up bsdextrautils (2.36.1-8ubuntu1) ... update-alternatives: using /usr/bin/write.ul to provide /usr/bin/write (write) in auto mode Setting up libicu67:armhf (67.1-6ubuntu2) ... Setting up libmagic-mgc (1:5.39-3) ... Setting up gawk (1:5.1.0-1build1) ... Setting up libarchive-zip-perl (1.68-1) ... Setting up libglib2.0-0:armhf (2.68.3-1ubuntu1) ... No schema files found: doing nothing. Setting up libdebhelper-perl (13.3.4ubuntu2) ... Setting up libmagic1:armhf (1:5.39-3) ... Setting up gettext-base (0.21-4ubuntu3) ... Setting up m4 (1.4.18-5) ... Setting up file (1:5.39-3) ... Setting up libreadline-dev:armhf (8.1-2) ... Setting up libffi-dev:armhf (3.4~20200819gead65ca871-0ubuntu5) ... Setting up berkeley-abc (1.01+20191006git52a8ebb+dfsg-1build1) ... Setting up iverilog (11.0-1) ... Setting up autotools-dev (20180224.1+nmu1) ... Setting up libtcl8.6:armhf (8.6.11+dfsg-1) ... Setting up autopoint (0.21-4ubuntu3) ... Setting up pkg-config (0.29.2-1ubuntu1) ... Setting up autoconf (2.69-14) ... Setting up zlib1g-dev:armhf (1:1.2.11.dfsg-2ubuntu7) ... Setting up libuchardet0:armhf (0.0.7-1) ... Setting up bison (2:3.7.6+dfsg-1build1) ... update-alternatives: using /usr/bin/bison.yacc to provide /usr/bin/yacc (yacc) in auto mode Setting up libsub-override-perl (0.09-2) ... Setting up python3-lib2to3 (3.9.5-0ubuntu3) ... Setting up libelf1:armhf (0.185-1) ... Setting up libxml2:armhf (2.9.10+dfsg-6.7) ... Setting up automake (1:1.16.4-1ubuntu1) ... update-alternatives: using /usr/bin/automake-1.16 to provide /usr/bin/automake (automake) in auto mode Setting up python3-distutils (3.9.5-0ubuntu3) ... Setting up dh-python (4.20201102ubuntu4) ... Setting up libfile-stripnondeterminism-perl (1.12.0-1) ... Setting up libdw1:armhf (0.185-1) ... Setting up flex (2.6.4-8) ... Setting up tcl8.6 (8.6.11+dfsg-1) ... Setting up gettext (0.21-4ubuntu3) ... Setting up txt2man (1.7.1-1) ... Setting up libtool (2.4.6-15) ... Setting up tcl8.6-dev:armhf (8.6.11+dfsg-1) ... Setting up intltool-debian (0.35.0+20060710.5) ... Setting up dh-autoreconf (20) ... Setting up dh-strip-nondeterminism (1.12.0-1) ... Setting up dwz (0.14-1) ... Setting up groff-base (1.22.4-6) ... Setting up tcl (8.6.11+1) ... Setting up debugedit (1:5.0-0ubuntu1) ... Setting up po-debconf (1.0.21+nmu1) ... Setting up man-db (2.9.4-2) ... Not building database; man-db/auto-update is not 'true'. Created symlink /etc/systemd/system/timers.target.wants/man-db.timer → /lib/systemd/system/man-db.timer. Setting up tcl-dev:armhf (8.6.11+1) ... Setting up debhelper (13.3.4ubuntu2) ... Setting up sbuild-build-depends-yosys-dummy (0.invalid.0) ... Processing triggers for libc-bin (2.34-0ubuntu1~ppa5) ... +------------------------------------------------------------------------------+ | Build environment | +------------------------------------------------------------------------------+ Kernel: Linux 4.15.0-154-generic arm64 (armv7l) Toolchain package versions: binutils_2.37-1ubuntu1 dpkg-dev_1.20.9ubuntu13 g++-10_10.3.0-6ubuntu1 g++-11_11.2.0-1ubuntu2 gcc-10_10.3.0-6ubuntu1 gcc-11_11.2.0-1ubuntu2 libc6-dev_2.34-0ubuntu1~ppa5 libstdc++-10-dev_10.3.0-6ubuntu1 libstdc++-11-dev_11.2.0-1ubuntu2 libstdc++6_11.2.0-1ubuntu2 linux-libc-dev_5.13.0-14.14 Package versions: adduser_3.118ubuntu5 advancecomp_2.1-2.1ubuntu1 apt_2.3.7 autoconf_2.69-14 automake_1:1.16.4-1ubuntu1 autopoint_0.21-4ubuntu3 autotools-dev_20180224.1+nmu1 base-files_11.1ubuntu3 base-passwd_3.5.51 bash_5.1-3ubuntu1 berkeley-abc_1.01+20191006git52a8ebb+dfsg-1build1 binutils_2.37-1ubuntu1 binutils-arm-linux-gnueabihf_2.37-1ubuntu1 binutils-common_2.37-1ubuntu1 bison_2:3.7.6+dfsg-1build1 bsdextrautils_2.36.1-8ubuntu1 bsdutils_1:2.36.1-8ubuntu1 build-essential_12.9ubuntu1 bzip2_1.0.8-4ubuntu3 ca-certificates_20210119build1 coreutils_8.32-4ubuntu2 cpp_4:11.2.0-1ubuntu1 cpp-10_10.3.0-6ubuntu1 cpp-11_11.2.0-1ubuntu2 dash_0.5.11+git20210120+802ebd4-1 debconf_1.5.77 debhelper_13.3.4ubuntu2 debianutils_4.11.2 debugedit_1:5.0-0ubuntu1 dh-autoreconf_20 dh-python_4.20201102ubuntu4 dh-strip-nondeterminism_1.12.0-1 diffutils_1:3.7-5ubuntu1 dpkg_1.20.9ubuntu13 dpkg-dev_1.20.9ubuntu13 dwz_0.14-1 e2fsprogs_1.46.2-1ubuntu2 fakeroot_1.25.3-1.1ubuntu2 file_1:5.39-3 findutils_4.8.0-1ubuntu2 flex_2.6.4-8 g++_4:11.2.0-1ubuntu1 g++-10_10.3.0-6ubuntu1 g++-11_11.2.0-1ubuntu2 gawk_1:5.1.0-1build1 gcc_4:11.2.0-1ubuntu1 gcc-10_10.3.0-6ubuntu1 gcc-10-base_10.3.0-6ubuntu1 gcc-11_11.2.0-1ubuntu2 gcc-11-base_11.2.0-1ubuntu2 gettext_0.21-4ubuntu3 gettext-base_0.21-4ubuntu3 gpg_2.2.20-1ubuntu4 gpg-agent_2.2.20-1ubuntu4 gpgconf_2.2.20-1ubuntu4 gpgv_2.2.20-1ubuntu4 grep_3.6-1 groff-base_1.22.4-6 gzip_1.10-4ubuntu1 hostname_3.23 init_1.60 init-system-helpers_1.60 intltool-debian_0.35.0+20060710.5 iverilog_11.0-1 libacl1_2.2.53-10ubuntu1 libapparmor1_3.0.3-0ubuntu1 libapt-pkg6.0_2.3.7 libarchive-zip-perl_1.68-1 libargon2-1_0~20171227-0.2build21.04.0 libasan6_11.2.0-1ubuntu2 libassuan0_2.5.5-1 libatomic1_11.2.0-1ubuntu2 libattr1_1:2.4.48-6build1 libaudit-common_1:3.0-2ubuntu2 libaudit1_1:3.0-2ubuntu2 libbinutils_2.37-1ubuntu1 libblkid1_2.36.1-8ubuntu1 libbz2-1.0_1.0.8-4ubuntu3 libc-bin_2.34-0ubuntu1~ppa5 libc-dev-bin_2.34-0ubuntu1~ppa5 libc6_2.34-0ubuntu1~ppa5 libc6-dev_2.34-0ubuntu1~ppa5 libcap-ng0_0.7.9-2.2build1 libcap2_1:2.44-1build1 libcc1-0_11.2.0-1ubuntu2 libcom-err2_1.46.2-1ubuntu2 libcrypt-dev_1:4.4.18-4ubuntu1 libcrypt1_1:4.4.18-4ubuntu1 libcryptsetup12_2:2.3.4-1ubuntu3 libctf-nobfd0_2.37-1ubuntu1 libctf0_2.37-1ubuntu1 libdb5.3_5.3.28+dfsg1-0.8ubuntu1 libdebconfclient0_0.256ubuntu3 libdebhelper-perl_13.3.4ubuntu2 libdevmapper1.02.1_2:1.02.175-2.1ubuntu1 libdpkg-perl_1.20.9ubuntu13 libdw1_0.185-1 libelf1_0.185-1 libexpat1_2.3.0-1 libext2fs2_1.46.2-1ubuntu2 libfakeroot_1.25.3-1.1ubuntu2 libffi-dev_3.4~20200819gead65ca871-0ubuntu5 libffi8ubuntu1_3.4~20200819gead65ca871-0ubuntu5 libfile-stripnondeterminism-perl_1.12.0-1 libgcc-10-dev_10.3.0-6ubuntu1 libgcc-11-dev_11.2.0-1ubuntu2 libgcc-s1_11.2.0-1ubuntu2 libgcrypt20_1.8.7-5ubuntu1 libgdbm-compat4_1.19-2 libgdbm6_1.19-2 libglib2.0-0_2.68.3-1ubuntu1 libgmp10_2:6.2.1+dfsg-1ubuntu2 libgnutls30_3.7.1-4ubuntu1 libgomp1_11.2.0-1ubuntu2 libgpg-error0_1.38-2build1 libgssapi-krb5-2_1.18.3-6 libhogweed6_3.7.3-1 libicu67_67.1-6ubuntu2 libidn2-0_2.3.1-1 libip4tc2_1.8.7-1ubuntu2 libisl23_0.24-1 libjson-c5_0.15-2build2 libk5crypto3_1.18.3-6 libkeyutils1_1.6.1-2ubuntu1 libkmod2_28-1ubuntu4 libkrb5-3_1.18.3-6 libkrb5support0_1.18.3-6 liblockfile-bin_1.17-1 liblockfile1_1.17-1 liblz4-1_1.9.3-2 liblzma5_5.2.5-2 libmagic-mgc_1:5.39-3 libmagic1_1:5.39-3 libmount1_2.36.1-8ubuntu1 libmpc3_1.2.0-1build1 libmpdec3_2.5.1-2 libmpfr6_4.1.0-3build1 libncurses-dev_6.2+20201114-2build1 libncurses6_6.2+20201114-2build1 libncursesw6_6.2+20201114-2build1 libnettle8_3.7.3-1 libnpth0_1.6-3 libnsl-dev_1.3.0-2 libnsl2_1.3.0-2 libp11-kit0_0.23.22-1 libpam-modules_1.3.1-5ubuntu8 libpam-modules-bin_1.3.1-5ubuntu8 libpam-runtime_1.3.1-5ubuntu8 libpam0g_1.3.1-5ubuntu8 libpcre2-8-0_10.36-2ubuntu5 libpcre3_2:8.39-13build3 libperl5.32_5.32.1-3ubuntu3 libpipeline1_1.5.3-1 libpng16-16_1.6.37-3build3 libprocps8_2:3.3.17-5ubuntu3 libpython3-stdlib_3.9.4-1 libpython3.9-minimal_3.9.6-1 libpython3.9-stdlib_3.9.6-1 libreadline-dev_8.1-2 libreadline8_8.1-2 libseccomp2_2.5.1-1ubuntu1 libselinux1_3.1-3build1 libsemanage-common_3.1-1ubuntu1 libsemanage1_3.1-1ubuntu1 libsepol1_3.1-1ubuntu1 libsigsegv2_2.13-1ubuntu1 libsmartcols1_2.36.1-8ubuntu1 libsqlite3-0_3.35.5-1 libss2_1.46.2-1ubuntu2 libssl1.1_1.1.1j-1ubuntu5 libstdc++-10-dev_10.3.0-6ubuntu1 libstdc++-11-dev_11.2.0-1ubuntu2 libstdc++6_11.2.0-1ubuntu2 libsub-override-perl_0.09-2 libsystemd0_248.3-1ubuntu3 libtasn1-6_4.16.0-2 libtcl8.6_8.6.11+dfsg-1 libtinfo6_6.2+20201114-2build1 libtirpc-common_1.3.1-1build1 libtirpc-dev_1.3.1-1build1 libtirpc3_1.3.1-1build1 libtool_2.4.6-15 libubsan1_11.2.0-1ubuntu2 libuchardet0_0.0.7-1 libudev1_248.3-1ubuntu3 libunistring2_0.9.10-4 libuuid1_2.36.1-8ubuntu1 libxml2_2.9.10+dfsg-6.7 libxxhash0_0.8.0-2 libzstd1_1.4.8+dfsg-2.1 linux-libc-dev_5.13.0-14.14 lockfile-progs_0.1.18 login_1:4.8.1-1ubuntu9 logsave_1.46.2-1ubuntu2 lsb-base_11.1.0ubuntu2 lto-disabled-list_16 m4_1.4.18-5 make_4.3-4ubuntu1 man-db_2.9.4-2 mawk_1.3.4.20200120-2 media-types_4.0.0 mount_2.36.1-8ubuntu1 ncurses-base_6.2+20201114-2build1 ncurses-bin_6.2+20201114-2build1 openssl_1.1.1j-1ubuntu5 optipng_0.7.7-1 passwd_1:4.8.1-1ubuntu9 patch_2.7.6-7 perl_5.32.1-3ubuntu3 perl-base_5.32.1-3ubuntu3 perl-modules-5.32_5.32.1-3ubuntu3 pinentry-curses_1.1.1-1 pkg-config_0.29.2-1ubuntu1 pkgbinarymangler_148 po-debconf_1.0.21+nmu1 policyrcd-script-zg2_0.1-3 procps_2:3.3.17-5ubuntu3 python3_3.9.4-1 python3-distutils_3.9.5-0ubuntu3 python3-lib2to3_3.9.5-0ubuntu3 python3-minimal_3.9.4-1 python3-psutil_5.8.0-1 python3.9_3.9.6-1 python3.9-minimal_3.9.6-1 readline-common_8.1-2 rpcsvc-proto_1.4.2-0ubuntu4 sbuild-build-depends-core-dummy_0.invalid.0 sbuild-build-depends-yosys-dummy_0.invalid.0 sed_4.7-1ubuntu1 sensible-utils_0.0.14 systemd_248.3-1ubuntu3 systemd-sysv_248.3-1ubuntu3 systemd-timesyncd_248.3-1ubuntu3 sysvinit-utils_2.96-7ubuntu1 tar_1.34+dfsg-1build1 tcl_8.6.11+1 tcl-dev_8.6.11+1 tcl8.6_8.6.11+dfsg-1 tcl8.6-dev_8.6.11+dfsg-1 txt2man_1.7.1-1 tzdata_2021a-1ubuntu1 ubuntu-keyring_2021.03.26 usrmerge_25ubuntu1 util-linux_2.36.1-8ubuntu1 xz-utils_5.2.5-2 zlib1g_1:1.2.11.dfsg-2ubuntu7 zlib1g-dev_1:1.2.11.dfsg-2ubuntu7 +------------------------------------------------------------------------------+ | Build | +------------------------------------------------------------------------------+ Unpack source ------------- gpgv: Signature made Mon Aug 24 19:12:50 2020 UTC gpgv: using RSA key EE240A052A231AD8925841D79B8EC849D5EF70ED gpgv: Can't check signature: No public key dpkg-source: warning: failed to verify signature on ./yosys_0.9-1build4.dsc dpkg-source: info: extracting yosys in /<> dpkg-source: info: unpacking yosys_0.9.orig.tar.gz dpkg-source: info: unpacking yosys_0.9-1build4.debian.tar.xz dpkg-source: info: using patch list from debian/patches/series dpkg-source: info: applying 01_gitrevision.patch dpkg-source: info: applying 02_removeabc.patch dpkg-source: info: applying 05_abc_executable.patch dpkg-source: info: applying switch-to-free-font.patch dpkg-source: info: applying manual-build.patch dpkg-source: info: applying kfreebsd-support.patch dpkg-source: info: applying 0007-Disable-pretty-build.patch dpkg-source: info: applying 0009-Some-spelling-errors-fixed.patch dpkg-source: info: applying 0010-Fix-reproducibility-of-PDF-documents-in-yosys-doc.patch dpkg-source: info: applying 0010-Fix-adding-of-sys.path-in-yosys-smtbmc.patch dpkg-source: info: applying 0011-Do-not-show-g-build-flags-in-Version-string.patch dpkg-source: info: applying 0012-Skip-non-deterministic-test-causing-random-FTBFS-on-.patch dpkg-source: info: applying 0013-Let-dpkg-buildpackage-handle-stripping-of-binaries.patch dpkg-source: info: applying 0014-Set-path-to-berkeley-abc-instead-of-relative-path-to.patch Check disk space ---------------- Sufficient free space for build User Environment ---------------- APT_CONFIG=/var/lib/sbuild/apt.conf DEB_BUILD_OPTIONS=noautodbgsym parallel=4 HOME=/sbuild-nonexistent LANG=C.UTF-8 LC_ALL=C.UTF-8 LOGNAME=buildd PATH=/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games SCHROOT_ALIAS_NAME=build-PACKAGEBUILD-21951341 SCHROOT_CHROOT_NAME=build-PACKAGEBUILD-21951341 SCHROOT_COMMAND=env SCHROOT_GID=2501 SCHROOT_GROUP=buildd SCHROOT_SESSION_ID=build-PACKAGEBUILD-21951341 SCHROOT_UID=2001 SCHROOT_USER=buildd SHELL=/bin/sh TERM=unknown USER=buildd V=1 dpkg-buildpackage ----------------- dpkg-buildpackage.pl: info: source package yosys dpkg-buildpackage.pl: info: source version 0.9-1build4 dpkg-buildpackage.pl: info: source distribution groovy dpkg-source --before-build . dpkg-buildpackage.pl: info: host architecture armhf fakeroot debian/rules clean PREFIX=/usr dh clean --with=python3 debian/rules override_dh_auto_clean make[1]: Entering directory '/<>' dh_auto_clean make -j4 clean make[2]: Entering directory '/<>' rm -rf share rm -rf kernel/*.pyh if test -d manual; then cd manual && sh clean.sh; fi find ./PRESENTATION_Intro/ -name 'counter_00.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_01.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_02.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_03.dot' | xargs rm -f find ./ -name '*.aux' | xargs rm -f find ./ -name '*.bbl' | xargs rm -f find ./ -name '*.blg' | xargs rm -f find ./ -name '*.idx' | xargs rm -f find ./ -name '*.log' | xargs rm -f find ./ -name '*.out' | xargs rm -f find ./ -name '*.pdf' | xargs rm -f find ./ -name '*.toc' | xargs rm -f find ./ -name '*.snm' | xargs rm -f find ./ -name '*.nav' | xargs rm -f find ./ -name '*.vrb' | xargs rm -f find ./ -name '*.ok' | xargs rm -f find ./PRESENTATION_ExSyn/ -name '*.dot' | xargs rm -f find ./PRESENTATION_ExOth/ -name '*.dot' | xargs rm -f find ./CHAPTER_Prog/ -name 'stubnets.so' | xargs rm -f find ./CHAPTER_Prog/ -name 'stubnets.d' | xargs rm -f find ./CHAPTER_Prog/ -name '*.log' | xargs rm -f find ./PRESENTATION_ExAdv/ -name '*.dot' | xargs rm -f find ./PRESENTATION_Prog/ -name 'my_cmd.so' | xargs rm -f find ./PRESENTATION_Prog/ -name 'my_cmd.d' | xargs rm -f rm -f kernel/version_1979e0b.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/cellaigs.o kernel/celledges.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o frontends/aiger/aigerparse.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/blif/blifparse.o frontends/ilang/ilang_parser.tab.o frontends/ilang/ilang_lexer.o frontends/ilang/ilang_frontend.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_rmdff.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/ice40_dsp.o passes/pmgen/peepopt.o passes/proc/proc.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/iopadmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dff2dffe.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/dffsr2dff.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dff2dffs.o passes/techmap/flowmap.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/blif/blif.o backends/btor/btor.o backends/edif/edif.o backends/firrtl/firrtl.o backends/ilang/ilang_backend.o backends/intersynth/intersynth.o backends/json/json.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_determine_init.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/ecp5/ecp5_ffinit.o techlibs/gowin/synth_gowin.o techlibs/gowin/determine_init.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_ffssr.o techlibs/ice40/ice40_ffinit.o techlibs/ice40/ice40_opt.o techlibs/ice40/ice40_unlut.o techlibs/intel/synth_intel.o techlibs/sf2/synth_sf2.o techlibs/sf2/sf2_iobs.o techlibs/xilinx/synth_xilinx.o frontends/ilang/ilang_parser.tab.cc frontends/ilang/ilang_parser.tab.hh frontends/ilang/ilang_parser.output frontends/ilang/ilang_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.hh frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/techmap/techmap.inc techlibs/common/simlib_help.inc techlibs/common/simcells_help.inc techlibs/ice40/brams_init1.vh techlibs/ice40/brams_init2.vh techlibs/ice40/brams_init3.vh techlibs/xilinx/brams_init_36.vh techlibs/xilinx/brams_init_32.vh techlibs/xilinx/brams_init_18.vh techlibs/xilinx/brams_init_16.vh yosys yosys-config yosys-filterlib yosys-smtbmc share/include/kernel/yosys.h share/include/kernel/hashlib.h share/include/kernel/log.h share/include/kernel/rtlil.h share/include/kernel/register.h share/include/kernel/celltypes.h share/include/kernel/celledges.h share/include/kernel/consteval.h share/include/kernel/sigtools.h share/include/kernel/modtools.h share/include/kernel/macc.h share/include/kernel/utils.h share/include/kernel/satgen.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/sha1/sha1.h share/include/passes/fsm/fsmdata.h share/include/frontends/ast/ast.h share/include/backends/ilang/ilang_backend.h share/python3/smtio.py share/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_map.v share/anlogic/cells_map.v share/anlogic/arith_map.v share/anlogic/cells_sim.v share/anlogic/eagle_bb.v share/anlogic/drams.txt share/anlogic/drams_map.v share/anlogic/dram_init_16x4.vh share/simlib.v share/simcells.v share/techmap.v share/pmux2mux.v share/adff2dff.v share/dff2ff.v share/gate2lut.v share/cmp2lut.v share/cells.lib share/coolrunner2/cells_latch.v share/coolrunner2/cells_sim.v share/coolrunner2/tff_extract.v share/coolrunner2/xc2_dff.lib share/ecp5/cells_map.v share/ecp5/cells_sim.v share/ecp5/cells_bb.v share/ecp5/drams_map.v share/ecp5/dram.txt share/ecp5/brams_map.v share/ecp5/bram.txt share/ecp5/arith_map.v share/ecp5/latches_map.v share/ecp5/bram_init_1_2_4.vh share/ecp5/bram_init_9_18_36.vh share/ecp5/bram_conn_1.vh share/ecp5/bram_conn_2.vh share/ecp5/bram_conn_4.vh share/ecp5/bram_conn_9.vh share/ecp5/bram_conn_18.vh share/gowin/cells_map.v share/gowin/cells_sim.v share/gowin/arith_map.v share/gowin/brams_map.v share/gowin/bram.txt share/gowin/drams_map.v share/gowin/dram.txt share/gowin/brams_init3.vh share/greenpak4/cells_blackbox.v share/greenpak4/cells_latch.v share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_wip.v share/greenpak4/gp_dff.lib share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/cells_sim.v share/ice40/latches_map.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/brams_init1.vh share/ice40/brams_init2.vh share/ice40/brams_init3.vh share/intel/common/m9k_bb.v share/intel/common/altpll_bb.v share/intel/common/brams.txt share/intel/common/brams_map.v share/intel/max10/cells_sim.v share/intel/a10gx/cells_sim.v share/intel/cyclonev/cells_sim.v share/intel/cyclone10/cells_sim.v share/intel/cycloneiv/cells_sim.v share/intel/cycloneive/cells_sim.v share/intel/max10/cells_map.v share/intel/a10gx/cells_map.v share/intel/cyclonev/cells_map.v share/intel/cyclone10/cells_map.v share/intel/cycloneiv/cells_map.v share/intel/cycloneive/cells_map.v share/sf2/arith_map.v share/sf2/cells_map.v share/sf2/cells_sim.v share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/cells_xtra.v share/xilinx/brams.txt share/xilinx/brams_map.v share/xilinx/brams_bb.v share/xilinx/drams.txt share/xilinx/drams_map.v share/xilinx/arith_map.v share/xilinx/ff_map.v share/xilinx/lut_map.v share/xilinx/brams_init_36.vh share/xilinx/brams_init_32.vh share/xilinx/brams_init_18.vh share/xilinx/brams_init_16.vh passes/pmgen/ice40_dsp_pm.h passes/pmgen/peepopt_pm.h passes/techmap/filterlib.o techlibs/ecp5/brams_init.mk techlibs/ecp5/brams_connect.mk techlibs/ice40/brams_init.mk techlibs/xilinx/brams_init.mk .cc rm -f kernel/version_*.o kernel/version_*.cc abc/abc-[0-9a-f]* abc/libabc-[0-9a-f]*.a rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d rm -rf tests/asicworld/*.out tests/asicworld/*.log rm -rf tests/hana/*.out tests/hana/*.log rm -rf tests/simple/*.out tests/simple/*.log rm -rf tests/memories/*.out tests/memories/*.log tests/memories/*.dmp rm -rf tests/sat/*.log tests/techmap/*.log tests/various/*.log rm -rf tests/bram/temp tests/fsm/temp tests/realmath/temp tests/share/temp tests/smv/temp rm -rf vloghtb/Makefile vloghtb/refdat vloghtb/rtl vloghtb/scripts vloghtb/spec vloghtb/check_yosys vloghtb/vloghammer_tb.tar.bz2 vloghtb/temp vloghtb/log_test_* rm -f tests/svinterfaces/*.log_stdout tests/svinterfaces/*.log_stderr tests/svinterfaces/dut_result.txt tests/svinterfaces/reference_result.txt tests/svinterfaces/a.out tests/svinterfaces/*_syn.v tests/svinterfaces/*.diff rm -f tests/tools/cmp_tbdata make[2]: Leaving directory '/<>' rm -f debian/man/*.1 rm -f Makefile.conf make[1]: Leaving directory '/<>' dh_clean debian/rules build-arch PREFIX=/usr dh build-arch --with=python3 dh_update_autotools_config -a dh_autoreconf -a debian/rules override_dh_auto_configure make[1]: Entering directory '/<>' make config-gcc make[2]: Entering directory '/<>' rm -rf share rm -rf kernel/*.pyh if test -d manual; then cd manual && sh clean.sh; fi find ./PRESENTATION_Intro/ -name 'counter_00.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_01.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_02.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_03.dot' | xargs rm -f find ./ -name '*.aux' | xargs rm -f find ./ -name '*.bbl' | xargs rm -f find ./ -name '*.blg' | xargs rm -f find ./ -name '*.idx' | xargs rm -f find ./ -name '*.log' | xargs rm -f find ./ -name '*.out' | xargs rm -f find ./ -name '*.pdf' | xargs rm -f find ./ -name '*.toc' | xargs rm -f find ./ -name '*.snm' | xargs rm -f find ./ -name '*.nav' | xargs rm -f find ./ -name '*.vrb' | xargs rm -f find ./ -name '*.ok' | xargs rm -f find ./PRESENTATION_ExSyn/ -name '*.dot' | xargs rm -f find ./PRESENTATION_ExOth/ -name '*.dot' | xargs rm -f find ./CHAPTER_Prog/ -name 'stubnets.so' | xargs rm -f find ./CHAPTER_Prog/ -name 'stubnets.d' | xargs rm -f find ./CHAPTER_Prog/ -name '*.log' | xargs rm -f find ./PRESENTATION_ExAdv/ -name '*.dot' | xargs rm -f find ./PRESENTATION_Prog/ -name 'my_cmd.so' | xargs rm -f find ./PRESENTATION_Prog/ -name 'my_cmd.d' | xargs rm -f rm -f kernel/version_1979e0b.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/cellaigs.o kernel/celledges.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o frontends/aiger/aigerparse.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/blif/blifparse.o frontends/ilang/ilang_parser.tab.o frontends/ilang/ilang_lexer.o frontends/ilang/ilang_frontend.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_rmdff.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/ice40_dsp.o passes/pmgen/peepopt.o passes/proc/proc.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/iopadmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dff2dffe.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/dffsr2dff.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dff2dffs.o passes/techmap/flowmap.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/blif/blif.o backends/btor/btor.o backends/edif/edif.o backends/firrtl/firrtl.o backends/ilang/ilang_backend.o backends/intersynth/intersynth.o backends/json/json.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_determine_init.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/ecp5/ecp5_ffinit.o techlibs/gowin/synth_gowin.o techlibs/gowin/determine_init.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_ffssr.o techlibs/ice40/ice40_ffinit.o techlibs/ice40/ice40_opt.o techlibs/ice40/ice40_unlut.o techlibs/intel/synth_intel.o techlibs/sf2/synth_sf2.o techlibs/sf2/sf2_iobs.o techlibs/xilinx/synth_xilinx.o frontends/ilang/ilang_parser.tab.cc frontends/ilang/ilang_parser.tab.hh frontends/ilang/ilang_parser.output frontends/ilang/ilang_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.hh frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/techmap/techmap.inc techlibs/common/simlib_help.inc techlibs/common/simcells_help.inc techlibs/ice40/brams_init1.vh techlibs/ice40/brams_init2.vh techlibs/ice40/brams_init3.vh techlibs/xilinx/brams_init_36.vh techlibs/xilinx/brams_init_32.vh techlibs/xilinx/brams_init_18.vh techlibs/xilinx/brams_init_16.vh yosys yosys-config yosys-filterlib yosys-smtbmc share/include/kernel/yosys.h share/include/kernel/hashlib.h share/include/kernel/log.h share/include/kernel/rtlil.h share/include/kernel/register.h share/include/kernel/celltypes.h share/include/kernel/celledges.h share/include/kernel/consteval.h share/include/kernel/sigtools.h share/include/kernel/modtools.h share/include/kernel/macc.h share/include/kernel/utils.h share/include/kernel/satgen.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/sha1/sha1.h share/include/passes/fsm/fsmdata.h share/include/frontends/ast/ast.h share/include/backends/ilang/ilang_backend.h share/python3/smtio.py share/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_map.v share/anlogic/cells_map.v share/anlogic/arith_map.v share/anlogic/cells_sim.v share/anlogic/eagle_bb.v share/anlogic/drams.txt share/anlogic/drams_map.v share/anlogic/dram_init_16x4.vh share/simlib.v share/simcells.v share/techmap.v share/pmux2mux.v share/adff2dff.v share/dff2ff.v share/gate2lut.v share/cmp2lut.v share/cells.lib share/coolrunner2/cells_latch.v share/coolrunner2/cells_sim.v share/coolrunner2/tff_extract.v share/coolrunner2/xc2_dff.lib share/ecp5/cells_map.v share/ecp5/cells_sim.v share/ecp5/cells_bb.v share/ecp5/drams_map.v share/ecp5/dram.txt share/ecp5/brams_map.v share/ecp5/bram.txt share/ecp5/arith_map.v share/ecp5/latches_map.v share/ecp5/bram_init_1_2_4.vh share/ecp5/bram_init_9_18_36.vh share/ecp5/bram_conn_1.vh share/ecp5/bram_conn_2.vh share/ecp5/bram_conn_4.vh share/ecp5/bram_conn_9.vh share/ecp5/bram_conn_18.vh share/gowin/cells_map.v share/gowin/cells_sim.v share/gowin/arith_map.v share/gowin/brams_map.v share/gowin/bram.txt share/gowin/drams_map.v share/gowin/dram.txt share/gowin/brams_init3.vh share/greenpak4/cells_blackbox.v share/greenpak4/cells_latch.v share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_wip.v share/greenpak4/gp_dff.lib share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/cells_sim.v share/ice40/latches_map.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/brams_init1.vh share/ice40/brams_init2.vh share/ice40/brams_init3.vh share/intel/common/m9k_bb.v share/intel/common/altpll_bb.v share/intel/common/brams.txt share/intel/common/brams_map.v share/intel/max10/cells_sim.v share/intel/a10gx/cells_sim.v share/intel/cyclonev/cells_sim.v share/intel/cyclone10/cells_sim.v share/intel/cycloneiv/cells_sim.v share/intel/cycloneive/cells_sim.v share/intel/max10/cells_map.v share/intel/a10gx/cells_map.v share/intel/cyclonev/cells_map.v share/intel/cyclone10/cells_map.v share/intel/cycloneiv/cells_map.v share/intel/cycloneive/cells_map.v share/sf2/arith_map.v share/sf2/cells_map.v share/sf2/cells_sim.v share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/cells_xtra.v share/xilinx/brams.txt share/xilinx/brams_map.v share/xilinx/brams_bb.v share/xilinx/drams.txt share/xilinx/drams_map.v share/xilinx/arith_map.v share/xilinx/ff_map.v share/xilinx/lut_map.v share/xilinx/brams_init_36.vh share/xilinx/brams_init_32.vh share/xilinx/brams_init_18.vh share/xilinx/brams_init_16.vh passes/pmgen/ice40_dsp_pm.h passes/pmgen/peepopt_pm.h passes/techmap/filterlib.o techlibs/ecp5/brams_init.mk techlibs/ecp5/brams_connect.mk techlibs/ice40/brams_init.mk techlibs/xilinx/brams_init.mk .cc rm -f kernel/version_*.o kernel/version_*.cc abc/abc-[0-9a-f]* abc/libabc-[0-9a-f]*.a rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d rm -rf tests/asicworld/*.out tests/asicworld/*.log rm -rf tests/hana/*.out tests/hana/*.log rm -rf tests/simple/*.out tests/simple/*.log rm -rf tests/memories/*.out tests/memories/*.log tests/memories/*.dmp rm -rf tests/sat/*.log tests/techmap/*.log tests/various/*.log rm -rf tests/bram/temp tests/fsm/temp tests/realmath/temp tests/share/temp tests/smv/temp rm -rf vloghtb/Makefile vloghtb/refdat vloghtb/rtl vloghtb/scripts vloghtb/spec vloghtb/check_yosys vloghtb/vloghammer_tb.tar.bz2 vloghtb/temp vloghtb/log_test_* rm -f tests/svinterfaces/*.log_stdout tests/svinterfaces/*.log_stderr tests/svinterfaces/dut_result.txt tests/svinterfaces/reference_result.txt tests/svinterfaces/a.out tests/svinterfaces/*_syn.v tests/svinterfaces/*.diff rm -f tests/tools/cmp_tbdata echo 'CONFIG := gcc' > Makefile.conf make[2]: Leaving directory '/<>' make[1]: Leaving directory '/<>' debian/rules override_dh_auto_build-arch make[1]: Entering directory '/<>' dh_auto_build --parallel -- all make -j4 "INSTALL=install --strip-program=true" all make[2]: Entering directory '/<>' [Makefile.conf] CONFIG := gcc rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc mkdir -p kernel/ mkdir -p techlibs/common gcc -o kernel/driver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/driver.cc mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys 0.9 (git sha1 1979e0b)\"; }" > kernel/version_1979e0b.cc mkdir -p techlibs/common python3 techlibs/common/cellhelp.py techlibs/common/simlib.v > techlibs/common/simlib_help.inc.new python3 techlibs/common/cellhelp.py techlibs/common/simcells.v > techlibs/common/simcells_help.inc.new mkdir -p kernel/ gcc -o kernel/rtlil.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/rtlil.cc mv techlibs/common/simlib_help.inc.new techlibs/common/simlib_help.inc mv techlibs/common/simcells_help.inc.new techlibs/common/simcells_help.inc mkdir -p kernel/ mkdir -p kernel/ gcc -o kernel/log.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_SRC='"./"' kernel/log.cc gcc -o kernel/calc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/calc.cc mkdir -p kernel/ gcc -o kernel/yosys.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_DATDIR='"/usr/share/yosys"' kernel/yosys.cc In file included from /usr/include/c++/11/bits/stl_algobase.h:67, from /usr/include/c++/11/bits/stl_tree.h:63, from /usr/include/c++/11/map:60, from ./kernel/yosys.h:42, from kernel/driver.cc:20: /usr/include/c++/11/bits/stl_iterator.h: In function ‘std::reverse_iterator<_Iterator>::reference std::reverse_iterator<_Iterator>::operator*() const [with _Iterator = std::_Rb_tree_const_iterator, std::allocator > > >]’: /usr/include/c++/11/bits/stl_iterator.h:241:7: note: parameter passing for argument of type ‘const std::_Rb_tree_const_iterator, std::allocator > > >’ changed in GCC 7.1 241 | operator*() const | ^~~~~~~~ mkdir -p kernel/ gcc -o kernel/cellaigs.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cellaigs.cc mkdir -p kernel/ gcc -o kernel/celledges.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/celledges.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigIntegerAlgorithms.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerAlgorithms.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigInteger.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigInteger.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigIntegerUtils.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerUtils.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigUnsigned.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsigned.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigUnsignedInABase.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsignedInABase.cc mkdir -p libs/sha1/ gcc -o libs/sha1/sha1.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/sha1/sha1.cpp mkdir -p libs/subcircuit/ gcc -o libs/subcircuit/subcircuit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/subcircuit/subcircuit.cc mkdir -p libs/ezsat/ gcc -o libs/ezsat/ezsat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezsat.cc mkdir -p libs/ezsat/ gcc -o libs/ezsat/ezminisat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezminisat.cc mkdir -p libs/minisat/ gcc -o libs/minisat/Options.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Options.cc mkdir -p libs/minisat/ gcc -o libs/minisat/SimpSolver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/SimpSolver.cc In file included from libs/minisat/Sort.h:24, from libs/minisat/SimpSolver.cc:27: libs/minisat/Vec.h: In instantiation of ‘void Minisat::vec::capacity(Minisat::vec::Size) [with T = Minisat::vec; _Size = int; Minisat::vec::Size = int]’: libs/minisat/Vec.h:119:13: required from ‘void Minisat::vec::growTo(Minisat::vec::Size) [with T = Minisat::vec; _Size = int; Minisat::vec::Size = int]’ libs/minisat/IntMap.h:48:58: required from ‘void Minisat::IntMap::reserve(K) [with K = int; V = Minisat::vec; MkIndex = Minisat::MkIndexDefault]’ libs/minisat/SolverTypes.h:338:49: required from ‘void Minisat::OccLists::init(const K&) [with K = int; Vec = Minisat::vec; Deleted = Minisat::SimpSolver::ClauseDeleted; MkIndex = Minisat::MkIndexDefault]’ libs/minisat/SimpSolver.cc:92:26: required from here libs/minisat/Vec.h:103:33: warning: ‘void* realloc(void*, size_t)’ moving an object of non-trivially copyable type ‘class Minisat::vec’; use ‘new’ and ‘delete’ instead [-Wclass-memaccess] 103 | || (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) ) | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from libs/minisat/Sort.h:24, from libs/minisat/SimpSolver.cc:27: libs/minisat/Vec.h:39:7: note: ‘class Minisat::vec’ declared here 39 | class vec { | ^~~ mkdir -p libs/minisat/ gcc -o libs/minisat/Solver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Solver.cc In file included from libs/minisat/Alg.h:24, from libs/minisat/Solver.cc:29: libs/minisat/Vec.h: In instantiation of ‘void Minisat::vec::capacity(Minisat::vec::Size) [with T = Minisat::vec; _Size = int; Minisat::vec::Size = int]’: libs/minisat/Vec.h:119:13: required from ‘void Minisat::vec::growTo(Minisat::vec::Size) [with T = Minisat::vec; _Size = int; Minisat::vec::Size = int]’ libs/minisat/IntMap.h:48:58: required from ‘void Minisat::IntMap::reserve(K) [with K = Minisat::Lit; V = Minisat::vec; MkIndex = Minisat::MkIndexLit]’ libs/minisat/SolverTypes.h:338:49: required from ‘void Minisat::OccLists::init(const K&) [with K = Minisat::Lit; Vec = Minisat::vec; Deleted = Minisat::Solver::WatcherDeleted; MkIndex = Minisat::MkIndexLit]’ libs/minisat/Solver.cc:134:19: required from here libs/minisat/Vec.h:103:33: warning: ‘void* realloc(void*, size_t)’ moving an object of non-trivially copyable type ‘class Minisat::vec’; use ‘new’ and ‘delete’ instead [-Wclass-memaccess] 103 | || (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) ) | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from libs/minisat/Alg.h:24, from libs/minisat/Solver.cc:29: libs/minisat/Vec.h:39:7: note: ‘class Minisat::vec’ declared here 39 | class vec { | ^~~ mkdir -p libs/minisat/ gcc -o libs/minisat/System.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/System.cc mkdir -p frontends/aiger/ gcc -o frontends/aiger/aigerparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/aiger/aigerparse.cc mkdir -p frontends/ast/ gcc -o frontends/ast/ast.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast.cc mkdir -p frontends/ast/ gcc -o frontends/ast/simplify.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/simplify.cc mkdir -p frontends/ast/ gcc -o frontends/ast/genrtlil.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/genrtlil.cc mkdir -p frontends/ast/ gcc -o frontends/ast/dpicall.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/dpicall.cc mkdir -p frontends/blif/ gcc -o frontends/blif/blifparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/blif/blifparse.cc mkdir -p frontends/ilang/ bison -o frontends/ilang/ilang_parser.tab.cc -d -r all -b frontends/ilang/ilang_parser frontends/ilang/ilang_parser.y mkdir -p frontends/ilang/ flex -o frontends/ilang/ilang_lexer.cc frontends/ilang/ilang_lexer.l mkdir -p frontends/ilang/ gcc -o frontends/ilang/ilang_frontend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ilang/ilang_frontend.cc mkdir -p frontends/json/ gcc -o frontends/json/jsonparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/json/jsonparse.cc mkdir -p frontends/liberty/ gcc -o frontends/liberty/liberty.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/liberty/liberty.cc mkdir -p frontends/verific/ gcc -o frontends/verific/verific.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verific/verific.cc mkdir -p frontends/verilog/ bison -o frontends/verilog/verilog_parser.tab.cc -d -r all -b frontends/verilog/verilog_parser frontends/verilog/verilog_parser.y mkdir -p frontends/verilog/ flex -o frontends/verilog/verilog_lexer.cc frontends/verilog/verilog_lexer.l mkdir -p frontends/verilog/ gcc -o frontends/verilog/preproc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/preproc.cc mkdir -p frontends/verilog/ gcc -o frontends/verilog/verilog_frontend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_frontend.cc mkdir -p frontends/verilog/ gcc -o frontends/verilog/const2ast.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/const2ast.cc mkdir -p passes/cmds/ gcc -o passes/cmds/add.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/add.cc mkdir -p passes/cmds/ gcc -o passes/cmds/delete.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/delete.cc mkdir -p passes/cmds/ gcc -o passes/cmds/design.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/design.cc mkdir -p passes/cmds/ gcc -o passes/cmds/select.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/select.cc mkdir -p passes/cmds/ gcc -o passes/cmds/show.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/show.cc mkdir -p passes/cmds/ gcc -o passes/cmds/rename.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/rename.cc mkdir -p passes/cmds/ gcc -o passes/cmds/connect.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connect.cc mkdir -p passes/cmds/ gcc -o passes/cmds/scatter.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scatter.cc mkdir -p passes/cmds/ gcc -o passes/cmds/setundef.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setundef.cc mkdir -p passes/cmds/ gcc -o passes/cmds/splitnets.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splitnets.cc mkdir -p passes/cmds/ gcc -o passes/cmds/stat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/stat.cc mkdir -p passes/cmds/ gcc -o passes/cmds/setattr.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setattr.cc In file included from /usr/include/c++/11/vector:72, from ./kernel/yosys.h:45, from ./kernel/register.h:20, from passes/cmds/stat.cc:20: /usr/include/c++/11/bits/vector.tcc: In member function ‘void std::vector<_Tp, _Alloc>::_M_realloc_insert(std::vector<_Tp, _Alloc>::iterator, _Args&& ...) [with _Args = {Yosys::hashlib::dict::entry_t}; _Tp = Yosys::hashlib::dict::entry_t; _Alloc = std::allocator::entry_t>]’: /usr/include/c++/11/bits/vector.tcc:426:7: note: parameter passing for argument of type ‘std::vector::entry_t, std::allocator::entry_t> >::iterator’ changed in GCC 7.1 426 | vector<_Tp, _Alloc>:: | ^~~~~~~~~~~~~~~~~~~ /usr/include/c++/11/bits/vector.tcc: In member function ‘void std::vector<_Tp, _Alloc>::emplace_back(_Args&& ...) [with _Args = {Yosys::hashlib::dict::entry_t}; _Tp = Yosys::hashlib::dict::entry_t; _Alloc = std::allocator::entry_t>]’: /usr/include/c++/11/bits/vector.tcc:121:28: note: parameter passing for argument of type ‘__gnu_cxx::__normal_iterator::entry_t*, std::vector::entry_t, std::allocator::entry_t> > >’ changed in GCC 7.1 121 | _M_realloc_insert(end(), std::forward<_Args>(__args)...); | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ mkdir -p passes/cmds/ gcc -o passes/cmds/copy.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/copy.cc mkdir -p passes/cmds/ gcc -o passes/cmds/splice.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splice.cc mkdir -p passes/cmds/ gcc -o passes/cmds/scc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scc.cc mkdir -p passes/cmds/ gcc -o passes/cmds/torder.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/torder.cc mkdir -p passes/cmds/ gcc -o passes/cmds/logcmd.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logcmd.cc mkdir -p passes/cmds/ gcc -o passes/cmds/tee.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/tee.cc mkdir -p passes/cmds/ gcc -o passes/cmds/write_file.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/write_file.cc mkdir -p passes/cmds/ gcc -o passes/cmds/connwrappers.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connwrappers.cc mkdir -p passes/cmds/ gcc -o passes/cmds/cover.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/cover.cc mkdir -p passes/cmds/ gcc -o passes/cmds/trace.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/trace.cc mkdir -p passes/cmds/ gcc -o passes/cmds/plugin.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/plugin.cc mkdir -p passes/cmds/ gcc -o passes/cmds/check.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/check.cc mkdir -p passes/cmds/ gcc -o passes/cmds/qwp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/qwp.cc mkdir -p passes/cmds/ gcc -o passes/cmds/edgetypes.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/edgetypes.cc mkdir -p passes/cmds/ gcc -o passes/cmds/chformal.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chformal.cc In file included from /usr/include/c++/11/vector:72, from ./kernel/yosys.h:45, from passes/cmds/qwp.cc:20: /usr/include/c++/11/bits/vector.tcc: In member function ‘void std::vector<_Tp, _Alloc>::_M_realloc_insert(std::vector<_Tp, _Alloc>::iterator, _Args&& ...) [with _Args = {const {anonymous}::QwpWorker::Node&}; _Tp = {anonymous}::QwpWorker::Node; _Alloc = std::allocator<{anonymous}::QwpWorker::Node>]’: /usr/include/c++/11/bits/vector.tcc:426:7: note: parameter passing for argument of type ‘std::vector<{anonymous}::QwpWorker::Node>::iterator’ changed in GCC 7.1 426 | vector<_Tp, _Alloc>:: | ^~~~~~~~~~~~~~~~~~~ /usr/include/c++/11/bits/vector.tcc: In member function ‘void std::vector<_Tp, _Alloc>::emplace_back(_Args&& ...) [with _Args = {{anonymous}::QwpWorker::Node}; _Tp = {anonymous}::QwpWorker::Node; _Alloc = std::allocator<{anonymous}::QwpWorker::Node>]’: /usr/include/c++/11/bits/vector.tcc:121:28: note: parameter passing for argument of type ‘__gnu_cxx::__normal_iterator<{anonymous}::QwpWorker::Node*, std::vector<{anonymous}::QwpWorker::Node> >’ changed in GCC 7.1 121 | _M_realloc_insert(end(), std::forward<_Args>(__args)...); | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from /usr/include/c++/11/vector:67, from ./kernel/yosys.h:45, from passes/cmds/qwp.cc:20: /usr/include/c++/11/bits/stl_vector.h: In member function ‘void std::vector<_Tp, _Alloc>::push_back(const value_type&) [with _Tp = {anonymous}::QwpWorker::Node; _Alloc = std::allocator<{anonymous}::QwpWorker::Node>]’: /usr/include/c++/11/bits/stl_vector.h:1198:28: note: parameter passing for argument of type ‘__gnu_cxx::__normal_iterator<{anonymous}::QwpWorker::Node*, std::vector<{anonymous}::QwpWorker::Node> >’ changed in GCC 7.1 1198 | _M_realloc_insert(end(), __x); | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~ In file included from /usr/include/c++/11/vector:72, from ./kernel/yosys.h:45, from passes/cmds/qwp.cc:20: /usr/include/c++/11/bits/vector.tcc: In member function ‘void std::vector<_Tp, _Alloc>::_M_realloc_insert(std::vector<_Tp, _Alloc>::iterator, _Args&& ...) [with _Args = {const double&}; _Tp = double; _Alloc = std::allocator]’: /usr/include/c++/11/bits/vector.tcc:426:7: note: parameter passing for argument of type ‘std::vector::iterator’ changed in GCC 7.1 426 | vector<_Tp, _Alloc>:: | ^~~~~~~~~~~~~~~~~~~ In file included from /usr/include/c++/11/vector:67, from ./kernel/yosys.h:45, from passes/cmds/qwp.cc:20: /usr/include/c++/11/bits/stl_vector.h: In member function ‘void std::vector<_Tp, _Alloc>::push_back(const value_type&) [with _Tp = double; _Alloc = std::allocator]’: /usr/include/c++/11/bits/stl_vector.h:1198:28: note: parameter passing for argument of type ‘__gnu_cxx::__normal_iterator >’ changed in GCC 7.1 1198 | _M_realloc_insert(end(), __x); | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~ In file included from /usr/include/c++/11/vector:72, from ./kernel/yosys.h:45, from passes/cmds/qwp.cc:20: /usr/include/c++/11/bits/vector.tcc: In member function ‘void std::vector<_Tp, _Alloc>::_M_realloc_insert(std::vector<_Tp, _Alloc>::iterator, _Args&& ...) [with _Args = {std::pair}; _Tp = std::pair; _Alloc = std::allocator >]’: /usr/include/c++/11/bits/vector.tcc:426:7: note: parameter passing for argument of type ‘std::vector >::iterator’ changed in GCC 7.1 426 | vector<_Tp, _Alloc>:: | ^~~~~~~~~~~~~~~~~~~ /usr/include/c++/11/bits/vector.tcc: In member function ‘void std::vector<_Tp, _Alloc>::_M_realloc_insert(std::vector<_Tp, _Alloc>::iterator, _Args&& ...) [with _Args = {Yosys::hashlib::dict::entry_t}; _Tp = Yosys::hashlib::dict::entry_t; _Alloc = std::allocator::entry_t>]’: /usr/include/c++/11/bits/vector.tcc:426:7: note: parameter passing for argument of type ‘std::vector::entry_t, std::allocator::entry_t> >::iterator’ changed in GCC 7.1 /usr/include/c++/11/bits/vector.tcc: In member function ‘void std::vector<_Tp, _Alloc>::emplace_back(_Args&& ...) [with _Args = {Yosys::hashlib::dict::entry_t}; _Tp = Yosys::hashlib::dict::entry_t; _Alloc = std::allocator::entry_t>]’: /usr/include/c++/11/bits/vector.tcc:121:28: note: parameter passing for argument of type ‘__gnu_cxx::__normal_iterator::entry_t*, std::vector::entry_t, std::allocator::entry_t> > >’ changed in GCC 7.1 121 | _M_realloc_insert(end(), std::forward<_Args>(__args)...); | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ mkdir -p passes/cmds/ gcc -o passes/cmds/chtype.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chtype.cc /usr/include/c++/11/bits/vector.tcc: In member function ‘void std::vector<_Tp, _Alloc>::_M_realloc_insert(std::vector<_Tp, _Alloc>::iterator, _Args&& ...) [with _Args = {Yosys::hashlib::dict, double>::entry_t}; _Tp = Yosys::hashlib::dict, double>::entry_t; _Alloc = std::allocator, double>::entry_t>]’: /usr/include/c++/11/bits/vector.tcc:426:7: note: parameter passing for argument of type ‘std::vector, double>::entry_t, std::allocator, double>::entry_t> >::iterator’ changed in GCC 7.1 426 | vector<_Tp, _Alloc>:: | ^~~~~~~~~~~~~~~~~~~ /usr/include/c++/11/bits/vector.tcc: In member function ‘void std::vector<_Tp, _Alloc>::emplace_back(_Args&& ...) [with _Args = {Yosys::hashlib::dict, double>::entry_t}; _Tp = Yosys::hashlib::dict, double>::entry_t; _Alloc = std::allocator, double>::entry_t>]’: /usr/include/c++/11/bits/vector.tcc:121:28: note: parameter passing for argument of type ‘__gnu_cxx::__normal_iterator, double>::entry_t*, std::vector, double>::entry_t, std::allocator, double>::entry_t> > >’ changed in GCC 7.1 121 | _M_realloc_insert(end(), std::forward<_Args>(__args)...); | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from /usr/include/c++/11/bits/stl_algo.h:61, from /usr/include/c++/11/algorithm:62, from ./kernel/yosys.h:47, from passes/cmds/qwp.cc:20: /usr/include/c++/11/bits/stl_heap.h: In function ‘void std::__adjust_heap(_RandomAccessIterator, _Distance, _Distance, _Tp, _Compare) [with _RandomAccessIterator = __gnu_cxx::__normal_iterator*, std::vector > >; _Distance = int; _Tp = std::pair; _Compare = __gnu_cxx::__ops::_Iter_less_iter]’: /usr/include/c++/11/bits/stl_heap.h:223:5: note: parameter passing for argument of type ‘__gnu_cxx::__normal_iterator*, std::vector > >’ changed in GCC 7.1 223 | __adjust_heap(_RandomAccessIterator __first, _Distance __holeIndex, | ^~~~~~~~~~~~~ /usr/include/c++/11/bits/stl_heap.h: In function ‘void std::__pop_heap(_RandomAccessIterator, _RandomAccessIterator, _RandomAccessIterator, _Compare&) [with _RandomAccessIterator = __gnu_cxx::__normal_iterator*, std::vector > >; _Compare = __gnu_cxx::__ops::_Iter_less_iter]’: /usr/include/c++/11/bits/stl_heap.h:253:5: note: parameter passing for argument of type ‘__gnu_cxx::__normal_iterator*, std::vector > >’ changed in GCC 7.1 253 | __pop_heap(_RandomAccessIterator __first, _RandomAccessIterator __last, | ^~~~~~~~~~ /usr/include/c++/11/bits/stl_heap.h:253:5: note: parameter passing for argument of type ‘__gnu_cxx::__normal_iterator*, std::vector > >’ changed in GCC 7.1 /usr/include/c++/11/bits/stl_heap.h:253:5: note: parameter passing for argument of type ‘__gnu_cxx::__normal_iterator*, std::vector > >’ changed in GCC 7.1 In file included from /usr/include/c++/11/algorithm:62, from ./kernel/yosys.h:47, from passes/cmds/qwp.cc:20: /usr/include/c++/11/bits/stl_algo.h: In function ‘void std::__unguarded_linear_insert(_RandomAccessIterator, _Compare) [with _RandomAccessIterator = __gnu_cxx::__normal_iterator*, std::vector > >; _Compare = __gnu_cxx::__ops::_Val_less_iter]’: /usr/include/c++/11/bits/stl_algo.h:1799:5: note: parameter passing for argument of type ‘__gnu_cxx::__normal_iterator*, std::vector > >’ changed in GCC 7.1 1799 | __unguarded_linear_insert(_RandomAccessIterator __last, | ^~~~~~~~~~~~~~~~~~~~~~~~~ /usr/include/c++/11/bits/stl_algo.h: In function ‘void std::__insertion_sort(_RandomAccessIterator, _RandomAccessIterator, _Compare) [with _RandomAccessIterator = __gnu_cxx::__normal_iterator*, std::vector > >; _Compare = __gnu_cxx::__ops::_Iter_less_iter]’: /usr/include/c++/11/bits/stl_algo.h:1819:5: note: parameter passing for argument of type ‘__gnu_cxx::__normal_iterator*, std::vector > >’ changed in GCC 7.1 1819 | __insertion_sort(_RandomAccessIterator __first, | ^~~~~~~~~~~~~~~~ /usr/include/c++/11/bits/stl_algo.h:1819:5: note: parameter passing for argument of type ‘__gnu_cxx::__normal_iterator*, std::vector > >’ changed in GCC 7.1 /usr/include/c++/11/bits/stl_algo.h: In function ‘void std::__introsort_loop(_RandomAccessIterator, _RandomAccessIterator, _Size, _Compare) [with _RandomAccessIterator = __gnu_cxx::__normal_iterator*, std::vector > >; _Size = int; _Compare = __gnu_cxx::__ops::_Iter_less_iter]’: /usr/include/c++/11/bits/stl_algo.h:1925:5: note: parameter passing for argument of type ‘__gnu_cxx::__normal_iterator*, std::vector > >’ changed in GCC 7.1 1925 | __introsort_loop(_RandomAccessIterator __first, | ^~~~~~~~~~~~~~~~ /usr/include/c++/11/bits/stl_algo.h:1925:5: note: parameter passing for argument of type ‘__gnu_cxx::__normal_iterator*, std::vector > >’ changed in GCC 7.1 In file included from /usr/include/c++/11/bits/stl_algo.h:61, from /usr/include/c++/11/algorithm:62, from ./kernel/yosys.h:47, from passes/cmds/qwp.cc:20: /usr/include/c++/11/bits/stl_heap.h:424:26: note: parameter passing for argument of type ‘__gnu_cxx::__normal_iterator*, std::vector > >’ changed in GCC 7.1 424 | std::__pop_heap(__first, __last, __last, __comp); | ~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from /usr/include/c++/11/algorithm:62, from ./kernel/yosys.h:47, from passes/cmds/qwp.cc:20: /usr/include/c++/11/bits/stl_algo.h:1939:32: note: parameter passing for argument of type ‘__gnu_cxx::__normal_iterator*, std::vector > >’ changed in GCC 7.1 1939 | std::__introsort_loop(__cut, __last, __depth_limit, __comp); | ~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ /usr/include/c++/11/bits/stl_algo.h: In function ‘void std::sort(_RAIter, _RAIter) [with _RAIter = __gnu_cxx::__normal_iterator*, std::vector > >]’: /usr/include/c++/11/bits/stl_algo.h:4832:5: note: parameter passing for argument of type ‘__gnu_cxx::__normal_iterator*, std::vector > >’ changed in GCC 7.1 4832 | sort(_RandomAccessIterator __first, _RandomAccessIterator __last) | ^~~~ /usr/include/c++/11/bits/stl_algo.h:4832:5: note: parameter passing for argument of type ‘__gnu_cxx::__normal_iterator*, std::vector > >’ changed in GCC 7.1 /usr/include/c++/11/bits/stl_algo.h:1954:32: note: parameter passing for argument of type ‘__gnu_cxx::__normal_iterator*, std::vector > >’ changed in GCC 7.1 1954 | std::__introsort_loop(__first, __last, | ~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~ 1955 | std::__lg(__last - __first) * 2, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 1956 | __comp); | ~~~~~~~ /usr/include/c++/11/bits/stl_algo.h:1866:32: note: parameter passing for argument of type ‘__gnu_cxx::__normal_iterator*, std::vector > >’ changed in GCC 7.1 1866 | std::__insertion_sort(__first, __first + int(_S_threshold), __comp); | ~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ /usr/include/c++/11/bits/stl_algo.h:1871:30: note: parameter passing for argument of type ‘__gnu_cxx::__normal_iterator*, std::vector > >’ changed in GCC 7.1 1871 | std::__insertion_sort(__first, __last, __comp); | ~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~ mkdir -p passes/cmds/ gcc -o passes/cmds/blackbox.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/blackbox.cc mkdir -p passes/cmds/ gcc -o passes/cmds/ltp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/ltp.cc In file included from /usr/include/c++/11/vector:72, from ./kernel/yosys.h:45, from passes/cmds/qwp.cc:20: /usr/include/c++/11/bits/vector.tcc: In member function ‘void {anonymous}::QwpWorker::run_worker(int)’: /usr/include/c++/11/bits/vector.tcc:121:28: note: parameter passing for argument of type ‘__gnu_cxx::__normal_iterator*, std::vector > >’ changed in GCC 7.1 121 | _M_realloc_insert(end(), std::forward<_Args>(__args)...); | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ passes/cmds/qwp.cc:526:26: note: parameter passing for argument of type ‘__gnu_cxx::__normal_iterator*, std::vector > >’ changed in GCC 7.1 526 | std::sort(sorted_pos.begin(), sorted_pos.end()); | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ mkdir -p passes/cmds/ gcc -o passes/cmds/bugpoint.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/bugpoint.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_make.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_make.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_miter.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_miter.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_simple.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_simple.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_status.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_status.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_add.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_add.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_remove.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_remove.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_induct.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_induct.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_struct.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_struct.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_purge.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_purge.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_mark.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_mark.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_opt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_opt.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_detect.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_detect.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_extract.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_extract.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_opt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_opt.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_expand.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_expand.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_recode.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_recode.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_info.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_info.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_export.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_export.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_map.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_map.cc mkdir -p passes/hierarchy/ gcc -o passes/hierarchy/hierarchy.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/hierarchy.cc mkdir -p passes/hierarchy/ gcc -o passes/hierarchy/uniquify.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/uniquify.cc mkdir -p passes/hierarchy/ gcc -o passes/hierarchy/submod.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/submod.cc mkdir -p passes/memory/ gcc -o passes/memory/memory.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_dff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_dff.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_share.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_share.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_collect.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_collect.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_unpack.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_unpack.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_bram.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bram.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_map.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_map.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_memx.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_memx.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_nordff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_nordff.cc mkdir -p passes/opt/ gcc -o passes/opt/opt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_merge.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_merge.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_muxtree.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_muxtree.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_reduce.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_reduce.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_rmdff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_rmdff.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_clean.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_clean.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_expr.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_expr.cc mkdir -p passes/opt/ gcc -o passes/opt/share.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/share.cc mkdir -p passes/opt/ gcc -o passes/opt/wreduce.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/wreduce.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_demorgan.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_demorgan.cc mkdir -p passes/opt/ gcc -o passes/opt/rmports.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/rmports.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_lut.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_lut.cc mkdir -p passes/opt/ gcc -o passes/opt/pmux2shiftx.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/pmux2shiftx.cc mkdir -p passes/opt/ gcc -o passes/opt/muxpack.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/muxpack.cc mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/ice40_dsp_pm.h -p ice40_dsp passes/pmgen/ice40_dsp.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/peepopt_pm.h -p peepopt passes/pmgen/peepopt_shiftmul.pmg passes/pmgen/peepopt_muldiv.pmg mkdir -p passes/proc/ gcc -o passes/proc/proc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_clean.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_clean.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_rmdead.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rmdead.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_init.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_init.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_arst.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_arst.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_mux.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_mux.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_dlatch.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dlatch.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_dff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dff.cc mkdir -p passes/sat/ gcc -o passes/sat/sat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sat.cc mkdir -p passes/sat/ gcc -o passes/sat/freduce.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<>=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/freduce.cc passes/sat/freduce.cc: In member function ‘void {anonymous}::PerformReduction::analyze(std::vector >&, std::map&, std::vector&, std::string, std::string)’: passes/sat/freduce.cc:457:55: error: ‘numeric_limits’ is not a member of ‘std’ 457 | out_depth[idx] = std::numeric_limits::max(); | ^~~~~~~~~~~~~~ passes/sat/freduce.cc:457:70: error: expected primary-expression before ‘int’ 457 | out_depth[idx] = std::numeric_limits::max(); | ^~~ passes/sat/freduce.cc: In member function ‘void {anonymous}::PerformReduction::analyze(std::vector >&, int)’: passes/sat/freduce.cc:531:55: error: ‘numeric_limits’ is not a member of ‘std’ 531 | out_depth[idx] = std::numeric_limits::max(); | ^~~~~~~~~~~~~~ passes/sat/freduce.cc:531:70: error: expected primary-expression before ‘int’ 531 | out_depth[idx] = std::numeric_limits::max(); | ^~~ make[2]: *** [Makefile:599: passes/sat/freduce.o] Error 1 make[2]: *** Waiting for unfinished jobs.... make[2]: Leaving directory '/<>' dh_auto_build: error: make -j4 "INSTALL=install --strip-program=true" all returned exit code 2 make[1]: *** [debian/rules:41: override_dh_auto_build-arch] Error 25 make[1]: Leaving directory '/<>' make: *** [debian/rules:18: build-arch] Error 2 dpkg-buildpackage.pl: error: debian/rules build-arch subprocess returned exit status 2 === USAGE-SUMMARY BEGIN === SUMMARY: host: bos02-arm64-020; CPUs: 4/4; CPU avg: 92%; CPU max: 100%; base memory: 0.2 GB; peak memory: 1.2 GB; total memory: 7.8 GB SUMMARY: swap peak/total: 0.0/0.0 GB; disk start/end/total: 2.8/3.0/58.0 GB; disk delta: 0.2 GB === USAGE-SUMMARY END === -------------------------------------------------------------------------------- Build finished at 2021-09-02T22:02:27Z Finished -------- +------------------------------------------------------------------------------+ | Cleanup | +------------------------------------------------------------------------------+ Purging /<> Not removing build depends: as requested E: Build failure (dpkg-buildpackage died) +------------------------------------------------------------------------------+ | Summary | +------------------------------------------------------------------------------+ Build Architecture: armhf Build Type: any Build-Space: n/a Build-Time: 402 Distribution: impish Fail-Stage: build Host Architecture: armhf Install-Time: 26 Job: yosys_0.9-1build4.dsc Machine Architecture: arm64 Package: yosys Package-Time: 432 Source-Version: 0.9-1build4 Space: n/a Status: attempted Version: 0.9-1build4 -------------------------------------------------------------------------------- Finished at 2021-09-02T22:02:27Z Build needed 00:07:12, no disk space E: Build failure (dpkg-buildpackage died) Adding user buildd to group lxd RUN: /usr/share/launchpad-buildd/bin/in-target scan-for-processes --backend=chroot --series=impish --arch=armhf PACKAGEBUILD-21951341 Scanning for processes to kill in build PACKAGEBUILD-21951341