https://launchpad.net/ubuntu/+source/ghdl/0.37+dfsg-1ubuntu1/+build/19139109 RUN: /usr/share/launchpad-buildd/bin/builder-prep Kernel version: Linux bos02-ppc64el-003 4.4.0-177-generic #207-Ubuntu SMP Mon Mar 16 01:16:18 UTC 2020 ppc64le Buildd toolchain package versions: launchpad-buildd_189 python-lpbuildd_189 sbuild_0.67.0-2ubuntu7.1 bzr-builder_0.7.3+bzr174~ppa13~ubuntu14.10.1 bzr_2.7.0-2ubuntu3.1 git-build-recipe_0.3.6~git201906051340.ff11471~ubuntu16.04.1 git_1:2.7.4-0ubuntu1.7 dpkg-dev_1.18.4ubuntu1.6 python-debian_0.1.27ubuntu2. Syncing the system clock with the buildd NTP service... 13 Apr 16:42:47 ntpdate[1884]: adjust time server 10.211.37.1 offset -0.001136 sec RUN: /usr/share/launchpad-buildd/bin/in-target unpack-chroot --backend=chroot --series=focal --arch=ppc64el PACKAGEBUILD-19139109 --image-type chroot /home/buildd/filecache-default/166b04eb51ef919ef51c5d6abef617eef855bc86 Creating target for build PACKAGEBUILD-19139109 RUN: /usr/share/launchpad-buildd/bin/in-target mount-chroot --backend=chroot --series=focal --arch=ppc64el PACKAGEBUILD-19139109 Starting target for build PACKAGEBUILD-19139109 RUN: /usr/share/launchpad-buildd/bin/in-target override-sources-list --backend=chroot --series=focal --arch=ppc64el PACKAGEBUILD-19139109 'deb http://ftpmaster.internal/ubuntu focal main universe' 'deb http://ftpmaster.internal/ubuntu focal-security main universe' 'deb http://ftpmaster.internal/ubuntu focal-updates main universe' 'deb http://ftpmaster.internal/ubuntu focal-proposed main universe' Overriding sources.list in build-PACKAGEBUILD-19139109 RUN: /usr/share/launchpad-buildd/bin/in-target update-debian-chroot --backend=chroot --series=focal --arch=ppc64el PACKAGEBUILD-19139109 Updating target for build PACKAGEBUILD-19139109 Get:1 http://ftpmaster.internal/ubuntu focal InRelease [265 kB] Get:2 http://ftpmaster.internal/ubuntu focal-security InRelease [97.9 kB] Get:3 http://ftpmaster.internal/ubuntu focal-updates InRelease [89.1 kB] Get:4 http://ftpmaster.internal/ubuntu focal-proposed InRelease [265 kB] Get:5 http://ftpmaster.internal/ubuntu focal/main ppc64el Packages [941 kB] Get:6 http://ftpmaster.internal/ubuntu focal/main Translation-en [503 kB] Get:7 http://ftpmaster.internal/ubuntu focal/universe ppc64el Packages [8381 kB] Get:8 http://ftpmaster.internal/ubuntu focal/universe Translation-en [5131 kB] Get:9 http://ftpmaster.internal/ubuntu focal-proposed/main ppc64el Packages [31.0 kB] Get:10 http://ftpmaster.internal/ubuntu focal-proposed/main Translation-en [22.5 kB] Get:11 http://ftpmaster.internal/ubuntu focal-proposed/universe ppc64el Packages [252 kB] Get:12 http://ftpmaster.internal/ubuntu focal-proposed/universe Translation-en [130 kB] Fetched 16.1 MB in 4s (3663 kB/s) Reading package lists... Reading package lists... Building dependency tree... Reading state information... Calculating upgrade... The following NEW packages will be installed: systemd-timesyncd The following packages will be upgraded: apt binutils binutils-common binutils-powerpc64le-linux-gnu bsdutils cpp-9 fdisk g++-9 gcc-10-base gcc-9 gcc-9-base libapparmor1 libapt-pkg6.0 libasan5 libatomic1 libbinutils libblkid1 libc-bin libc-dev-bin libc6 libc6-dev libcc1-0 libctf-nobfd0 libctf0 libfdisk1 libgcc-9-dev libgcc-s1 libgomp1 libitm1 liblsan0 libmount1 libquadmath0 libsmartcols1 libstdc++-9-dev libstdc++6 libsystemd0 libtsan0 libubsan1 libudev1 libuuid1 linux-libc-dev login mount passwd pinentry-curses systemd systemd-sysv util-linux 48 upgraded, 1 newly installed, 0 to remove and 0 not upgraded. Need to get 47.1 MB of archives. After this operation, 630 kB of additional disk space will be used. Get:1 http://ftpmaster.internal/ubuntu focal/main ppc64el bsdutils ppc64el 1:2.34-0.1ubuntu9 [67.4 kB] Get:2 http://ftpmaster.internal/ubuntu focal/main ppc64el login ppc64el 1:4.8.1-1ubuntu4 [224 kB] Get:3 http://ftpmaster.internal/ubuntu focal-proposed/main ppc64el libc6-dev ppc64el 2.31-0ubuntu8 [2515 kB] Get:4 http://ftpmaster.internal/ubuntu focal-proposed/main ppc64el libc-dev-bin ppc64el 2.31-0ubuntu8 [72.8 kB] Get:5 http://ftpmaster.internal/ubuntu focal-proposed/main ppc64el linux-libc-dev ppc64el 5.4.0-24.28 [1123 kB] Get:6 http://ftpmaster.internal/ubuntu focal/main ppc64el libatomic1 ppc64el 10-20200411-0ubuntu1 [9936 B] Get:7 http://ftpmaster.internal/ubuntu focal/main ppc64el libubsan1 ppc64el 10-20200411-0ubuntu1 [148 kB] Get:8 http://ftpmaster.internal/ubuntu focal/main ppc64el libtsan0 ppc64el 10-20200411-0ubuntu1 [326 kB] Get:9 http://ftpmaster.internal/ubuntu focal/main ppc64el libquadmath0 ppc64el 10-20200411-0ubuntu1 [153 kB] Get:10 http://ftpmaster.internal/ubuntu focal/main ppc64el liblsan0 ppc64el 10-20200411-0ubuntu1 [156 kB] Get:11 http://ftpmaster.internal/ubuntu focal/main ppc64el libitm1 ppc64el 10-20200411-0ubuntu1 [28.5 kB] Get:12 http://ftpmaster.internal/ubuntu focal/main ppc64el libgomp1 ppc64el 10-20200411-0ubuntu1 [107 kB] Get:13 http://ftpmaster.internal/ubuntu focal/main ppc64el gcc-10-base ppc64el 10-20200411-0ubuntu1 [19.1 kB] Get:14 http://ftpmaster.internal/ubuntu focal/main ppc64el libgcc-s1 ppc64el 10-20200411-0ubuntu1 [30.4 kB] Get:15 http://ftpmaster.internal/ubuntu focal/main ppc64el libcc1-0 ppc64el 10-20200411-0ubuntu1 [42.0 kB] Get:16 http://ftpmaster.internal/ubuntu focal/main ppc64el libstdc++6 ppc64el 10-20200411-0ubuntu1 [546 kB] Get:17 http://ftpmaster.internal/ubuntu focal-proposed/main ppc64el libc6 ppc64el 2.31-0ubuntu8 [2683 kB] Get:18 http://ftpmaster.internal/ubuntu focal/main ppc64el libblkid1 ppc64el 2.34-0.1ubuntu9 [158 kB] Get:19 http://ftpmaster.internal/ubuntu focal/main ppc64el libuuid1 ppc64el 2.34-0.1ubuntu9 [21.5 kB] Get:20 http://ftpmaster.internal/ubuntu focal/main ppc64el libfdisk1 ppc64el 2.34-0.1ubuntu9 [193 kB] Get:21 http://ftpmaster.internal/ubuntu focal/main ppc64el libmount1 ppc64el 2.34-0.1ubuntu9 [170 kB] Get:22 http://ftpmaster.internal/ubuntu focal/main ppc64el libsmartcols1 ppc64el 2.34-0.1ubuntu9 [112 kB] Get:23 http://ftpmaster.internal/ubuntu focal/main ppc64el fdisk ppc64el 2.34-0.1ubuntu9 [128 kB] Get:24 http://ftpmaster.internal/ubuntu focal/main ppc64el util-linux ppc64el 2.34-0.1ubuntu9 [1065 kB] Get:25 http://ftpmaster.internal/ubuntu focal-proposed/main ppc64el libc-bin ppc64el 2.31-0ubuntu8 [632 kB] Get:26 http://ftpmaster.internal/ubuntu focal/main ppc64el systemd-sysv ppc64el 245.4-2ubuntu1 [10.3 kB] Get:27 http://ftpmaster.internal/ubuntu focal/main ppc64el systemd ppc64el 245.4-2ubuntu1 [4587 kB] Get:28 http://ftpmaster.internal/ubuntu focal/main ppc64el systemd-timesyncd ppc64el 245.4-2ubuntu1 [28.6 kB] Get:29 http://ftpmaster.internal/ubuntu focal-proposed/main ppc64el libapparmor1 ppc64el 2.13.3-7ubuntu5 [38.0 kB] Get:30 http://ftpmaster.internal/ubuntu focal/main ppc64el mount ppc64el 2.34-0.1ubuntu9 [122 kB] Get:31 http://ftpmaster.internal/ubuntu focal/main ppc64el libsystemd0 ppc64el 245.4-2ubuntu1 [310 kB] Get:32 http://ftpmaster.internal/ubuntu focal/main ppc64el libudev1 ppc64el 245.4-2ubuntu1 [91.5 kB] Get:33 http://ftpmaster.internal/ubuntu focal-proposed/main ppc64el libapt-pkg6.0 ppc64el 2.0.2 [899 kB] Get:34 http://ftpmaster.internal/ubuntu focal-proposed/main ppc64el apt ppc64el 2.0.2 [1317 kB] Get:35 http://ftpmaster.internal/ubuntu focal/main ppc64el passwd ppc64el 1:4.8.1-1ubuntu4 [805 kB] Get:36 http://ftpmaster.internal/ubuntu focal/main ppc64el libctf0 ppc64el 2.34-6ubuntu1 [52.8 kB] Get:37 http://ftpmaster.internal/ubuntu focal/main ppc64el binutils ppc64el 2.34-6ubuntu1 [3372 B] Get:38 http://ftpmaster.internal/ubuntu focal/main ppc64el binutils-powerpc64le-linux-gnu ppc64el 2.34-6ubuntu1 [1747 kB] Get:39 http://ftpmaster.internal/ubuntu focal/main ppc64el libbinutils ppc64el 2.34-6ubuntu1 [514 kB] Get:40 http://ftpmaster.internal/ubuntu focal/main ppc64el binutils-common ppc64el 2.34-6ubuntu1 [207 kB] Get:41 http://ftpmaster.internal/ubuntu focal/main ppc64el libctf-nobfd0 ppc64el 2.34-6ubuntu1 [53.3 kB] Get:42 http://ftpmaster.internal/ubuntu focal-proposed/main ppc64el libasan5 ppc64el 9.3.0-10ubuntu2 [408 kB] Get:43 http://ftpmaster.internal/ubuntu focal-proposed/main ppc64el g++-9 ppc64el 9.3.0-10ubuntu2 [7746 kB] Get:44 http://ftpmaster.internal/ubuntu focal-proposed/main ppc64el gcc-9 ppc64el 9.3.0-10ubuntu2 [7555 kB] Get:45 http://ftpmaster.internal/ubuntu focal-proposed/main ppc64el libstdc++-9-dev ppc64el 9.3.0-10ubuntu2 [1750 kB] Get:46 http://ftpmaster.internal/ubuntu focal-proposed/main ppc64el libgcc-9-dev ppc64el 9.3.0-10ubuntu2 [1251 kB] Get:47 http://ftpmaster.internal/ubuntu focal-proposed/main ppc64el cpp-9 ppc64el 9.3.0-10ubuntu2 [6835 kB] Get:48 http://ftpmaster.internal/ubuntu focal-proposed/main ppc64el gcc-9-base ppc64el 9.3.0-10ubuntu2 [19.4 kB] Get:49 http://ftpmaster.internal/ubuntu focal/main ppc64el pinentry-curses ppc64el 1.1.0-3build1 [39.8 kB] debconf: delaying package configuration, since apt-utils is not installed Fetched 47.1 MB in 4s (11.5 MB/s) (Reading database ... 12759 files and directories currently installed.) Preparing to unpack .../bsdutils_1%3a2.34-0.1ubuntu9_ppc64el.deb ... Unpacking bsdutils (1:2.34-0.1ubuntu9) over (1:2.34-0.1ubuntu8) ... Setting up bsdutils (1:2.34-0.1ubuntu9) ... (Reading database ... 12759 files and directories currently installed.) Preparing to unpack .../login_1%3a4.8.1-1ubuntu4_ppc64el.deb ... Unpacking login (1:4.8.1-1ubuntu4) over (1:4.8.1-1ubuntu3) ... Setting up login (1:4.8.1-1ubuntu4) ... (Reading database ... 12759 files and directories currently installed.) Preparing to unpack .../00-libc6-dev_2.31-0ubuntu8_ppc64el.deb ... Unpacking libc6-dev:ppc64el (2.31-0ubuntu8) over (2.31-0ubuntu7) ... Preparing to unpack .../01-libc-dev-bin_2.31-0ubuntu8_ppc64el.deb ... Unpacking libc-dev-bin (2.31-0ubuntu8) over (2.31-0ubuntu7) ... Preparing to unpack .../02-linux-libc-dev_5.4.0-24.28_ppc64el.deb ... Unpacking linux-libc-dev:ppc64el (5.4.0-24.28) over (5.4.0-21.25) ... Preparing to unpack .../03-libatomic1_10-20200411-0ubuntu1_ppc64el.deb ... Unpacking libatomic1:ppc64el (10-20200411-0ubuntu1) over (10-20200324-1ubuntu1) ... Preparing to unpack .../04-libubsan1_10-20200411-0ubuntu1_ppc64el.deb ... Unpacking libubsan1:ppc64el (10-20200411-0ubuntu1) over (10-20200324-1ubuntu1) ... Preparing to unpack .../05-libtsan0_10-20200411-0ubuntu1_ppc64el.deb ... Unpacking libtsan0:ppc64el (10-20200411-0ubuntu1) over (10-20200324-1ubuntu1) ... Preparing to unpack .../06-libquadmath0_10-20200411-0ubuntu1_ppc64el.deb ... Unpacking libquadmath0:ppc64el (10-20200411-0ubuntu1) over (10-20200324-1ubuntu1) ... Preparing to unpack .../07-liblsan0_10-20200411-0ubuntu1_ppc64el.deb ... Unpacking liblsan0:ppc64el (10-20200411-0ubuntu1) over (10-20200324-1ubuntu1) ... Preparing to unpack .../08-libitm1_10-20200411-0ubuntu1_ppc64el.deb ... Unpacking libitm1:ppc64el (10-20200411-0ubuntu1) over (10-20200324-1ubuntu1) ... Preparing to unpack .../09-libgomp1_10-20200411-0ubuntu1_ppc64el.deb ... Unpacking libgomp1:ppc64el (10-20200411-0ubuntu1) over (10-20200324-1ubuntu1) ... Preparing to unpack .../10-gcc-10-base_10-20200411-0ubuntu1_ppc64el.deb ... Unpacking gcc-10-base:ppc64el (10-20200411-0ubuntu1) over (10-20200324-1ubuntu1) ... Setting up gcc-10-base:ppc64el (10-20200411-0ubuntu1) ... (Reading database ... 12758 files and directories currently installed.) Preparing to unpack .../libgcc-s1_10-20200411-0ubuntu1_ppc64el.deb ... Unpacking libgcc-s1:ppc64el (10-20200411-0ubuntu1) over (10-20200324-1ubuntu1) ... Setting up libgcc-s1:ppc64el (10-20200411-0ubuntu1) ... (Reading database ... 12758 files and directories currently installed.) Preparing to unpack .../libcc1-0_10-20200411-0ubuntu1_ppc64el.deb ... Unpacking libcc1-0:ppc64el (10-20200411-0ubuntu1) over (10-20200324-1ubuntu1) ... Preparing to unpack .../libstdc++6_10-20200411-0ubuntu1_ppc64el.deb ... Unpacking libstdc++6:ppc64el (10-20200411-0ubuntu1) over (10-20200324-1ubuntu1) ... Setting up libstdc++6:ppc64el (10-20200411-0ubuntu1) ... (Reading database ... 12758 files and directories currently installed.) Preparing to unpack .../libc6_2.31-0ubuntu8_ppc64el.deb ... Unpacking libc6:ppc64el (2.31-0ubuntu8) over (2.31-0ubuntu7) ... Setting up libc6:ppc64el (2.31-0ubuntu8) ... (Reading database ... 12758 files and directories currently installed.) Preparing to unpack .../libblkid1_2.34-0.1ubuntu9_ppc64el.deb ... Unpacking libblkid1:ppc64el (2.34-0.1ubuntu9) over (2.34-0.1ubuntu8) ... Setting up libblkid1:ppc64el (2.34-0.1ubuntu9) ... (Reading database ... 12758 files and directories currently installed.) Preparing to unpack .../libuuid1_2.34-0.1ubuntu9_ppc64el.deb ... Unpacking libuuid1:ppc64el (2.34-0.1ubuntu9) over (2.34-0.1ubuntu8) ... Setting up libuuid1:ppc64el (2.34-0.1ubuntu9) ... (Reading database ... 12758 files and directories currently installed.) Preparing to unpack .../libfdisk1_2.34-0.1ubuntu9_ppc64el.deb ... Unpacking libfdisk1:ppc64el (2.34-0.1ubuntu9) over (2.34-0.1ubuntu8) ... Preparing to unpack .../libmount1_2.34-0.1ubuntu9_ppc64el.deb ... Unpacking libmount1:ppc64el (2.34-0.1ubuntu9) over (2.34-0.1ubuntu8) ... Setting up libmount1:ppc64el (2.34-0.1ubuntu9) ... (Reading database ... 12758 files and directories currently installed.) Preparing to unpack .../libsmartcols1_2.34-0.1ubuntu9_ppc64el.deb ... Unpacking libsmartcols1:ppc64el (2.34-0.1ubuntu9) over (2.34-0.1ubuntu8) ... Setting up libsmartcols1:ppc64el (2.34-0.1ubuntu9) ... (Reading database ... 12758 files and directories currently installed.) Preparing to unpack .../fdisk_2.34-0.1ubuntu9_ppc64el.deb ... Unpacking fdisk (2.34-0.1ubuntu9) over (2.34-0.1ubuntu8) ... Preparing to unpack .../util-linux_2.34-0.1ubuntu9_ppc64el.deb ... Unpacking util-linux (2.34-0.1ubuntu9) over (2.34-0.1ubuntu8) ... Setting up util-linux (2.34-0.1ubuntu9) ... (Reading database ... 12758 files and directories currently installed.) Preparing to unpack .../libc-bin_2.31-0ubuntu8_ppc64el.deb ... Unpacking libc-bin (2.31-0ubuntu8) over (2.31-0ubuntu7) ... Setting up libc-bin (2.31-0ubuntu8) ... (Reading database ... 12758 files and directories currently installed.) Preparing to unpack .../0-systemd-sysv_245.4-2ubuntu1_ppc64el.deb ... Unpacking systemd-sysv (245.4-2ubuntu1) over (245.2-1ubuntu2) ... Preparing to unpack .../1-systemd_245.4-2ubuntu1_ppc64el.deb ... Unpacking systemd (245.4-2ubuntu1) over (245.2-1ubuntu2) ... dpkg: warning: unable to delete old directory '/etc/dhcp/dhclient-exit-hooks.d': Directory not empty Selecting previously unselected package systemd-timesyncd. Preparing to unpack .../2-systemd-timesyncd_245.4-2ubuntu1_ppc64el.deb ... Unpacking systemd-timesyncd (245.4-2ubuntu1) ... Preparing to unpack .../3-libapparmor1_2.13.3-7ubuntu5_ppc64el.deb ... Unpacking libapparmor1:ppc64el (2.13.3-7ubuntu5) over (2.13.3-7ubuntu3) ... Preparing to unpack .../4-mount_2.34-0.1ubuntu9_ppc64el.deb ... Unpacking mount (2.34-0.1ubuntu9) over (2.34-0.1ubuntu8) ... Preparing to unpack .../5-libsystemd0_245.4-2ubuntu1_ppc64el.deb ... Unpacking libsystemd0:ppc64el (245.4-2ubuntu1) over (245.2-1ubuntu2) ... Setting up libsystemd0:ppc64el (245.4-2ubuntu1) ... (Reading database ... 12759 files and directories currently installed.) Preparing to unpack .../libudev1_245.4-2ubuntu1_ppc64el.deb ... Unpacking libudev1:ppc64el (245.4-2ubuntu1) over (245.2-1ubuntu2) ... Setting up libudev1:ppc64el (245.4-2ubuntu1) ... (Reading database ... 12759 files and directories currently installed.) Preparing to unpack .../libapt-pkg6.0_2.0.2_ppc64el.deb ... Unpacking libapt-pkg6.0:ppc64el (2.0.2) over (2.0.1) ... Setting up libapt-pkg6.0:ppc64el (2.0.2) ... (Reading database ... 12759 files and directories currently installed.) Preparing to unpack .../archives/apt_2.0.2_ppc64el.deb ... Unpacking apt (2.0.2) over (2.0.1) ... Setting up apt (2.0.2) ... Installing new version of config file /etc/apt/apt.conf.d/01-vendor-ubuntu ... (Reading database ... 12759 files and directories currently installed.) Preparing to unpack .../passwd_1%3a4.8.1-1ubuntu4_ppc64el.deb ... Unpacking passwd (1:4.8.1-1ubuntu4) over (1:4.8.1-1ubuntu3) ... Setting up passwd (1:4.8.1-1ubuntu4) ... (Reading database ... 12759 files and directories currently installed.) Preparing to unpack .../00-libctf0_2.34-6ubuntu1_ppc64el.deb ... Unpacking libctf0:ppc64el (2.34-6ubuntu1) over (2.34-5ubuntu1) ... Preparing to unpack .../01-binutils_2.34-6ubuntu1_ppc64el.deb ... Unpacking binutils (2.34-6ubuntu1) over (2.34-5ubuntu1) ... Preparing to unpack .../02-binutils-powerpc64le-linux-gnu_2.34-6ubuntu1_ppc64el.deb ... Unpacking binutils-powerpc64le-linux-gnu (2.34-6ubuntu1) over (2.34-5ubuntu1) ... Preparing to unpack .../03-libbinutils_2.34-6ubuntu1_ppc64el.deb ... Unpacking libbinutils:ppc64el (2.34-6ubuntu1) over (2.34-5ubuntu1) ... Preparing to unpack .../04-binutils-common_2.34-6ubuntu1_ppc64el.deb ... Unpacking binutils-common:ppc64el (2.34-6ubuntu1) over (2.34-5ubuntu1) ... Preparing to unpack .../05-libctf-nobfd0_2.34-6ubuntu1_ppc64el.deb ... Unpacking libctf-nobfd0:ppc64el (2.34-6ubuntu1) over (2.34-5ubuntu1) ... Preparing to unpack .../06-libasan5_9.3.0-10ubuntu2_ppc64el.deb ... Unpacking libasan5:ppc64el (9.3.0-10ubuntu2) over (9.3.0-10ubuntu1) ... Preparing to unpack .../07-g++-9_9.3.0-10ubuntu2_ppc64el.deb ... Unpacking g++-9 (9.3.0-10ubuntu2) over (9.3.0-10ubuntu1) ... Preparing to unpack .../08-gcc-9_9.3.0-10ubuntu2_ppc64el.deb ... Unpacking gcc-9 (9.3.0-10ubuntu2) over (9.3.0-10ubuntu1) ... Preparing to unpack .../09-libstdc++-9-dev_9.3.0-10ubuntu2_ppc64el.deb ... Unpacking libstdc++-9-dev:ppc64el (9.3.0-10ubuntu2) over (9.3.0-10ubuntu1) ... Preparing to unpack .../10-libgcc-9-dev_9.3.0-10ubuntu2_ppc64el.deb ... Unpacking libgcc-9-dev:ppc64el (9.3.0-10ubuntu2) over (9.3.0-10ubuntu1) ... Preparing to unpack .../11-cpp-9_9.3.0-10ubuntu2_ppc64el.deb ... Unpacking cpp-9 (9.3.0-10ubuntu2) over (9.3.0-10ubuntu1) ... Preparing to unpack .../12-gcc-9-base_9.3.0-10ubuntu2_ppc64el.deb ... Unpacking gcc-9-base:ppc64el (9.3.0-10ubuntu2) over (9.3.0-10ubuntu1) ... Preparing to unpack .../13-pinentry-curses_1.1.0-3build1_ppc64el.deb ... Unpacking pinentry-curses (1.1.0-3build1) over (1.1.0-3) ... Setting up pinentry-curses (1.1.0-3build1) ... Setting up libapparmor1:ppc64el (2.13.3-7ubuntu5) ... Setting up binutils-common:ppc64el (2.34-6ubuntu1) ... Setting up linux-libc-dev:ppc64el (5.4.0-24.28) ... Setting up libctf-nobfd0:ppc64el (2.34-6ubuntu1) ... Setting up libgomp1:ppc64el (10-20200411-0ubuntu1) ... Setting up libquadmath0:ppc64el (10-20200411-0ubuntu1) ... Setting up libatomic1:ppc64el (10-20200411-0ubuntu1) ... Setting up libfdisk1:ppc64el (2.34-0.1ubuntu9) ... Setting up libubsan1:ppc64el (10-20200411-0ubuntu1) ... Setting up mount (2.34-0.1ubuntu9) ... Setting up libbinutils:ppc64el (2.34-6ubuntu1) ... Setting up libc-dev-bin (2.31-0ubuntu8) ... Setting up libcc1-0:ppc64el (10-20200411-0ubuntu1) ... Setting up liblsan0:ppc64el (10-20200411-0ubuntu1) ... Setting up libitm1:ppc64el (10-20200411-0ubuntu1) ... Setting up gcc-9-base:ppc64el (9.3.0-10ubuntu2) ... Setting up libtsan0:ppc64el (10-20200411-0ubuntu1) ... Setting up libctf0:ppc64el (2.34-6ubuntu1) ... Setting up fdisk (2.34-0.1ubuntu9) ... Setting up libasan5:ppc64el (9.3.0-10ubuntu2) ... Setting up cpp-9 (9.3.0-10ubuntu2) ... Setting up libc6-dev:ppc64el (2.31-0ubuntu8) ... Setting up binutils-powerpc64le-linux-gnu (2.34-6ubuntu1) ... Setting up binutils (2.34-6ubuntu1) ... Setting up libgcc-9-dev:ppc64el (9.3.0-10ubuntu2) ... Setting up gcc-9 (9.3.0-10ubuntu2) ... Setting up libstdc++-9-dev:ppc64el (9.3.0-10ubuntu2) ... Setting up g++-9 (9.3.0-10ubuntu2) ... Setting up systemd-timesyncd (245.4-2ubuntu1) ... Created symlink /etc/systemd/system/sysinit.target.wants/systemd-timesyncd.service -> /lib/systemd/system/systemd-timesyncd.service. Setting up systemd (245.4-2ubuntu1) ... Created symlink /etc/systemd/system/systemd-remount-fs.service.wants/systemd-pstore.service -> /lib/systemd/system/systemd-pstore.service. Initializing machine ID from random generator. Removing obsolete conffile /etc/dhcp/dhclient-exit-hooks.d/timesyncd ... Removing obsolete conffile /etc/systemd/timesyncd.conf ... Setting up systemd-sysv (245.4-2ubuntu1) ... Processing triggers for libc-bin (2.31-0ubuntu8) ... RUN: /usr/share/launchpad-buildd/bin/sbuild-package PACKAGEBUILD-19139109 ppc64el focal-proposed -c chroot:build-PACKAGEBUILD-19139109 --arch=ppc64el --dist=focal-proposed --nolog ghdl_0.37+dfsg-1ubuntu1.dsc Initiating build PACKAGEBUILD-19139109 with 4 jobs across 4 processor cores. Kernel reported to sbuild: 4.4.0-177-generic #207-Ubuntu SMP Mon Mar 16 01:16:18 UTC 2020 ppc64le sbuild (Debian sbuild) 0.67.0 (26 Dec 2015) on bos02-ppc64el-003.buildd +==============================================================================+ | ghdl 0.37+dfsg-1ubuntu1 (ppc64el) 13 Apr 2020 16:43 | +==============================================================================+ Package: ghdl Version: 0.37+dfsg-1ubuntu1 Source Version: 0.37+dfsg-1ubuntu1 Distribution: focal-proposed Machine Architecture: ppc64el Host Architecture: ppc64el Build Architecture: ppc64el I: NOTICE: Log filtering will replace 'build/ghdl-Lfwajn/ghdl-0.37+dfsg' with '<>' I: NOTICE: Log filtering will replace 'build/ghdl-Lfwajn' with '<>' I: NOTICE: Log filtering will replace 'home/buildd/build-PACKAGEBUILD-19139109/chroot-autobuild' with '<>' +------------------------------------------------------------------------------+ | Fetch source files | +------------------------------------------------------------------------------+ Local sources ------------- ghdl_0.37+dfsg-1ubuntu1.dsc exists in .; copying to chroot Check architectures ------------------- Check dependencies ------------------ Merged Build-Depends: build-essential, fakeroot Filtered Build-Depends: build-essential, fakeroot dpkg-deb: building package 'sbuild-build-depends-core-dummy' in '/<>/resolver-M2gRhQ/apt_archive/sbuild-build-depends-core-dummy.deb'. Ign:1 copy:/<>/resolver-M2gRhQ/apt_archive ./ InRelease Get:2 copy:/<>/resolver-M2gRhQ/apt_archive ./ Release [2119 B] Ign:3 copy:/<>/resolver-M2gRhQ/apt_archive ./ Release.gpg Get:4 copy:/<>/resolver-M2gRhQ/apt_archive ./ Sources [214 B] Get:5 copy:/<>/resolver-M2gRhQ/apt_archive ./ Packages [527 B] Fetched 2860 B in 0s (175 kB/s) Reading package lists... Reading package lists... +------------------------------------------------------------------------------+ | Install core build dependencies (apt-based resolver) | +------------------------------------------------------------------------------+ Installing build dependencies Reading package lists... Building dependency tree... Reading state information... The following NEW packages will be installed: sbuild-build-depends-core-dummy 0 upgraded, 1 newly installed, 0 to remove and 0 not upgraded. Need to get 852 B of archives. After this operation, 0 B of additional disk space will be used. Get:1 copy:/<>/resolver-M2gRhQ/apt_archive ./ sbuild-build-depends-core-dummy 0.invalid.0 [852 B] debconf: delaying package configuration, since apt-utils is not installed Fetched 852 B in 0s (0 B/s) Selecting previously unselected package sbuild-build-depends-core-dummy. (Reading database ... 12761 files and directories currently installed.) Preparing to unpack .../sbuild-build-depends-core-dummy_0.invalid.0_ppc64el.deb ... Unpacking sbuild-build-depends-core-dummy (0.invalid.0) ... Setting up sbuild-build-depends-core-dummy (0.invalid.0) ... Merged Build-Depends: debhelper (>= 11), gnat-9, gcc-9-source, libisl-dev (>= 0.14), libmpc-dev (>= 1.0), libmpfr-dev (>= 3.0.0-9~), libgmp-dev (>= 2:5.0.1~), llvm-dev (>= 1:3.5), clang (>= 1:3.5), zlib1g-dev Filtered Build-Depends: debhelper (>= 11), gnat-9, gcc-9-source, libisl-dev (>= 0.14), libmpc-dev (>= 1.0), libmpfr-dev (>= 3.0.0-9~), libgmp-dev (>= 2:5.0.1~), llvm-dev (>= 1:3.5), clang (>= 1:3.5), zlib1g-dev dpkg-deb: building package 'sbuild-build-depends-ghdl-dummy' in '/<>/resolver-d1Ar31/apt_archive/sbuild-build-depends-ghdl-dummy.deb'. Ign:1 copy:/<>/resolver-d1Ar31/apt_archive ./ InRelease Get:2 copy:/<>/resolver-d1Ar31/apt_archive ./ Release [2119 B] Ign:3 copy:/<>/resolver-d1Ar31/apt_archive ./ Release.gpg Get:4 copy:/<>/resolver-d1Ar31/apt_archive ./ Sources [309 B] Get:5 copy:/<>/resolver-d1Ar31/apt_archive ./ Packages [609 B] Fetched 3037 B in 0s (170 kB/s) Reading package lists... Reading package lists... +------------------------------------------------------------------------------+ | Install ghdl build dependencies (apt-based resolver) | +------------------------------------------------------------------------------+ Installing build dependencies Reading package lists... Building dependency tree... Reading state information... The following additional packages will be installed: autoconf automake autopoint autotools-dev binfmt-support bsdmainutils clang clang-10 debhelper dh-autoreconf dh-strip-nondeterminism diffstat distro-info-data dwz file gawk gcc-9-source gettext gettext-base gnat-9 groff-base intltool-debian libarchive-zip-perl libbsd0 libclang-common-10-dev libclang-cpp10 libclang1-10 libcroco3 libdebhelper-perl libedit2 libelf1 libexpat1 libffi-dev libfile-stripnondeterminism-perl libgc1c2 libglib2.0-0 libgmp-dev libgmpxx4ldbl libgnat-9 libgnatvsn9 libicu66 libisl-dev libllvm10 libmagic-mgc libmagic1 libmpc-dev libmpdec2 libmpfr-dev libncurses-dev libobjc-9-dev libobjc4 libpfm4 libpipeline1 libpython3-stdlib libpython3.8-minimal libpython3.8-stdlib libsigsegv2 libsub-override-perl libtinfo-dev libtool libuchardet0 libxml2 libyaml-0-2 libz3-4 libz3-dev llvm llvm-10 llvm-10-dev llvm-10-runtime llvm-10-tools llvm-dev llvm-runtime lsb-release m4 man-db mime-support patchutils po-debconf python3 python3-minimal python3-pygments python3-yaml python3.8 python3.8-minimal quilt sharutils zlib1g-dev Suggested packages: autoconf-archive gnu-standards autoconf-doc wamerican | wordlist whois vacation clang-10-doc dh-make gawk-doc gettext-doc libasprintf-dev libgettextpo-dev gnat-9-doc ada-reference-manual-2012 gnat-9-sjlj groff gmp-doc libgmp10-doc libmpfr-doc ncurses-doc libtool-doc gfortran | fortran95-compiler gcj-jdk llvm-10-doc m4-doc apparmor less www-browser libmail-box-perl python3-doc python3-tk python3-venv python-pygments-doc ttf-bitstream-vera python3.8-venv python3.8-doc default-mta | mail-transport-agent graphviz procmail sharutils-doc bsd-mailx | mailx Recommended packages: libomp-10-dev curl | wget | lynx libarchive-cpio-perl libglib2.0-data shared-mime-info xdg-user-dirs libltdl-dev libmail-sendmail-perl python3-pkg-resources less The following NEW packages will be installed: autoconf automake autopoint autotools-dev binfmt-support bsdmainutils clang clang-10 debhelper dh-autoreconf dh-strip-nondeterminism diffstat distro-info-data dwz file gawk gcc-9-source gettext gettext-base gnat-9 groff-base intltool-debian libarchive-zip-perl libbsd0 libclang-common-10-dev libclang-cpp10 libclang1-10 libcroco3 libdebhelper-perl libedit2 libelf1 libexpat1 libffi-dev libfile-stripnondeterminism-perl libgc1c2 libglib2.0-0 libgmp-dev libgmpxx4ldbl libgnat-9 libgnatvsn9 libicu66 libisl-dev libllvm10 libmagic-mgc libmagic1 libmpc-dev libmpdec2 libmpfr-dev libncurses-dev libobjc-9-dev libobjc4 libpfm4 libpipeline1 libpython3-stdlib libpython3.8-minimal libpython3.8-stdlib libsigsegv2 libsub-override-perl libtinfo-dev libtool libuchardet0 libxml2 libyaml-0-2 libz3-4 libz3-dev llvm llvm-10 llvm-10-dev llvm-10-runtime llvm-10-tools llvm-dev llvm-runtime lsb-release m4 man-db mime-support patchutils po-debconf python3 python3-minimal python3-pygments python3-yaml python3.8 python3.8-minimal quilt sbuild-build-depends-ghdl-dummy sharutils zlib1g-dev 0 upgraded, 88 newly installed, 0 to remove and 0 not upgraded. Need to get 187 MB of archives. After this operation, 759 MB of additional disk space will be used. Get:1 copy:/<>/resolver-d1Ar31/apt_archive ./ sbuild-build-depends-ghdl-dummy 0.invalid.0 [936 B] Get:2 http://ftpmaster.internal/ubuntu focal/main ppc64el libpython3.8-minimal ppc64el 3.8.2-1ubuntu1 [708 kB] Get:3 http://ftpmaster.internal/ubuntu focal/main ppc64el libexpat1 ppc64el 2.2.9-1build1 [77.6 kB] Get:4 http://ftpmaster.internal/ubuntu focal/main ppc64el python3.8-minimal ppc64el 3.8.2-1ubuntu1 [2149 kB] Get:5 http://ftpmaster.internal/ubuntu focal/main ppc64el python3-minimal ppc64el 3.8.2-0ubuntu2 [23.6 kB] Get:6 http://ftpmaster.internal/ubuntu focal/main ppc64el mime-support all 3.64ubuntu1 [30.6 kB] Get:7 http://ftpmaster.internal/ubuntu focal/main ppc64el libmpdec2 ppc64el 2.4.2-3 [98.0 kB] Get:8 http://ftpmaster.internal/ubuntu focal/main ppc64el libpython3.8-stdlib ppc64el 3.8.2-1ubuntu1 [1714 kB] Get:9 http://ftpmaster.internal/ubuntu focal/main ppc64el python3.8 ppc64el 3.8.2-1ubuntu1 [364 kB] Get:10 http://ftpmaster.internal/ubuntu focal/main ppc64el libpython3-stdlib ppc64el 3.8.2-0ubuntu2 [7068 B] Get:11 http://ftpmaster.internal/ubuntu focal/main ppc64el python3 ppc64el 3.8.2-0ubuntu2 [47.6 kB] Get:12 http://ftpmaster.internal/ubuntu focal/main ppc64el libbsd0 ppc64el 0.10.0-1 [55.1 kB] Get:13 http://ftpmaster.internal/ubuntu focal/main ppc64el bsdmainutils ppc64el 11.1.2ubuntu3 [187 kB] Get:14 http://ftpmaster.internal/ubuntu focal/main ppc64el libuchardet0 ppc64el 0.0.6-3build1 [67.9 kB] Get:15 http://ftpmaster.internal/ubuntu focal/main ppc64el groff-base ppc64el 1.22.4-4build1 [923 kB] Get:16 http://ftpmaster.internal/ubuntu focal/main ppc64el libpipeline1 ppc64el 1.5.2-2build1 [28.9 kB] Get:17 http://ftpmaster.internal/ubuntu focal/main ppc64el man-db ppc64el 2.9.1-1 [1143 kB] Get:18 http://ftpmaster.internal/ubuntu focal/main ppc64el libsigsegv2 ppc64el 2.12-2 [13.9 kB] Get:19 http://ftpmaster.internal/ubuntu focal/main ppc64el gawk ppc64el 1:5.0.1+dfsg-1 [447 kB] Get:20 http://ftpmaster.internal/ubuntu focal/main ppc64el distro-info-data all 0.43ubuntu1 [4504 B] Get:21 http://ftpmaster.internal/ubuntu focal/main ppc64el libmagic-mgc ppc64el 1:5.38-4 [218 kB] Get:22 http://ftpmaster.internal/ubuntu focal/main ppc64el libmagic1 ppc64el 1:5.38-4 [93.1 kB] Get:23 http://ftpmaster.internal/ubuntu focal/main ppc64el file ppc64el 1:5.38-4 [24.2 kB] Get:24 http://ftpmaster.internal/ubuntu focal/main ppc64el libelf1 ppc64el 0.176-1.1build1 [53.4 kB] Get:25 http://ftpmaster.internal/ubuntu focal/main ppc64el libglib2.0-0 ppc64el 2.64.1-1 [1381 kB] Get:26 http://ftpmaster.internal/ubuntu focal/main ppc64el libicu66 ppc64el 66.1-2ubuntu2 [8595 kB] Get:27 http://ftpmaster.internal/ubuntu focal/main ppc64el libxml2 ppc64el 2.9.10+dfsg-5 [671 kB] Get:28 http://ftpmaster.internal/ubuntu focal/main ppc64el libyaml-0-2 ppc64el 0.2.2-1 [55.8 kB] Get:29 http://ftpmaster.internal/ubuntu focal/main ppc64el lsb-release all 11.1.0ubuntu2 [10.6 kB] Get:30 http://ftpmaster.internal/ubuntu focal/main ppc64el python3-yaml ppc64el 5.3.1-1 [123 kB] Get:31 http://ftpmaster.internal/ubuntu focal/main ppc64el gettext-base ppc64el 0.19.8.1-10build1 [52.5 kB] Get:32 http://ftpmaster.internal/ubuntu focal/main ppc64el libedit2 ppc64el 3.1-20191231-1 [107 kB] Get:33 http://ftpmaster.internal/ubuntu focal/main ppc64el m4 ppc64el 1.4.18-4 [210 kB] Get:34 http://ftpmaster.internal/ubuntu focal/main ppc64el autoconf all 2.69-11.1 [321 kB] Get:35 http://ftpmaster.internal/ubuntu focal/main ppc64el autotools-dev all 20180224.1 [39.6 kB] Get:36 http://ftpmaster.internal/ubuntu focal/main ppc64el automake all 1:1.16.1-4ubuntu6 [522 kB] Get:37 http://ftpmaster.internal/ubuntu focal/main ppc64el autopoint all 0.19.8.1-10build1 [412 kB] Get:38 http://ftpmaster.internal/ubuntu focal/universe ppc64el binfmt-support ppc64el 2.2.0-2 [69.4 kB] Get:39 http://ftpmaster.internal/ubuntu focal-proposed/main ppc64el libllvm10 ppc64el 1:10.0.0-3 [14.4 MB] Get:40 http://ftpmaster.internal/ubuntu focal-proposed/universe ppc64el libclang-cpp10 ppc64el 1:10.0.0-3 [9302 kB] Get:41 http://ftpmaster.internal/ubuntu focal/main ppc64el libgc1c2 ppc64el 1:7.6.4-0.4ubuntu1 [85.8 kB] Get:42 http://ftpmaster.internal/ubuntu focal/universe ppc64el libobjc4 ppc64el 10-20200411-0ubuntu1 [47.5 kB] Get:43 http://ftpmaster.internal/ubuntu focal-proposed/universe ppc64el libobjc-9-dev ppc64el 9.3.0-10ubuntu2 [231 kB] Get:44 http://ftpmaster.internal/ubuntu focal-proposed/universe ppc64el libclang-common-10-dev ppc64el 1:10.0.0-3 [1811 kB] Get:45 http://ftpmaster.internal/ubuntu focal-proposed/universe ppc64el libclang1-10 ppc64el 1:10.0.0-3 [7305 kB] Get:46 http://ftpmaster.internal/ubuntu focal-proposed/universe ppc64el clang-10 ppc64el 1:10.0.0-3 [66.1 kB] Get:47 http://ftpmaster.internal/ubuntu focal/universe ppc64el clang ppc64el 1:10.0-50~exp1 [3272 B] Get:48 http://ftpmaster.internal/ubuntu focal/main ppc64el libtool all 2.4.6-14 [161 kB] Get:49 http://ftpmaster.internal/ubuntu focal/main ppc64el dh-autoreconf all 19 [16.1 kB] Get:50 http://ftpmaster.internal/ubuntu focal/main ppc64el libdebhelper-perl all 12.10ubuntu1 [62.1 kB] Get:51 http://ftpmaster.internal/ubuntu focal/main ppc64el libarchive-zip-perl all 1.67-2 [90.5 kB] Get:52 http://ftpmaster.internal/ubuntu focal/main ppc64el libsub-override-perl all 0.09-2 [9532 B] Get:53 http://ftpmaster.internal/ubuntu focal/main ppc64el libfile-stripnondeterminism-perl all 1.7.0-1 [15.9 kB] Get:54 http://ftpmaster.internal/ubuntu focal/main ppc64el dh-strip-nondeterminism all 1.7.0-1 [5228 B] Get:55 http://ftpmaster.internal/ubuntu focal/main ppc64el dwz ppc64el 0.13-5 [136 kB] Get:56 http://ftpmaster.internal/ubuntu focal/main ppc64el libcroco3 ppc64el 0.6.13-1 [92.1 kB] Get:57 http://ftpmaster.internal/ubuntu focal/main ppc64el gettext ppc64el 0.19.8.1-10build1 [959 kB] Get:58 http://ftpmaster.internal/ubuntu focal/main ppc64el intltool-debian all 0.35.0+20060710.5 [24.9 kB] Get:59 http://ftpmaster.internal/ubuntu focal/main ppc64el po-debconf all 1.0.21 [233 kB] Get:60 http://ftpmaster.internal/ubuntu focal/main ppc64el debhelper all 12.10ubuntu1 [877 kB] Get:61 http://ftpmaster.internal/ubuntu focal/main ppc64el diffstat ppc64el 1.63-1 [30.0 kB] Get:62 http://ftpmaster.internal/ubuntu focal/universe ppc64el quilt all 0.65-3 [301 kB] Get:63 http://ftpmaster.internal/ubuntu focal/main ppc64el patchutils ppc64el 0.3.4-2 [71.1 kB] Get:64 http://ftpmaster.internal/ubuntu focal/main ppc64el sharutils ppc64el 1:4.15.2-4build1 [170 kB] Get:65 http://ftpmaster.internal/ubuntu focal-proposed/universe ppc64el gcc-9-source all 9.3.0-10ubuntu2 [73.0 MB] Get:66 http://ftpmaster.internal/ubuntu focal-proposed/universe ppc64el libgnat-9 ppc64el 9.3.0-10ubuntu2 [965 kB] Get:67 http://ftpmaster.internal/ubuntu focal-proposed/universe ppc64el libgnatvsn9 ppc64el 9.3.0-10ubuntu2 [368 kB] Get:68 http://ftpmaster.internal/ubuntu focal-proposed/universe ppc64el gnat-9 ppc64el 9.3.0-10ubuntu2 [13.4 MB] Get:69 http://ftpmaster.internal/ubuntu focal/main ppc64el libgmpxx4ldbl ppc64el 2:6.2.0+dfsg-4 [9284 B] Get:70 http://ftpmaster.internal/ubuntu focal/main ppc64el libgmp-dev ppc64el 2:6.2.0+dfsg-4 [319 kB] Get:71 http://ftpmaster.internal/ubuntu focal/main ppc64el libisl-dev ppc64el 0.22.1-1 [960 kB] Get:72 http://ftpmaster.internal/ubuntu focal/main ppc64el libmpfr-dev ppc64el 4.0.2-1 [278 kB] Get:73 http://ftpmaster.internal/ubuntu focal/main ppc64el libncurses-dev ppc64el 6.2-0ubuntu2 [412 kB] Get:74 http://ftpmaster.internal/ubuntu focal/main ppc64el libtinfo-dev ppc64el 6.2-0ubuntu2 [972 B] Get:75 http://ftpmaster.internal/ubuntu focal-proposed/universe ppc64el llvm-10-runtime ppc64el 1:10.0.0-3 [985 kB] Get:76 http://ftpmaster.internal/ubuntu focal/universe ppc64el llvm-runtime ppc64el 1:10.0-50~exp1 [2916 B] Get:77 http://ftpmaster.internal/ubuntu focal/main ppc64el libpfm4 ppc64el 4.10.1+git20-g7700f49-2 [140 kB] Get:78 http://ftpmaster.internal/ubuntu focal-proposed/universe ppc64el llvm-10 ppc64el 1:10.0.0-3 [4820 kB] Get:79 http://ftpmaster.internal/ubuntu focal/universe ppc64el llvm ppc64el 1:10.0-50~exp1 [3880 B] Get:80 http://ftpmaster.internal/ubuntu focal/main ppc64el libffi-dev ppc64el 3.3-4 [60.7 kB] Get:81 http://ftpmaster.internal/ubuntu focal/main ppc64el python3-pygments all 2.3.1+dfsg-1ubuntu2 [579 kB] Get:82 http://ftpmaster.internal/ubuntu focal-proposed/universe ppc64el llvm-10-tools ppc64el 1:10.0.0-3 [336 kB] Get:83 http://ftpmaster.internal/ubuntu focal/universe ppc64el libz3-4 ppc64el 4.8.7-4build1 [6665 kB] Get:84 http://ftpmaster.internal/ubuntu focal/universe ppc64el libz3-dev ppc64el 4.8.7-4build1 [67.5 kB] Get:85 http://ftpmaster.internal/ubuntu focal-proposed/universe ppc64el llvm-10-dev ppc64el 1:10.0.0-3 [25.4 MB] Get:86 http://ftpmaster.internal/ubuntu focal/universe ppc64el llvm-dev ppc64el 1:10.0-50~exp1 [1856 B] Get:87 http://ftpmaster.internal/ubuntu focal/main ppc64el zlib1g-dev ppc64el 1:1.2.11.dfsg-2ubuntu1 [165 kB] Get:88 http://ftpmaster.internal/ubuntu focal/main ppc64el libmpc-dev ppc64el 1.1.0-1 [51.5 kB] debconf: delaying package configuration, since apt-utils is not installed Fetched 187 MB in 10s (18.9 MB/s) Selecting previously unselected package libpython3.8-minimal:ppc64el. (Reading database ... 12761 files and directories currently installed.) Preparing to unpack .../libpython3.8-minimal_3.8.2-1ubuntu1_ppc64el.deb ... Unpacking libpython3.8-minimal:ppc64el (3.8.2-1ubuntu1) ... Selecting previously unselected package libexpat1:ppc64el. Preparing to unpack .../libexpat1_2.2.9-1build1_ppc64el.deb ... Unpacking libexpat1:ppc64el (2.2.9-1build1) ... Selecting previously unselected package python3.8-minimal. Preparing to unpack .../python3.8-minimal_3.8.2-1ubuntu1_ppc64el.deb ... Unpacking python3.8-minimal (3.8.2-1ubuntu1) ... Setting up libpython3.8-minimal:ppc64el (3.8.2-1ubuntu1) ... Setting up libexpat1:ppc64el (2.2.9-1build1) ... Setting up python3.8-minimal (3.8.2-1ubuntu1) ... Selecting previously unselected package python3-minimal. (Reading database ... 13052 files and directories currently installed.) Preparing to unpack .../0-python3-minimal_3.8.2-0ubuntu2_ppc64el.deb ... Unpacking python3-minimal (3.8.2-0ubuntu2) ... Selecting previously unselected package mime-support. Preparing to unpack .../1-mime-support_3.64ubuntu1_all.deb ... Unpacking mime-support (3.64ubuntu1) ... Selecting previously unselected package libmpdec2:ppc64el. Preparing to unpack .../2-libmpdec2_2.4.2-3_ppc64el.deb ... Unpacking libmpdec2:ppc64el (2.4.2-3) ... Selecting previously unselected package libpython3.8-stdlib:ppc64el. Preparing to unpack .../3-libpython3.8-stdlib_3.8.2-1ubuntu1_ppc64el.deb ... Unpacking libpython3.8-stdlib:ppc64el (3.8.2-1ubuntu1) ... Selecting previously unselected package python3.8. Preparing to unpack .../4-python3.8_3.8.2-1ubuntu1_ppc64el.deb ... Unpacking python3.8 (3.8.2-1ubuntu1) ... Selecting previously unselected package libpython3-stdlib:ppc64el. Preparing to unpack .../5-libpython3-stdlib_3.8.2-0ubuntu2_ppc64el.deb ... Unpacking libpython3-stdlib:ppc64el (3.8.2-0ubuntu2) ... Setting up python3-minimal (3.8.2-0ubuntu2) ... Selecting previously unselected package python3. (Reading database ... 13454 files and directories currently installed.) Preparing to unpack .../0-python3_3.8.2-0ubuntu2_ppc64el.deb ... Unpacking python3 (3.8.2-0ubuntu2) ... Selecting previously unselected package libbsd0:ppc64el. Preparing to unpack .../1-libbsd0_0.10.0-1_ppc64el.deb ... Unpacking libbsd0:ppc64el (0.10.0-1) ... Selecting previously unselected package bsdmainutils. Preparing to unpack .../2-bsdmainutils_11.1.2ubuntu3_ppc64el.deb ... Unpacking bsdmainutils (11.1.2ubuntu3) ... Selecting previously unselected package libuchardet0:ppc64el. Preparing to unpack .../3-libuchardet0_0.0.6-3build1_ppc64el.deb ... Unpacking libuchardet0:ppc64el (0.0.6-3build1) ... Selecting previously unselected package groff-base. Preparing to unpack .../4-groff-base_1.22.4-4build1_ppc64el.deb ... Unpacking groff-base (1.22.4-4build1) ... Selecting previously unselected package libpipeline1:ppc64el. Preparing to unpack .../5-libpipeline1_1.5.2-2build1_ppc64el.deb ... Unpacking libpipeline1:ppc64el (1.5.2-2build1) ... Selecting previously unselected package man-db. Preparing to unpack .../6-man-db_2.9.1-1_ppc64el.deb ... Unpacking man-db (2.9.1-1) ... Selecting previously unselected package libsigsegv2:ppc64el. Preparing to unpack .../7-libsigsegv2_2.12-2_ppc64el.deb ... Unpacking libsigsegv2:ppc64el (2.12-2) ... Setting up libsigsegv2:ppc64el (2.12-2) ... Selecting previously unselected package gawk. (Reading database ... 14080 files and directories currently installed.) Preparing to unpack .../00-gawk_1%3a5.0.1+dfsg-1_ppc64el.deb ... Unpacking gawk (1:5.0.1+dfsg-1) ... Selecting previously unselected package distro-info-data. Preparing to unpack .../01-distro-info-data_0.43ubuntu1_all.deb ... Unpacking distro-info-data (0.43ubuntu1) ... Selecting previously unselected package libmagic-mgc. Preparing to unpack .../02-libmagic-mgc_1%3a5.38-4_ppc64el.deb ... Unpacking libmagic-mgc (1:5.38-4) ... Selecting previously unselected package libmagic1:ppc64el. Preparing to unpack .../03-libmagic1_1%3a5.38-4_ppc64el.deb ... Unpacking libmagic1:ppc64el (1:5.38-4) ... Selecting previously unselected package file. Preparing to unpack .../04-file_1%3a5.38-4_ppc64el.deb ... Unpacking file (1:5.38-4) ... Selecting previously unselected package libelf1:ppc64el. Preparing to unpack .../05-libelf1_0.176-1.1build1_ppc64el.deb ... Unpacking libelf1:ppc64el (0.176-1.1build1) ... Selecting previously unselected package libglib2.0-0:ppc64el. Preparing to unpack .../06-libglib2.0-0_2.64.1-1_ppc64el.deb ... Unpacking libglib2.0-0:ppc64el (2.64.1-1) ... Selecting previously unselected package libicu66:ppc64el. Preparing to unpack .../07-libicu66_66.1-2ubuntu2_ppc64el.deb ... Unpacking libicu66:ppc64el (66.1-2ubuntu2) ... Selecting previously unselected package libxml2:ppc64el. Preparing to unpack .../08-libxml2_2.9.10+dfsg-5_ppc64el.deb ... Unpacking libxml2:ppc64el (2.9.10+dfsg-5) ... Selecting previously unselected package libyaml-0-2:ppc64el. Preparing to unpack .../09-libyaml-0-2_0.2.2-1_ppc64el.deb ... Unpacking libyaml-0-2:ppc64el (0.2.2-1) ... Selecting previously unselected package lsb-release. Preparing to unpack .../10-lsb-release_11.1.0ubuntu2_all.deb ... Unpacking lsb-release (11.1.0ubuntu2) ... Selecting previously unselected package python3-yaml. Preparing to unpack .../11-python3-yaml_5.3.1-1_ppc64el.deb ... Unpacking python3-yaml (5.3.1-1) ... Selecting previously unselected package gettext-base. Preparing to unpack .../12-gettext-base_0.19.8.1-10build1_ppc64el.deb ... Unpacking gettext-base (0.19.8.1-10build1) ... Selecting previously unselected package libedit2:ppc64el. Preparing to unpack .../13-libedit2_3.1-20191231-1_ppc64el.deb ... Unpacking libedit2:ppc64el (3.1-20191231-1) ... Selecting previously unselected package m4. Preparing to unpack .../14-m4_1.4.18-4_ppc64el.deb ... Unpacking m4 (1.4.18-4) ... Selecting previously unselected package autoconf. Preparing to unpack .../15-autoconf_2.69-11.1_all.deb ... Unpacking autoconf (2.69-11.1) ... Selecting previously unselected package autotools-dev. Preparing to unpack .../16-autotools-dev_20180224.1_all.deb ... Unpacking autotools-dev (20180224.1) ... Selecting previously unselected package automake. Preparing to unpack .../17-automake_1%3a1.16.1-4ubuntu6_all.deb ... Unpacking automake (1:1.16.1-4ubuntu6) ... Selecting previously unselected package autopoint. Preparing to unpack .../18-autopoint_0.19.8.1-10build1_all.deb ... Unpacking autopoint (0.19.8.1-10build1) ... Selecting previously unselected package binfmt-support. Preparing to unpack .../19-binfmt-support_2.2.0-2_ppc64el.deb ... Unpacking binfmt-support (2.2.0-2) ... Selecting previously unselected package libllvm10:ppc64el. Preparing to unpack .../20-libllvm10_1%3a10.0.0-3_ppc64el.deb ... Unpacking libllvm10:ppc64el (1:10.0.0-3) ... Selecting previously unselected package libclang-cpp10. Preparing to unpack .../21-libclang-cpp10_1%3a10.0.0-3_ppc64el.deb ... Unpacking libclang-cpp10 (1:10.0.0-3) ... Selecting previously unselected package libgc1c2:ppc64el. Preparing to unpack .../22-libgc1c2_1%3a7.6.4-0.4ubuntu1_ppc64el.deb ... Unpacking libgc1c2:ppc64el (1:7.6.4-0.4ubuntu1) ... Selecting previously unselected package libobjc4:ppc64el. Preparing to unpack .../23-libobjc4_10-20200411-0ubuntu1_ppc64el.deb ... Unpacking libobjc4:ppc64el (10-20200411-0ubuntu1) ... Selecting previously unselected package libobjc-9-dev:ppc64el. Preparing to unpack .../24-libobjc-9-dev_9.3.0-10ubuntu2_ppc64el.deb ... Unpacking libobjc-9-dev:ppc64el (9.3.0-10ubuntu2) ... Selecting previously unselected package libclang-common-10-dev. Preparing to unpack .../25-libclang-common-10-dev_1%3a10.0.0-3_ppc64el.deb ... Unpacking libclang-common-10-dev (1:10.0.0-3) ... Selecting previously unselected package libclang1-10. Preparing to unpack .../26-libclang1-10_1%3a10.0.0-3_ppc64el.deb ... Unpacking libclang1-10 (1:10.0.0-3) ... Selecting previously unselected package clang-10. Preparing to unpack .../27-clang-10_1%3a10.0.0-3_ppc64el.deb ... Unpacking clang-10 (1:10.0.0-3) ... Selecting previously unselected package clang. Preparing to unpack .../28-clang_1%3a10.0-50~exp1_ppc64el.deb ... Unpacking clang (1:10.0-50~exp1) ... Selecting previously unselected package libtool. Preparing to unpack .../29-libtool_2.4.6-14_all.deb ... Unpacking libtool (2.4.6-14) ... Selecting previously unselected package dh-autoreconf. Preparing to unpack .../30-dh-autoreconf_19_all.deb ... Unpacking dh-autoreconf (19) ... Selecting previously unselected package libdebhelper-perl. Preparing to unpack .../31-libdebhelper-perl_12.10ubuntu1_all.deb ... Unpacking libdebhelper-perl (12.10ubuntu1) ... Selecting previously unselected package libarchive-zip-perl. Preparing to unpack .../32-libarchive-zip-perl_1.67-2_all.deb ... Unpacking libarchive-zip-perl (1.67-2) ... Selecting previously unselected package libsub-override-perl. Preparing to unpack .../33-libsub-override-perl_0.09-2_all.deb ... Unpacking libsub-override-perl (0.09-2) ... Selecting previously unselected package libfile-stripnondeterminism-perl. Preparing to unpack .../34-libfile-stripnondeterminism-perl_1.7.0-1_all.deb ... Unpacking libfile-stripnondeterminism-perl (1.7.0-1) ... Selecting previously unselected package dh-strip-nondeterminism. Preparing to unpack .../35-dh-strip-nondeterminism_1.7.0-1_all.deb ... Unpacking dh-strip-nondeterminism (1.7.0-1) ... Selecting previously unselected package dwz. Preparing to unpack .../36-dwz_0.13-5_ppc64el.deb ... Unpacking dwz (0.13-5) ... Selecting previously unselected package libcroco3:ppc64el. Preparing to unpack .../37-libcroco3_0.6.13-1_ppc64el.deb ... Unpacking libcroco3:ppc64el (0.6.13-1) ... Selecting previously unselected package gettext. Preparing to unpack .../38-gettext_0.19.8.1-10build1_ppc64el.deb ... Unpacking gettext (0.19.8.1-10build1) ... Selecting previously unselected package intltool-debian. Preparing to unpack .../39-intltool-debian_0.35.0+20060710.5_all.deb ... Unpacking intltool-debian (0.35.0+20060710.5) ... Selecting previously unselected package po-debconf. Preparing to unpack .../40-po-debconf_1.0.21_all.deb ... Unpacking po-debconf (1.0.21) ... Selecting previously unselected package debhelper. Preparing to unpack .../41-debhelper_12.10ubuntu1_all.deb ... Unpacking debhelper (12.10ubuntu1) ... Selecting previously unselected package diffstat. Preparing to unpack .../42-diffstat_1.63-1_ppc64el.deb ... Unpacking diffstat (1.63-1) ... Selecting previously unselected package quilt. Preparing to unpack .../43-quilt_0.65-3_all.deb ... Unpacking quilt (0.65-3) ... Selecting previously unselected package patchutils. Preparing to unpack .../44-patchutils_0.3.4-2_ppc64el.deb ... Unpacking patchutils (0.3.4-2) ... Selecting previously unselected package sharutils. Preparing to unpack .../45-sharutils_1%3a4.15.2-4build1_ppc64el.deb ... Unpacking sharutils (1:4.15.2-4build1) ... Selecting previously unselected package gcc-9-source. Preparing to unpack .../46-gcc-9-source_9.3.0-10ubuntu2_all.deb ... Unpacking gcc-9-source (9.3.0-10ubuntu2) ... Selecting previously unselected package libgnat-9:ppc64el. Preparing to unpack .../47-libgnat-9_9.3.0-10ubuntu2_ppc64el.deb ... Unpacking libgnat-9:ppc64el (9.3.0-10ubuntu2) ... Selecting previously unselected package libgnatvsn9:ppc64el. Preparing to unpack .../48-libgnatvsn9_9.3.0-10ubuntu2_ppc64el.deb ... Unpacking libgnatvsn9:ppc64el (9.3.0-10ubuntu2) ... Selecting previously unselected package gnat-9. Preparing to unpack .../49-gnat-9_9.3.0-10ubuntu2_ppc64el.deb ... Unpacking gnat-9 (9.3.0-10ubuntu2) ... Selecting previously unselected package libgmpxx4ldbl:ppc64el. Preparing to unpack .../50-libgmpxx4ldbl_2%3a6.2.0+dfsg-4_ppc64el.deb ... Unpacking libgmpxx4ldbl:ppc64el (2:6.2.0+dfsg-4) ... Selecting previously unselected package libgmp-dev:ppc64el. Preparing to unpack .../51-libgmp-dev_2%3a6.2.0+dfsg-4_ppc64el.deb ... Unpacking libgmp-dev:ppc64el (2:6.2.0+dfsg-4) ... Selecting previously unselected package libisl-dev:ppc64el. Preparing to unpack .../52-libisl-dev_0.22.1-1_ppc64el.deb ... Unpacking libisl-dev:ppc64el (0.22.1-1) ... Selecting previously unselected package libmpfr-dev:ppc64el. Preparing to unpack .../53-libmpfr-dev_4.0.2-1_ppc64el.deb ... Unpacking libmpfr-dev:ppc64el (4.0.2-1) ... Selecting previously unselected package libncurses-dev:ppc64el. Preparing to unpack .../54-libncurses-dev_6.2-0ubuntu2_ppc64el.deb ... Unpacking libncurses-dev:ppc64el (6.2-0ubuntu2) ... Selecting previously unselected package libtinfo-dev:ppc64el. Preparing to unpack .../55-libtinfo-dev_6.2-0ubuntu2_ppc64el.deb ... Unpacking libtinfo-dev:ppc64el (6.2-0ubuntu2) ... Selecting previously unselected package llvm-10-runtime. Preparing to unpack .../56-llvm-10-runtime_1%3a10.0.0-3_ppc64el.deb ... Unpacking llvm-10-runtime (1:10.0.0-3) ... Selecting previously unselected package llvm-runtime. Preparing to unpack .../57-llvm-runtime_1%3a10.0-50~exp1_ppc64el.deb ... Unpacking llvm-runtime (1:10.0-50~exp1) ... Selecting previously unselected package libpfm4:ppc64el. Preparing to unpack .../58-libpfm4_4.10.1+git20-g7700f49-2_ppc64el.deb ... Unpacking libpfm4:ppc64el (4.10.1+git20-g7700f49-2) ... Selecting previously unselected package llvm-10. Preparing to unpack .../59-llvm-10_1%3a10.0.0-3_ppc64el.deb ... Unpacking llvm-10 (1:10.0.0-3) ... Selecting previously unselected package llvm. Preparing to unpack .../60-llvm_1%3a10.0-50~exp1_ppc64el.deb ... Unpacking llvm (1:10.0-50~exp1) ... Selecting previously unselected package libffi-dev:ppc64el. Preparing to unpack .../61-libffi-dev_3.3-4_ppc64el.deb ... Unpacking libffi-dev:ppc64el (3.3-4) ... Selecting previously unselected package python3-pygments. Preparing to unpack .../62-python3-pygments_2.3.1+dfsg-1ubuntu2_all.deb ... Unpacking python3-pygments (2.3.1+dfsg-1ubuntu2) ... Selecting previously unselected package llvm-10-tools. Preparing to unpack .../63-llvm-10-tools_1%3a10.0.0-3_ppc64el.deb ... Unpacking llvm-10-tools (1:10.0.0-3) ... Selecting previously unselected package libz3-4:ppc64el. Preparing to unpack .../64-libz3-4_4.8.7-4build1_ppc64el.deb ... Unpacking libz3-4:ppc64el (4.8.7-4build1) ... Selecting previously unselected package libz3-dev:ppc64el. Preparing to unpack .../65-libz3-dev_4.8.7-4build1_ppc64el.deb ... Unpacking libz3-dev:ppc64el (4.8.7-4build1) ... Selecting previously unselected package llvm-10-dev. Preparing to unpack .../66-llvm-10-dev_1%3a10.0.0-3_ppc64el.deb ... Unpacking llvm-10-dev (1:10.0.0-3) ... Selecting previously unselected package llvm-dev. Preparing to unpack .../67-llvm-dev_1%3a10.0-50~exp1_ppc64el.deb ... Unpacking llvm-dev (1:10.0-50~exp1) ... Selecting previously unselected package zlib1g-dev:ppc64el. Preparing to unpack .../68-zlib1g-dev_1%3a1.2.11.dfsg-2ubuntu1_ppc64el.deb ... Unpacking zlib1g-dev:ppc64el (1:1.2.11.dfsg-2ubuntu1) ... Selecting previously unselected package libmpc-dev:ppc64el. Preparing to unpack .../69-libmpc-dev_1.1.0-1_ppc64el.deb ... Unpacking libmpc-dev:ppc64el (1.1.0-1) ... Selecting previously unselected package sbuild-build-depends-ghdl-dummy. Preparing to unpack .../70-sbuild-build-depends-ghdl-dummy_0.invalid.0_ppc64el.deb ... Unpacking sbuild-build-depends-ghdl-dummy (0.invalid.0) ... Setting up libpipeline1:ppc64el (1.5.2-2build1) ... Setting up libncurses-dev:ppc64el (6.2-0ubuntu2) ... Setting up mime-support (3.64ubuntu1) ... Setting up libmagic-mgc (1:5.38-4) ... Setting up gawk (1:5.0.1+dfsg-1) ... Setting up libarchive-zip-perl (1.67-2) ... Setting up libgc1c2:ppc64el (1:7.6.4-0.4ubuntu1) ... Setting up libyaml-0-2:ppc64el (0.2.2-1) ... Setting up libglib2.0-0:ppc64el (2.64.1-1) ... No schema files found: doing nothing. Setting up libisl-dev:ppc64el (0.22.1-1) ... Setting up distro-info-data (0.43ubuntu1) ... Setting up libicu66:ppc64el (66.1-2ubuntu2) ... Setting up libdebhelper-perl (12.10ubuntu1) ... Setting up libmagic1:ppc64el (1:5.38-4) ... Setting up gettext-base (0.19.8.1-10build1) ... Setting up m4 (1.4.18-4) ... Setting up libobjc4:ppc64el (10-20200411-0ubuntu1) ... Setting up file (1:5.38-4) ... Setting up libffi-dev:ppc64el (3.3-4) ... Setting up patchutils (0.3.4-2) ... Setting up autotools-dev (20180224.1) ... Setting up libz3-4:ppc64el (4.8.7-4build1) ... Setting up libgmpxx4ldbl:ppc64el (2:6.2.0+dfsg-4) ... Setting up libpfm4:ppc64el (4.10.1+git20-g7700f49-2) ... Setting up diffstat (1.63-1) ... Setting up autopoint (0.19.8.1-10build1) ... Setting up binfmt-support (2.2.0-2) ... Created symlink /etc/systemd/system/multi-user.target.wants/binfmt-support.service → /lib/systemd/system/binfmt-support.service. Running in chroot, ignoring request. invoke-rc.d: policy-rc.d denied execution of start. Setting up libobjc-9-dev:ppc64el (9.3.0-10ubuntu2) ... Setting up autoconf (2.69-11.1) ... Setting up zlib1g-dev:ppc64el (1:1.2.11.dfsg-2ubuntu1) ... Setting up libuchardet0:ppc64el (0.0.6-3build1) ... Setting up libsub-override-perl (0.09-2) ... Setting up libmpdec2:ppc64el (2.4.2-3) ... Setting up sharutils (1:4.15.2-4build1) ... Setting up libpython3.8-stdlib:ppc64el (3.8.2-1ubuntu1) ... Setting up python3.8 (3.8.2-1ubuntu1) ... Setting up libbsd0:ppc64el (0.10.0-1) ... Setting up libelf1:ppc64el (0.176-1.1build1) ... Setting up libgnat-9:ppc64el (9.3.0-10ubuntu2) ... Setting up libxml2:ppc64el (2.9.10+dfsg-5) ... Setting up libpython3-stdlib:ppc64el (3.8.2-0ubuntu2) ... Setting up automake (1:1.16.1-4ubuntu6) ... update-alternatives: using /usr/bin/automake-1.16 to provide /usr/bin/automake (automake) in auto mode Setting up libfile-stripnondeterminism-perl (1.7.0-1) ... Setting up libtinfo-dev:ppc64el (6.2-0ubuntu2) ... Setting up libz3-dev:ppc64el (4.8.7-4build1) ... Setting up libgmp-dev:ppc64el (2:6.2.0+dfsg-4) ... Setting up libtool (2.4.6-14) ... Setting up libgnatvsn9:ppc64el (9.3.0-10ubuntu2) ... Setting up libedit2:ppc64el (3.1-20191231-1) ... Setting up python3 (3.8.2-0ubuntu2) ... Setting up libmpfr-dev:ppc64el (4.0.2-1) ... Setting up libllvm10:ppc64el (1:10.0.0-3) ... Setting up python3-pygments (2.3.1+dfsg-1ubuntu2) ... Setting up libclang1-10 (1:10.0.0-3) ... Setting up bsdmainutils (11.1.2ubuntu3) ... update-alternatives: using /usr/bin/bsd-write to provide /usr/bin/write (write) in auto mode update-alternatives: using /usr/bin/bsd-from to provide /usr/bin/from (from) in auto mode Setting up libcroco3:ppc64el (0.6.13-1) ... Setting up libmpc-dev:ppc64el (1.1.0-1) ... Setting up dh-strip-nondeterminism (1.7.0-1) ... Setting up dwz (0.13-5) ... Setting up groff-base (1.22.4-4build1) ... Setting up lsb-release (11.1.0ubuntu2) ... Setting up gettext (0.19.8.1-10build1) ... Setting up gnat-9 (9.3.0-10ubuntu2) ... Setting up libclang-common-10-dev (1:10.0.0-3) ... Setting up python3-yaml (5.3.1-1) ... Setting up quilt (0.65-3) ... Setting up man-db (2.9.1-1) ... Not building database; man-db/auto-update is not 'true'. Created symlink /etc/systemd/system/timers.target.wants/man-db.timer → /lib/systemd/system/man-db.timer. Setting up libclang-cpp10 (1:10.0.0-3) ... Setting up llvm-10-runtime (1:10.0.0-3) ... Setting up intltool-debian (0.35.0+20060710.5) ... Setting up llvm-runtime (1:10.0-50~exp1) ... Setting up clang-10 (1:10.0.0-3) ... Setting up llvm-10-tools (1:10.0.0-3) ... Setting up gcc-9-source (9.3.0-10ubuntu2) ... Setting up po-debconf (1.0.21) ... Setting up llvm-10 (1:10.0.0-3) ... Setting up llvm-10-dev (1:10.0.0-3) ... Setting up clang (1:10.0-50~exp1) ... Setting up llvm (1:10.0-50~exp1) ... Setting up llvm-dev (1:10.0-50~exp1) ... Setting up debhelper (12.10ubuntu1) ... Setting up sbuild-build-depends-ghdl-dummy (0.invalid.0) ... Setting up dh-autoreconf (19) ... Processing triggers for libc-bin (2.31-0ubuntu8) ... Processing triggers for systemd (245.4-2ubuntu1) ... +------------------------------------------------------------------------------+ | Build environment | +------------------------------------------------------------------------------+ Kernel: Linux 4.4.0-177-generic ppc64el (ppc64le) Toolchain package versions: binutils_2.34-6ubuntu1 dpkg-dev_1.19.7ubuntu3 g++-9_9.3.0-10ubuntu2 gcc-9_9.3.0-10ubuntu2 libc6-dev_2.31-0ubuntu8 libstdc++-9-dev_9.3.0-10ubuntu2 libstdc++6_10-20200411-0ubuntu1 linux-libc-dev_5.4.0-24.28 Package versions: adduser_3.118ubuntu1 advancecomp_2.1-2.1build1 apt_2.0.2 autoconf_2.69-11.1 automake_1:1.16.1-4ubuntu6 autopoint_0.19.8.1-10build1 autotools-dev_20180224.1 base-files_11ubuntu4 base-passwd_3.5.47 bash_5.0-6ubuntu1 binfmt-support_2.2.0-2 binutils_2.34-6ubuntu1 binutils-common_2.34-6ubuntu1 binutils-powerpc64le-linux-gnu_2.34-6ubuntu1 bsdmainutils_11.1.2ubuntu3 bsdutils_1:2.34-0.1ubuntu9 build-essential_12.8ubuntu1 bzip2_1.0.8-2 ca-certificates_20190110ubuntu1 clang_1:10.0-50~exp1 clang-10_1:10.0.0-3 coreutils_8.30-3ubuntu2 cpp_4:9.3.0-1ubuntu2 cpp-9_9.3.0-10ubuntu2 dash_0.5.10.2-6 debconf_1.5.73 debhelper_12.10ubuntu1 debianutils_4.9.1 dh-autoreconf_19 dh-strip-nondeterminism_1.7.0-1 diffstat_1.63-1 diffutils_1:3.7-3 distro-info-data_0.43ubuntu1 dpkg_1.19.7ubuntu3 dpkg-dev_1.19.7ubuntu3 dwz_0.13-5 e2fsprogs_1.45.5-2ubuntu1 fakeroot_1.24-1 fdisk_2.34-0.1ubuntu9 file_1:5.38-4 findutils_4.7.0-1ubuntu1 g++_4:9.3.0-1ubuntu2 g++-9_9.3.0-10ubuntu2 gawk_1:5.0.1+dfsg-1 gcc_4:9.3.0-1ubuntu2 gcc-10-base_10-20200411-0ubuntu1 gcc-9_9.3.0-10ubuntu2 gcc-9-base_9.3.0-10ubuntu2 gcc-9-source_9.3.0-10ubuntu2 gettext_0.19.8.1-10build1 gettext-base_0.19.8.1-10build1 gnat-9_9.3.0-10ubuntu2 gpg_2.2.19-3ubuntu2 gpg-agent_2.2.19-3ubuntu2 gpgconf_2.2.19-3ubuntu2 gpgv_2.2.19-3ubuntu2 grep_3.4-1 groff-base_1.22.4-4build1 gzip_1.10-0ubuntu4 hostname_3.23 init_1.57 init-system-helpers_1.57 intltool-debian_0.35.0+20060710.5 libacl1_2.2.53-6 libapparmor1_2.13.3-7ubuntu5 libapt-pkg6.0_2.0.2 libarchive-zip-perl_1.67-2 libargon2-1_0~20171227-0.2 libasan5_9.3.0-10ubuntu2 libassuan0_2.5.3-7ubuntu2 libatomic1_10-20200411-0ubuntu1 libattr1_1:2.4.48-5 libaudit-common_1:2.8.5-2ubuntu6 libaudit1_1:2.8.5-2ubuntu6 libbinutils_2.34-6ubuntu1 libblkid1_2.34-0.1ubuntu9 libbsd0_0.10.0-1 libbz2-1.0_1.0.8-2 libc-bin_2.31-0ubuntu8 libc-dev-bin_2.31-0ubuntu8 libc6_2.31-0ubuntu8 libc6-dev_2.31-0ubuntu8 libcap-ng0_0.7.9-2.1build1 libcap2_1:2.32-1 libcc1-0_10-20200411-0ubuntu1 libclang-common-10-dev_1:10.0.0-3 libclang-cpp10_1:10.0.0-3 libclang1-10_1:10.0.0-3 libcom-err2_1.45.5-2ubuntu1 libcroco3_0.6.13-1 libcrypt-dev_1:4.4.10-10ubuntu4 libcrypt1_1:4.4.10-10ubuntu4 libcryptsetup12_2:2.2.2-3ubuntu2 libctf-nobfd0_2.34-6ubuntu1 libctf0_2.34-6ubuntu1 libdb5.3_5.3.28+dfsg1-0.6ubuntu2 libdebconfclient0_0.251ubuntu1 libdebhelper-perl_12.10ubuntu1 libdevmapper1.02.1_2:1.02.167-1ubuntu1 libdpkg-perl_1.19.7ubuntu3 libedit2_3.1-20191231-1 libelf1_0.176-1.1build1 libexpat1_2.2.9-1build1 libext2fs2_1.45.5-2ubuntu1 libfakeroot_1.24-1 libfdisk1_2.34-0.1ubuntu9 libffi-dev_3.3-4 libffi7_3.3-4 libfile-stripnondeterminism-perl_1.7.0-1 libgc1c2_1:7.6.4-0.4ubuntu1 libgcc-9-dev_9.3.0-10ubuntu2 libgcc-s1_10-20200411-0ubuntu1 libgcrypt20_1.8.5-5ubuntu1 libgdbm-compat4_1.18.1-5 libgdbm6_1.18.1-5 libglib2.0-0_2.64.1-1 libgmp-dev_2:6.2.0+dfsg-4 libgmp10_2:6.2.0+dfsg-4 libgmpxx4ldbl_2:6.2.0+dfsg-4 libgnat-9_9.3.0-10ubuntu2 libgnatvsn9_9.3.0-10ubuntu2 libgnutls30_3.6.13-2ubuntu1 libgomp1_10-20200411-0ubuntu1 libgpg-error0_1.37-1 libhogweed5_3.5.1+really3.5.1-2 libicu66_66.1-2ubuntu2 libidn2-0_2.2.0-2 libip4tc2_1.8.4-3ubuntu2 libisl-dev_0.22.1-1 libisl22_0.22.1-1 libitm1_10-20200411-0ubuntu1 libjson-c4_0.13.1+dfsg-7 libkmod2_27-1ubuntu2 libllvm10_1:10.0.0-3 liblockfile-bin_1.16-1.1 liblockfile1_1.16-1.1 liblsan0_10-20200411-0ubuntu1 liblz4-1_1.9.2-2 liblzma5_5.2.4-1 libmagic-mgc_1:5.38-4 libmagic1_1:5.38-4 libmount1_2.34-0.1ubuntu9 libmpc-dev_1.1.0-1 libmpc3_1.1.0-1 libmpdec2_2.4.2-3 libmpfr-dev_4.0.2-1 libmpfr6_4.0.2-1 libncurses-dev_6.2-0ubuntu2 libncurses6_6.2-0ubuntu2 libncursesw6_6.2-0ubuntu2 libnettle7_3.5.1+really3.5.1-2 libnpth0_1.6-1 libobjc-9-dev_9.3.0-10ubuntu2 libobjc4_10-20200411-0ubuntu1 libp11-kit0_0.23.20-1build1 libpam-modules_1.3.1-5ubuntu4 libpam-modules-bin_1.3.1-5ubuntu4 libpam-runtime_1.3.1-5ubuntu4 libpam0g_1.3.1-5ubuntu4 libpcre2-8-0_10.34-7 libpcre3_2:8.39-12build1 libperl5.30_5.30.0-9build1 libpfm4_4.10.1+git20-g7700f49-2 libpipeline1_1.5.2-2build1 libpng16-16_1.6.37-2 libprocps8_2:3.3.16-1ubuntu2 libpython3-stdlib_3.8.2-0ubuntu2 libpython3.8-minimal_3.8.2-1ubuntu1 libpython3.8-stdlib_3.8.2-1ubuntu1 libquadmath0_10-20200411-0ubuntu1 libreadline8_8.0-4 libseccomp2_2.4.3-1ubuntu1 libselinux1_3.0-1build2 libsemanage-common_3.0-1build2 libsemanage1_3.0-1build2 libsepol1_3.0-1 libsigsegv2_2.12-2 libsmartcols1_2.34-0.1ubuntu9 libsqlite3-0_3.31.1-4 libss2_1.45.5-2ubuntu1 libssl1.1_1.1.1d-2ubuntu6 libstdc++-9-dev_9.3.0-10ubuntu2 libstdc++6_10-20200411-0ubuntu1 libsub-override-perl_0.09-2 libsystemd0_245.4-2ubuntu1 libtasn1-6_4.16.0-2 libtinfo-dev_6.2-0ubuntu2 libtinfo6_6.2-0ubuntu2 libtool_2.4.6-14 libtsan0_10-20200411-0ubuntu1 libubsan1_10-20200411-0ubuntu1 libuchardet0_0.0.6-3build1 libudev1_245.4-2ubuntu1 libunistring2_0.9.10-2 libuuid1_2.34-0.1ubuntu9 libxml2_2.9.10+dfsg-5 libyaml-0-2_0.2.2-1 libz3-4_4.8.7-4build1 libz3-dev_4.8.7-4build1 libzstd1_1.4.4+dfsg-3 linux-libc-dev_5.4.0-24.28 llvm_1:10.0-50~exp1 llvm-10_1:10.0.0-3 llvm-10-dev_1:10.0.0-3 llvm-10-runtime_1:10.0.0-3 llvm-10-tools_1:10.0.0-3 llvm-dev_1:10.0-50~exp1 llvm-runtime_1:10.0-50~exp1 lockfile-progs_0.1.18 login_1:4.8.1-1ubuntu4 logsave_1.45.5-2ubuntu1 lsb-base_11.1.0ubuntu2 lsb-release_11.1.0ubuntu2 m4_1.4.18-4 make_4.2.1-1.2 man-db_2.9.1-1 mawk_1.3.4.20200120-2 mime-support_3.64ubuntu1 mount_2.34-0.1ubuntu9 ncurses-base_6.2-0ubuntu2 ncurses-bin_6.2-0ubuntu2 openssl_1.1.1d-2ubuntu6 optipng_0.7.7-1 passwd_1:4.8.1-1ubuntu4 patch_2.7.6-6 patchutils_0.3.4-2 perl_5.30.0-9build1 perl-base_5.30.0-9build1 perl-modules-5.30_5.30.0-9build1 pinentry-curses_1.1.0-3build1 pkgbinarymangler_144 po-debconf_1.0.21 policyrcd-script-zg2_0.1-3 procps_2:3.3.16-1ubuntu2 python3_3.8.2-0ubuntu2 python3-minimal_3.8.2-0ubuntu2 python3-pygments_2.3.1+dfsg-1ubuntu2 python3-yaml_5.3.1-1 python3.8_3.8.2-1ubuntu1 python3.8-minimal_3.8.2-1ubuntu1 quilt_0.65-3 readline-common_8.0-4 sbuild-build-depends-core-dummy_0.invalid.0 sbuild-build-depends-ghdl-dummy_0.invalid.0 sed_4.7-1 sensible-utils_0.0.12+nmu1 sharutils_1:4.15.2-4build1 systemd_245.4-2ubuntu1 systemd-sysv_245.4-2ubuntu1 systemd-timesyncd_245.4-2ubuntu1 sysvinit-utils_2.96-2.1ubuntu1 tar_1.30+dfsg-7 tzdata_2019c-3ubuntu1 ubuntu-keyring_2020.02.11.1 util-linux_2.34-0.1ubuntu9 xz-utils_5.2.4-1 zlib1g_1:1.2.11.dfsg-2ubuntu1 zlib1g-dev_1:1.2.11.dfsg-2ubuntu1 +------------------------------------------------------------------------------+ | Build | +------------------------------------------------------------------------------+ Unpack source ------------- gpgv: Signature made Wed Apr 8 10:02:41 2020 UTC gpgv: using RSA key 92978A6E195E4921825F7FF0F34F09744E9F5DD9 gpgv: Can't check signature: No public key dpkg-source: warning: failed to verify signature on ./ghdl_0.37+dfsg-1ubuntu1.dsc dpkg-source: info: extracting ghdl in ghdl-0.37+dfsg dpkg-source: info: unpacking ghdl_0.37+dfsg.orig.tar.xz dpkg-source: info: unpacking ghdl_0.37+dfsg-1ubuntu1.debian.tar.xz dpkg-source: info: using patch list from debian/patches/series dpkg-source: info: applying versioning dpkg-source: info: applying fix-build dpkg-source: info: applying modify-install-paths dpkg-source: info: applying adjust-compile-flags dpkg-source: info: applying extend-ghdl-manpage dpkg-source: info: applying new-llvm.patch Check disc space ---------------- Sufficient free space for build User Environment ---------------- APT_CONFIG=/var/lib/sbuild/apt.conf DEB_BUILD_OPTIONS=parallel=4 HOME=/sbuild-nonexistent LANG=C.UTF-8 LC_ALL=C.UTF-8 LOGNAME=buildd PATH=/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games SCHROOT_ALIAS_NAME=build-PACKAGEBUILD-19139109 SCHROOT_CHROOT_NAME=build-PACKAGEBUILD-19139109 SCHROOT_COMMAND=env SCHROOT_GID=2501 SCHROOT_GROUP=buildd SCHROOT_SESSION_ID=build-PACKAGEBUILD-19139109 SCHROOT_UID=2001 SCHROOT_USER=buildd SHELL=/bin/sh TERM=unknown USER=buildd V=1 dpkg-buildpackage ----------------- dpkg-buildpackage: info: source package ghdl dpkg-buildpackage: info: source version 0.37+dfsg-1ubuntu1 dpkg-buildpackage: info: source distribution focal dpkg-source --before-build . dpkg-buildpackage: info: host architecture ppc64el debian/rules clean dh clean dh_clean debian/rules binary-arch dh binary-arch dh_update_autotools_config -a dh_autoreconf -a debian/rules override_dh_auto_configure make[1]: Entering directory '/<>/ghdl-0.37+dfsg' mkdir -p /<>/ghdl-0.37+dfsg/builddir/mcode /<>/ghdl-0.37+dfsg/builddir/llvm /<>/ghdl-0.37+dfsg/builddir/gcc ------------------------------------------------------------ Configuring with mcode backend ------------------------------------------------------------ if [ -n "" ]; then \ cd /<>/ghdl-0.37+dfsg/builddir/mcode; \ ../../configure --srcdir=../.. --prefix=/usr \ --libdir=lib/ghdl/mcode --incdir=lib/ghdl/include \ --enable-openieee; \ fi ------------------------------------------------------------ Configuring with llvm backend ------------------------------------------------------------ if [ -n "1" ]; then \ cd /<>/ghdl-0.37+dfsg/builddir/llvm; \ ../../configure --srcdir=../.. --prefix=/usr \ --libdir=lib/ghdl/llvm --incdir=lib/ghdl/include \ --enable-openieee --with-llvm-config; \ fi Build machine is: powerpc64le-linux-gnu Debugging is not enabled with llvm 10.0.0 create pic/ subdirectory Creating ghdl.gpr Creating Makefile make[2]: Entering directory '/<>/ghdl-0.37+dfsg/builddir/llvm' for d in src/std src/std/v87 src/std/v93 src/std/v08 src/synopsys src/synopsys/v08 std/v87 ieee/v87 synopsys/v87 std/v93 ieee/v93 synopsys/v93 std/v08 ieee/v08 src/openieee src/openieee/v87 src/openieee/v93 src/openieee/v08; do \ mkdir -p lib/ghdl/llvm/$d; \ done make[2]: Leaving directory '/<>/ghdl-0.37+dfsg/builddir/llvm' Generate ghdlsynth_maybe.ads Generate default_paths.ads ------------------------------------------------------------ Configuring with gcc backend ------------------------------------------------------------ # gcc unpack sequence cribbed from gcc-7-cross debian/rules set -e; \ if [ -n "1" ]; then \ cd /<>/ghdl-0.37+dfsg/builddir/gcc; \ ln -sf /usr/src/gcc-9/gcc-9.3.0.tar.xz gcc-9.3.0.tar.xz; \ cp -a /usr/src/gcc-9/debian/ .; \ if [ -n "$(grep -v '^\#' /<>/ghdl-0.37+dfsg/debian/patches/gcc-9/series)" ]; then \ cp -n /<>/ghdl-0.37+dfsg/debian/patches/gcc-9/*.diff debian/patches/ ; \ cat /<>/ghdl-0.37+dfsg/debian/patches/gcc-9/series >> debian/patches/series ; \ fi; \ debian/rules patch; \ ../../configure --srcdir=../.. --prefix=/usr \ --libdir=lib/ghdl/gcc --incdir=lib/ghdl/include \ --enable-openieee --with-gcc=src; \ make copy-sources; \ mkdir gccbuild; \ cd gccbuild; \ ../src/configure --prefix=/usr/lib/ghdl/gcc --enable-languages=vhdl \ --enable-default-pie \ --disable-bootstrap --disable-lto --disable-multilib \ --disable-libssp --disable-libgomp --disable-libquadmath \ --with-system-zlib --without-isl; \ fi grep: /<>/ghdl-0.37+dfsg/debian/patches/gcc-9/series: No such file or directory make[2]: Entering directory '/<>/ghdl-0.37+dfsg/builddir/gcc' : # unpack gcc tarball mkdir -p stamps if [ -d /<>/ghdl-0.37+dfsg/builddir/gcc/src ]; then \ echo >&2 "Source directory /<>/ghdl-0.37+dfsg/builddir/gcc/src exists. Delete by hand"; \ false; \ fi rm -rf gcc-9.3.0 tar -x -f gcc-9.3.0.tar.xz mv gcc-9.3.0 /<>/ghdl-0.37+dfsg/builddir/gcc/src ln -sf libsanitizer /<>/ghdl-0.37+dfsg/builddir/gcc/src/libasan echo "gcc-9.3.0.tar.xz unpacked." > stamps/01-unpack-stamp-gcc-9.3.0.tar.xz : # unpack gm2 tarball mkdir -p stamps : # rm -rf gm2-20200312 mkdir -p gm2 tar -x -f /usr/src/gcc-9/gm2-20200312.tar.xz (cd gm2 && tar cf - gcc libgm2) | (cd src && tar xf -) rm -rf gm2 echo "gm2-20200312.tar.xz unpacked." > stamps/01-unpack-stamp-gm2-20200312.tar.xz echo -e "\nBuilt from Debian source package gcc-9-9.3.0-10ubuntu2" \ > pxxx echo -e "Integrated upstream packages in this version:\n" >> pxxx for i in gcc-9.3.0.tar.xz gm2-20200312.tar.xz; do echo " $i" >> pxxx; done mv -f pxxx stamps/01-unpack-stamp echo git-updates.diff gcc-lto-jobserver.diff gcc-lto-pick-compile-time-g.diff git-doc-updates.diff rename-info-files.diff gcc-lto-jobserver-doc.diff gcc-lto-pick-compile-time-g-doc.diff gcc-gfdl-build.diff gcc-textdomain.diff gcc-distro-specs.diff gcc-driver-extra-langs.diff gcc-hash-style-gnu.diff libstdc++-pic.diff libstdc++-doclink.diff libstdc++-man-3cxx.diff libstdc++-test-installed.diff alpha-no-ev4-directive.diff note-gnu-stack.diff libgomp-omp_h-multilib.diff libgo-testsuite.diff libgo-cleanfiles.diff gcc-target-include-asm.diff libgo-revert-timeout-exp.diff libgo-setcontext-config.diff gcc-auto-build.diff kfreebsd-unwind.diff libitm-no-fortify-source.diff sparc64-biarch-long-double-128.diff pr66368.diff pr67590.diff libjit-ldflags.diff libffi-pax.diff libffi-race-condition.diff gcc-foffload-default.diff cuda-float128.diff libffi-mipsen-r6.diff t-libunwind-elf-Wl-z-defs.diff gcc-force-cross-layout.diff gcc-search-prefixed-as-ld.diff kfreebsd-decimal-float.diff pr87808.diff libgomp-no-werror.diff gdc-cross-build.diff verbose-lto-linker.diff libstdc++-futex.diff pr91307.diff pr93272.diff gm2.diff gm2-install-man.diff gm2-bootstrap-compare.diff gm2-jit-def.diff ada-gcc-name.diff ada-verbose.diff ada-link-lib.diff ada-libgnatvsn.diff ada-gnattools-cross.diff ada-lib-info-source-date-epoch.diff ada-armel-libatomic.diff ada-749574.diff ada-lib-info-file-prefix-map.diff ada-perl-shebang.diff ada-repinfo-input.diff gdc-driver-nophobos.diff disable-gdc-tests.diff sys-auxv-header.diff gdc-dynamic-link-phobos.diff ia64-disable-selective-scheduling.diff arm-multilib-defaults.diff gcc-ice-dump.diff gcc-ice-apport.diff skip-bootstrap-multilib.diff libffi-ro-eh_frame_sect.diff libffi-mips.diff ada-kfreebsd.diff gcc-multiarch.diff config-ml.diff g++-multiarch-incdir.diff canonical-cpppath.diff gcc-multilib-multiarch.diff gcc-as-needed.diff gcc-as-needed-gold.diff libgomp-kfreebsd-testsuite.diff go-testsuite.diff ada-changes-in-autogen-output.diff \ | sed -r 's/ +/ /g' | tr " " "\n" > debian/patches/series echo gcc-distro-specs-doc.diff gcc-default-fortify-source.diff gcc-default-relro.diff testsuite-hardening-format.diff testsuite-hardening-printf-types.diff testsuite-hardening-updates.diff testsuite-glibc-warnings.diff bind_now_when_pie.diff \ | sed -r 's/ +/ /g' | tr " " "\n" >> debian/patches/series sed -r 's/(.)$/\1 -p1/' -i debian/patches/series touch stamps/02-series-stamp sync QUILT_PATCHES=debian/patches QUILT_PATCH_OPTS='-E' \ quilt --quiltrc /dev/null push -a || test $? = 2 Applying patch git-updates.diff patching file src/gcc/ChangeLog patching file src/gcc/DATESTAMP patching file src/gcc/builtins.c patching file src/gcc/c/ChangeLog patching file src/gcc/c/c-decl.c patching file src/gcc/c/c-parser.c patching file src/gcc/c/c-tree.h patching file src/gcc/c/c-typeck.c patching file src/gcc/cgraphunit.c patching file src/gcc/config/aarch64/aarch64-protos.h patching file src/gcc/config/aarch64/aarch64-simd.md patching file src/gcc/config/aarch64/aarch64.c patching file src/gcc/config/aarch64/aarch64.opt patching file src/gcc/config/aarch64/atomics.md patching file src/gcc/config/aarch64/constraints.md patching file src/gcc/config/aarch64/iterators.md patching file src/gcc/config/arm/arm.md patching file src/gcc/config/i386/darwin.h patching file src/gcc/config/i386/i386.c patching file src/gcc/config/i386/i386.h patching file src/gcc/config/i386/sse.md patching file src/gcc/config/pa/pa.h patching file src/gcc/config/pdp11/pdp11.c patching file src/gcc/config/rs6000/altivec.h patching file src/gcc/config/rs6000/rs6000-c.c patching file src/gcc/config/rs6000/rs6000-cpus.def patching file src/gcc/config/rs6000/rs6000.c patching file src/gcc/cp/ChangeLog patching file src/gcc/cp/constexpr.c patching file src/gcc/cp/cp-gimplify.c patching file src/gcc/cp/expr.c patching file src/gcc/cp/mangle.c patching file src/gcc/cp/name-lookup.c patching file src/gcc/cp/parser.c patching file src/gcc/cp/pt.c patching file src/gcc/cp/semantics.c patching file src/gcc/cp/tree.c patching file src/gcc/d/ChangeLog patching file src/gcc/d/typeinfo.cc patching file src/gcc/dfp.c patching file src/gcc/dwarf2out.c patching file src/gcc/fold-const.c patching file src/gcc/fortran/ChangeLog patching file src/gcc/fortran/check.c patching file src/gcc/fortran/decl.c patching file src/gcc/fortran/match.c patching file src/gcc/fortran/resolve.c patching file src/gcc/gimple-fold.c patching file src/gcc/ipa-icf-gimple.c patching file src/gcc/params.def patching file src/gcc/print-rtl.c patching file src/gcc/reorg.c patching file src/gcc/resource.c patching file src/gcc/resource.h patching file src/gcc/testsuite/ChangeLog patching file src/gcc/testsuite/c-c++-common/pr94385.c patching file src/gcc/testsuite/g++.dg/abi/mangle75.C patching file src/gcc/testsuite/g++.dg/cpp0x/enum40.C patching file src/gcc/testsuite/g++.dg/cpp0x/lambda/lambda-93597.C patching file src/gcc/testsuite/g++.dg/cpp0x/lambda/lambda-constexpr1.C patching file src/gcc/testsuite/g++.dg/cpp0x/lambda/lambda-variadic10.C patching file src/gcc/testsuite/g++.dg/cpp0x/variadic-alias2.C patching file src/gcc/testsuite/g++.dg/cpp0x/variadic178.C patching file src/gcc/testsuite/g++.dg/cpp1z/class-deduction71.C patching file src/gcc/testsuite/g++.dg/debug/pr94459.C patching file src/gcc/testsuite/g++.dg/gomp/pr94477.C patching file src/gcc/testsuite/g++.dg/gomp/pr94512.C patching file src/gcc/testsuite/g++.dg/guality/pr93888.C patching file src/gcc/testsuite/g++.dg/lookup/pr84733.C patching file src/gcc/testsuite/g++.dg/template/dependent-name14.C patching file src/gcc/testsuite/g++.dg/torture/pr94303.C patching file src/gcc/testsuite/g++.target/aarch64/pr94052.C patching file src/gcc/testsuite/gcc.c-torture/compile/pr94488.c patching file src/gcc/testsuite/gcc.c-torture/execute/pr94130.c patching file src/gcc/testsuite/gcc.c-torture/execute/pr94134.c patching file src/gcc/testsuite/gcc.c-torture/execute/pr94412.c patching file src/gcc/testsuite/gcc.dg/dfp/pr94111.c patching file src/gcc/testsuite/gcc.dg/pr93399.c patching file src/gcc/testsuite/gcc.dg/pr94001.c patching file src/gcc/testsuite/gcc.dg/pr94114.c patching file src/gcc/testsuite/gcc.dg/pr94121.c patching file src/gcc/testsuite/gcc.dg/pr94167.c patching file src/gcc/testsuite/gcc.dg/pr94172-1.c patching file src/gcc/testsuite/gcc.dg/pr94172-2.c patching file src/gcc/testsuite/gcc.dg/pr94189.c patching file src/gcc/testsuite/gcc.dg/pr94211.c patching file src/gcc/testsuite/gcc.dg/pr94277.c patching file src/gcc/testsuite/gcc.dg/pr94283.c patching file src/gcc/testsuite/gcc.dg/pr94368.c patching file src/gcc/testsuite/gcc.dg/torture/pr94206.c patching file src/gcc/testsuite/gcc.dg/tree-ssa/pr93435.c patching file src/gcc/testsuite/gcc.dg/tree-ssa/pr94125.c patching file src/gcc/testsuite/gcc.dg/ubsan/pr94423.c patching file src/gcc/testsuite/gcc.dg/vect/bb-slp-over-widen-2.c patching file src/gcc/testsuite/gcc.dg/vect/pr93069.c patching file src/gcc/testsuite/gcc.dg/vect/slp-perm-12.c patching file src/gcc/testsuite/gcc.dg/vect/vect-over-widen-17.c patching file src/gcc/testsuite/gcc.misc-tests/gcov-pr94029.c patching file src/gcc/testsuite/gcc.target/aarch64/atomic-comp-swap-release-acquire.c patching file src/gcc/testsuite/gcc.target/aarch64/atomic-op-acq_rel.c patching file src/gcc/testsuite/gcc.target/aarch64/atomic-op-acquire.c patching file src/gcc/testsuite/gcc.target/aarch64/atomic-op-char.c patching file src/gcc/testsuite/gcc.target/aarch64/atomic-op-consume.c patching file src/gcc/testsuite/gcc.target/aarch64/atomic-op-imm.c patching file src/gcc/testsuite/gcc.target/aarch64/atomic-op-int.c patching file src/gcc/testsuite/gcc.target/aarch64/atomic-op-long.c patching file src/gcc/testsuite/gcc.target/aarch64/atomic-op-relaxed.c patching file src/gcc/testsuite/gcc.target/aarch64/atomic-op-release.c patching file src/gcc/testsuite/gcc.target/aarch64/atomic-op-seq_cst.c patching file src/gcc/testsuite/gcc.target/aarch64/atomic-op-short.c patching file src/gcc/testsuite/gcc.target/aarch64/atomic_cmp_exchange_zero_reg_1.c patching file src/gcc/testsuite/gcc.target/aarch64/atomic_cmp_exchange_zero_strong_1.c patching file src/gcc/testsuite/gcc.target/aarch64/pr94435.c patching file src/gcc/testsuite/gcc.target/aarch64/sync-comp-swap.c patching file src/gcc/testsuite/gcc.target/aarch64/sync-op-acquire.c patching file src/gcc/testsuite/gcc.target/aarch64/sync-op-full.c patching file src/gcc/testsuite/gcc.target/i386/avx2-pr94460.c patching file src/gcc/testsuite/gcc.target/i386/avx512bw-pr94500.c patching file src/gcc/testsuite/gcc.target/i386/avx512bw-pr94509-1.c patching file src/gcc/testsuite/gcc.target/i386/avx512bw-pr94509-2.c patching file src/gcc/testsuite/gcc.target/i386/avx512vl-pr93069.c patching file src/gcc/testsuite/gcc.target/i386/pr94103.c patching file src/gcc/testsuite/gcc.target/i386/pr94283.c patching file src/gcc/testsuite/gcc.target/powerpc/p9-minmax-3.c patching file src/gcc/testsuite/gcc.target/powerpc/pr90763.c patching file src/gcc/testsuite/gcc.target/powerpc/pr93800.c patching file src/gcc/testsuite/gcc.target/powerpc/pragma_misc9.c patching file src/gcc/testsuite/gcc.target/powerpc/pragma_power6.c patching file src/gcc/testsuite/gcc.target/powerpc/pragma_power7.c patching file src/gcc/testsuite/gcc.target/powerpc/pragma_power8.c patching file src/gcc/testsuite/gcc.target/powerpc/pragma_power9.c patching file src/gcc/testsuite/gcc.target/powerpc/vsu/vec-all-nez-7.c patching file src/gcc/testsuite/gcc.target/powerpc/vsu/vec-any-eqz-7.c patching file src/gcc/testsuite/gfortran.dg/dec_structure_28.f90 patching file src/gcc/testsuite/gfortran.dg/module_procedure_3.f90 patching file src/gcc/testsuite/gfortran.dg/pr91913.f90 patching file src/gcc/testsuite/gfortran.dg/pr93484_1.f90 patching file src/gcc/testsuite/gfortran.dg/pr93484_2.f90 patching file src/gcc/testsuite/gfortran.dg/pr93498_1.f90 patching file src/gcc/testsuite/gfortran.dg/pr93498_2.f90 patching file src/gcc/testsuite/gfortran.dg/pr93686_1.f90 patching file src/gcc/testsuite/gfortran.dg/pr93686_2.f90 patching file src/gcc/testsuite/gfortran.dg/pr93686_3.f90 patching file src/gcc/testsuite/gfortran.dg/pr93686_4.f90 patching file src/gcc/testsuite/gfortran.dg/pr94030_1.f90 patching file src/gcc/testsuite/gfortran.dg/pr94030_2.f90 patching file src/gcc/testsuite/gfortran.dg/pr94329.f90 patching file src/gcc/tree-if-conv.c patching file src/gcc/tree-inline.c patching file src/gcc/tree-loop-distribution.c patching file src/gcc/tree-nested.c patching file src/gcc/tree-object-size.c patching file src/gcc/tree-pretty-print.c patching file src/gcc/tree-pretty-print.h patching file src/gcc/tree-sra.c patching file src/gcc/tree-ssa-dse.c patching file src/gcc/tree-ssa-phiopt.c patching file src/gcc/tree-ssa-pre.c patching file src/gcc/tree-ssa-reassoc.c patching file src/gcc/tree-ssa-sccvn.c patching file src/gcc/tree-tailcall.c patching file src/gcc/varasm.c patching file src/libgcc/ChangeLog patching file src/libgcc/config.host patching file src/libgcc/config.in patching file src/libgcc/config/aarch64/lse-init.c patching file src/libgcc/config/aarch64/lse.S patching file src/libgcc/config/aarch64/t-lse patching file src/libgcc/configure patching file src/libgcc/configure.ac patching file src/libgomp/ChangeLog patching file src/libgomp/testsuite/libgomp.c++/pr93931.C patching file src/libgomp/testsuite/libgomp.c/pr93566.c patching file src/libstdc++-v3/ChangeLog patching file src/libstdc++-v3/include/bits/fs_path.h patching file src/libstdc++-v3/include/bits/ptr_traits.h patching file src/libstdc++-v3/include/experimental/executor patching file src/libstdc++-v3/include/std/functional patching file src/libstdc++-v3/src/c++17/fs_path.cc patching file src/libstdc++-v3/testsuite/20_util/to_address/1_neg.cc patching file src/libstdc++-v3/testsuite/20_util/to_address/debug.cc patching file src/libstdc++-v3/testsuite/27_io/filesystem/operations/last_write_time.cc patching file src/libstdc++-v3/testsuite/27_io/filesystem/path/concat/94063.cc patching file src/libstdc++-v3/testsuite/27_io/filesystem/path/generic/generic_string.cc patching file src/libstdc++-v3/testsuite/27_io/filesystem/path/generic/utf.cc patching file src/libstdc++-v3/testsuite/27_io/filesystem/path/generic/wchar_t.cc patching file src/libstdc++-v3/testsuite/experimental/filesystem/operations/last_write_time.cc patching file src/libstdc++-v3/testsuite/experimental/net/execution_context/make_service.cc patching file src/libstdc++-v3/testsuite/tr1/8_c_compatibility/cstdlib/functions.cc patching file src/maintainer-scripts/ChangeLog patching file src/maintainer-scripts/gcc_release Applying patch gcc-lto-jobserver.diff patching file src/gcc/gcc.c patching file src/gcc/gcc.h patching file src/gcc/lto-wrapper.c patching file src/gcc/opts.c patching file src/gcc/testsuite/g++.dg/lto/devirt-19_0.C patching file src/gcc/testsuite/gcc.dg/spellcheck-options-21.c patching file src/include/libiberty.h patching file src/libiberty/Makefile.in patching file src/libiberty/filedescriptor.c Applying patch gcc-lto-pick-compile-time-g.diff patching file src/gcc/lto-opts.c patching file src/gcc/lto-wrapper.c Applying patch git-doc-updates.diff patching file src/gcc/doc/invoke.texi patching file src/gcc/doc/tm.texi patching file src/gcc/doc/tm.texi.in Applying patch rename-info-files.diff patching file src/gcc/fortran/gfortran.texi patching file src/gcc/fortran/Make-lang.in patching file src/gcc/Makefile.in Hunk #1 succeeded at 3142 (offset 4 lines). Hunk #2 succeeded at 3213 (offset 4 lines). Hunk #3 succeeded at 3234 (offset 4 lines). Hunk #4 succeeded at 3687 (offset 4 lines). Hunk #5 succeeded at 3912 (offset 4 lines). patching file src/gcc/ada/gnat-style.texi patching file src/gcc/ada/gnat_rm.texi patching file src/gcc/doc/invoke.texi Hunk #1 succeeded at 13296 (offset 608 lines). Hunk #2 succeeded at 13305 with fuzz 1 (offset 608 lines). Hunk #3 succeeded at 29641 (offset 216 lines). Hunk #4 succeeded at 29801 (offset 216 lines). patching file src/gcc/doc/extend.texi Hunk #1 succeeded at 24096 (offset 1204 lines). patching file src/gcc/doc/standards.texi patching file src/gcc/doc/libgcc.texi patching file src/gcc/doc/gccint.texi patching file src/gcc/doc/cpp.texi patching file src/gcc/doc/gcc.texi patching file src/gcc/doc/install.texi patching file src/gcc/doc/cppinternals.texi patching file src/libgomp/libgomp.texi patching file src/libgomp/Makefile.in Hunk #1 succeeded at 602 (offset 2 lines). Hunk #2 succeeded at 1379 (offset 4 lines). patching file src/libgomp/Makefile.am Hunk #1 succeeded at 127 (offset 1 line). patching file src/libitm/libitm.texi patching file src/libitm/Makefile.am patching file src/libitm/Makefile.in patching file src/gcc/go/Make-lang.in patching file src/gcc/go/gccgo.texi patching file src/libquadmath/libquadmath.texi patching file src/libquadmath/Makefile.am Hunk #1 succeeded at 134 (offset 2 lines). patching file src/libquadmath/Makefile.in Hunk #1 succeeded at 282 (offset 5 lines). Hunk #2 succeeded at 552 (offset 7 lines). Hunk #3 succeeded at 1434 (offset 25 lines). Applying patch gcc-lto-jobserver-doc.diff patching file src/gcc/doc/invoke.texi Hunk #1 succeeded at 10341 (offset 14 lines). Hunk #2 succeeded at 10350 (offset 14 lines). Applying patch gcc-lto-pick-compile-time-g-doc.diff patching file src/gcc/doc/invoke.texi Hunk #1 succeeded at 10271 (offset -64 lines). Applying patch gcc-gfdl-build.diff patching file src/gcc/Makefile.in Applying patch gcc-textdomain.diff patching file src/gcc/intl.c patching file src/gcc/Makefile.in Hunk #1 succeeded at 4259 (offset 59 lines). patching file src/libcpp/init.c patching file src/libcpp/system.h patching file src/libcpp/Makefile.in Applying patch gcc-distro-specs.diff patching file src/gcc/gcc.c patching file src/gcc/cp/lang-specs.h patching file src/gcc/objc/lang-specs.h patching file src/gcc/objcp/lang-specs.h Applying patch gcc-driver-extra-langs.diff patching file src/gcc/Makefile.in Applying patch gcc-hash-style-gnu.diff patching file src/gcc/config/alpha/linux-elf.h patching file src/gcc/config/ia64/linux.h patching file src/gcc/config/rs6000/linux64.h patching file src/gcc/config/rs6000/sysv4.h patching file src/gcc/config/s390/linux.h patching file src/gcc/config/sparc/linux.h patching file src/gcc/config/arm/linux-elf.h patching file src/gcc/config/i386/gnu-user.h patching file src/gcc/config/i386/gnu-user64.h patching file src/gcc/config/aarch64/aarch64-linux.h patching file src/gcc/config/riscv/linux.h Applying patch libstdc++-pic.diff patching file src/libstdc++-v3/src/Makefile.am patching file src/libstdc++-v3/src/Makefile.in Applying patch libstdc++-doclink.diff patching file src/libstdc++-v3/doc/doxygen/mainpage.html patching file src/libstdc++-v3/doc/html/api.html patching file src/libstdc++-v3/doc/xml/api.xml Applying patch libstdc++-man-3cxx.diff patching file src/libstdc++-v3/doc/doxygen/user.cfg.in patching file src/libstdc++-v3/scripts/run_doxygen Applying patch libstdc++-test-installed.diff patching file src/libstdc++-v3/testsuite/lib/libstdc++.exp Applying patch alpha-no-ev4-directive.diff patching file src/gcc/config/alpha/alpha.c Applying patch note-gnu-stack.diff patching file src/libgcc/config/ia64/crtbegin.S patching file src/libgcc/config/ia64/crtend.S patching file src/libgcc/config/ia64/crti.S patching file src/libgcc/config/ia64/crtn.S patching file src/libgcc/config/ia64/lib1funcs.S patching file src/gcc/config/ia64/linux.h patching file src/gcc/config/rs6000/ppc-asm.h Applying patch libgomp-omp_h-multilib.diff patching file src/libgomp/omp.h.in Applying patch libgo-testsuite.diff patching file src/libgo/Makefile.am patching file src/libgo/Makefile.in Applying patch libgo-cleanfiles.diff patching file src/libgo/Makefile.am patching file src/libgo/Makefile.in Applying patch gcc-target-include-asm.diff patching file src/configure.ac Applying patch libgo-revert-timeout-exp.diff patching file src/libgo/testsuite/lib/libgo.exp Applying patch libgo-setcontext-config.diff patching file src/libgo/configure.ac Applying patch gcc-auto-build.diff patching file src/gcc/configure.ac Applying patch kfreebsd-unwind.diff patching file src/libgcc/config.host Hunk #1 succeeded at 679 (offset 4 lines). Hunk #2 succeeded at 700 (offset 4 lines). patching file src/libgcc/config/i386/freebsd-unwind.h Applying patch libitm-no-fortify-source.diff patching file src/libitm/configure.tgt Applying patch sparc64-biarch-long-double-128.diff patching file src/gcc/config/sparc/linux64.h Applying patch pr66368.diff patching file src/libgo/Makefile.am patching file src/libgo/Makefile.in Applying patch pr67590.diff patching file src/libcc1/configure.ac Applying patch libjit-ldflags.diff patching file src/gcc/jit/Make-lang.in Applying patch libffi-pax.diff patching file src/libffi/configure.ac patching file src/libffi/src/closures.c Applying patch libffi-race-condition.diff patching file src/libffi/src/closures.c Applying patch gcc-foffload-default.diff patching file src/gcc/gcc.c patching file src/gcc/lto-wrapper.c patching file src/libgomp/target.c Applying patch cuda-float128.diff patching file src/libstdc++-v3/include/std/type_traits patching file src/libstdc++-v3/include/bits/std_abs.h Applying patch libffi-mipsen-r6.diff patching file src/libffi/src/mips/n32.S patching file src/libffi/src/mips/ffi.c Applying patch t-libunwind-elf-Wl-z-defs.diff patching file src/libgcc/config/t-libunwind-elf Applying patch gcc-force-cross-layout.diff patching file src/configure.ac patching file src/gcc/configure.ac Applying patch gcc-search-prefixed-as-ld.diff patching file src/gcc/gcc.c Applying patch kfreebsd-decimal-float.diff patching file src/gcc/configure.ac patching file src/libdecnumber/configure.ac patching file src/libgcc/configure.ac Applying patch pr87808.diff patching file src/gcc/jit/Make-lang.in patching file src/gcc/jit/jit-playback.c Applying patch libgomp-no-werror.diff patching file src/libgomp/configure.ac Applying patch gdc-cross-build.diff patching file src/gcc/d/Make-lang.in patching file src/gcc/d/d-system.h Applying patch verbose-lto-linker.diff patching file src/gcc/lock-and-run.sh Applying patch libstdc++-futex.diff patching file src/config/futex.m4 patching file src/libitm/configure patching file src/libgomp/configure patching file src/libstdc++-v3/configure Applying patch pr91307.diff patching file src/gcc/ipa.c Applying patch pr93272.diff patching file src/gcc/ira-lives.c Applying patch gm2.diff patching file src/configure.ac patching file src/gcc/c/gccspec.c patching file src/gcc/c-family/cppspec.c patching file src/gcc/cp/g++spec.c patching file src/gcc/gcc.c patching file src/gcc/gcc.h patching file src/Makefile.def patching file src/Makefile.in patching file src/Makefile.tpl patching file src/gcc/brig/brigspec.c patching file src/gcc/d/d-spec.cc patching file src/gcc/fortran/gfortranspec.c patching file src/gcc/go/gospec.c Applying patch gm2-install-man.diff patching file src/gcc/gm2/Make-lang.in Applying patch gm2-bootstrap-compare.diff patching file src/configure.ac Applying patch gm2-jit-def.diff patching file src/gcc/jit/jit-spec.c Applying patch ada-gcc-name.diff patching file src/gcc/ada/osint.ads patching file src/gcc/ada/osint.adb patching file src/gcc/ada/gnatchop.adb Applying patch ada-verbose.diff patching file src/gcc/ada/Make-generated.in patching file src/gcc/ada/gcc-interface/Makefile.in Applying patch ada-link-lib.diff patching file src/gcc/ada/gcc-interface/config-lang.in patching file src/gcc/ada/link.c patching file src/libada/Makefile.in patching file src/Makefile.def patching file src/configure.ac patching file src/gcc/ada/gcc-interface/Make-lang.in patching file src/gcc/testsuite/lib/gnat.exp Applying patch ada-libgnatvsn.diff patching file src/libgnatvsn/configure.ac patching file src/libgnatvsn/gnatvsn.gpr.in patching file src/libgnatvsn/Makefile.am patching file src/Makefile.def patching file src/configure.ac patching file src/gcc/ada/gcc-interface/config-lang.in patching file src/gcc/testsuite/ada/acats/run_acats.sh patching file src/gcc/testsuite/lib/gnat.exp patching file src/libgnatvsn/Makefile.in patching file src/libgnatvsn/aclocal.m4 Applying patch ada-gnattools-cross.diff patching file src/gcc/ada/Makefile.rtl patching file src/gcc/ada/gcc-interface/Makefile.in patching file src/gnattools/Makefile.in Applying patch ada-lib-info-source-date-epoch.diff patching file src/gcc/ada/osint.adb patching file src/gcc/ada/osint.ads patching file src/gcc/ada/libgnat/s-os_lib.adb patching file src/gcc/ada/libgnat/s-os_lib.ads Applying patch ada-armel-libatomic.diff patching file src/gcc/ada/Makefile.rtl patching file src/Makefile.def patching file src/gcc/ada/gcc-interface/Makefile.in Applying patch ada-749574.diff patching file src/gcc/ada/gnatlink.adb Applying patch ada-lib-info-file-prefix-map.diff patching file src/gcc/ada/lib-writ.adb Applying patch ada-perl-shebang.diff patching file src/gcc/ada/gnathtml.pl Applying patch ada-repinfo-input.diff patching file src/gcc/ada/alloc.ads patching file src/gcc/ada/debug.adb patching file src/gcc/ada/gcc-interface/Make-lang.in patching file src/gcc/ada/gnat1drv.adb patching file src/gcc/ada/repinfo-input.adb patching file src/gcc/ada/repinfo-input.ads patching file src/gcc/ada/snames.ads-tmpl Applying patch gdc-driver-nophobos.diff patching file src/gcc/d/d-lang.cc Hunk #1 succeeded at 321 (offset 6 lines). patching file src/gcc/d/d-spec.cc Hunk #1 succeeded at 70 with fuzz 2. Applying patch disable-gdc-tests.diff patching file src/gcc/d/Make-lang.in Hunk #1 succeeded at 206 with fuzz 2 (offset 58 lines). Applying patch sys-auxv-header.diff patching file src/gcc/configure.ac patching file src/gcc/config.in patching file src/gcc/config/rs6000/driver-rs6000.c Applying patch gdc-dynamic-link-phobos.diff patching file src/gcc/d/d-spec.cc Applying patch ia64-disable-selective-scheduling.diff patching file src/gcc/config/ia64/ia64.c Applying patch arm-multilib-defaults.diff patching file src/gcc/config.gcc patching file src/gcc/config/arm/linux-eabi.h Applying patch gcc-ice-dump.diff patching file src/gcc/gcc.c Applying patch gcc-ice-apport.diff patching file src/gcc/gcc.c Applying patch skip-bootstrap-multilib.diff patching file src/config-ml.in patching file src/libstdc++-v3/acinclude.m4 Applying patch libffi-ro-eh_frame_sect.diff patching file src/libffi/configure.ac Applying patch libffi-mips.diff patching file src/libffi/src/mips/ffi.c patching file src/libffi/src/mips/ffitarget.h patching file src/libffi/src/mips/n32.S patching file src/libffi/src/mips/o32.S Applying patch ada-kfreebsd.diff patching file src/gcc/ada/libgnarl/s-osinte__kfreebsd-gnu.ads patching file src/gcc/ada/gsocket.h patching file src/gcc/ada/s-oscons-tmplt.c Applying patch gcc-multiarch.diff patching file src/gcc/config/sh/t-linux patching file src/gcc/config/sparc/t-linux64 patching file src/gcc/config/s390/t-linux64 patching file src/gcc/config/rs6000/t-linux64 patching file src/gcc/config/i386/t-linux64 patching file src/gcc/config/i386/t-kfreebsd patching file src/gcc/config/mips/t-linux64 patching file src/gcc/config.gcc patching file src/gcc/config/mips/mips.h patching file src/gcc/config/tilegx/t-tilegx patching file src/gcc/config/riscv/t-linux patching file src/gcc/Makefile.in patching file src/gcc/config/aarch64/t-aarch64-linux Applying patch config-ml.diff patching file src/config-ml.in Applying patch g++-multiarch-incdir.diff patching file src/libstdc++-v3/include/Makefile.am patching file src/libstdc++-v3/include/Makefile.in patching file src/gcc/Makefile.in patching file src/gcc/cppdefault.c patching file src/gcc/incpath.c Applying patch canonical-cpppath.diff patching file src/gcc/incpath.c Applying patch gcc-multilib-multiarch.diff patching file src/gcc/config/sparc/t-linux64 patching file src/gcc/config/s390/t-linux64 patching file src/gcc/config/rs6000/t-linux64 patching file src/gcc/config/i386/t-linux64 patching file src/gcc/config/mips/t-linux64 patching file src/gcc/config/rs6000/t-linux Applying patch gcc-as-needed.diff patching file src/gcc/gcc.c patching file src/gcc/config/gnu-user.h patching file src/gcc/config/aarch64/aarch64-linux.h patching file src/gcc/config/ia64/linux.h patching file src/gcc/config/sparc/linux.h patching file src/gcc/config/s390/linux.h patching file src/gcc/config/rs6000/linux64.h patching file src/gcc/config/rs6000/sysv4.h patching file src/gcc/config/i386/gnu-user64.h patching file src/gcc/config/i386/gnu-user.h patching file src/gcc/config/alpha/linux-elf.h patching file src/gcc/config/arm/linux-elf.h patching file src/gcc/config/mips/gnu-user.h patching file src/gcc/config/riscv/linux.h Applying patch gcc-as-needed-gold.diff patching file src/gcc/gcc.c Applying patch libgomp-kfreebsd-testsuite.diff patching file src/libgomp/testsuite/libgomp.c/lock-2.c Applying patch go-testsuite.diff patching file src/gcc/testsuite/go.test/go-test.exp Applying patch ada-changes-in-autogen-output.diff patching file src/Makefile.in Applying patch gcc-distro-specs-doc.diff patching file src/gcc/doc/invoke.texi Hunk #1 succeeded at 10993 (offset 26 lines). Hunk #2 succeeded at 11622 (offset 26 lines). Hunk #3 succeeded at 12773 (offset 33 lines). Hunk #4 succeeded at 12859 (offset 33 lines). Applying patch gcc-default-fortify-source.diff patching file src/gcc/doc/invoke.texi Hunk #1 succeeded at 8322 (offset 1217 lines). patching file src/gcc/c-family/c-cppbuiltin.c Hunk #1 succeeded at 1357 (offset 22 lines). Applying patch gcc-default-relro.diff patching file src/gcc/doc/invoke.texi Hunk #1 succeeded at 13546 (offset 26 lines). patching file src/gcc/gcc.c Applying patch testsuite-hardening-format.diff patching file src/gcc/testsuite/gcc.c-torture/execute/vfprintf-chk-1.x patching file src/gcc/testsuite/gcc.c-torture/execute/vprintf-chk-1.x patching file src/gcc/testsuite/gcc.dg/charset/builtin2.c patching file src/gcc/testsuite/gcc.dg/format/format.exp patching file src/gcc/testsuite/gcc.dg/pr30473.c patching file src/gcc/testsuite/gcc.dg/pr38902.c patching file src/gcc/testsuite/gcc.dg/pr59418.c patching file src/gcc/testsuite/gcc.dg/ipa/ipa-sra-1.c patching file src/gcc/testsuite/gcc.dg/lto/20090218-2_0.c patching file src/gcc/testsuite/c-c++-common/torture/vector-compare-1.c patching file src/gcc/testsuite/gcc.dg/tree-ssa/builtin-vprintf-chk-1.c patching file src/gcc/testsuite/gcc.dg/tree-ssa/builtin-vprintf-1.c patching file src/gcc/testsuite/gcc.dg/tree-ssa/builtin-printf-1.c patching file src/gcc/testsuite/gcc.dg/tree-ssa/builtin-printf-chk-1.c patching file src/gcc/testsuite/gcc.dg/tree-ssa/builtin-fprintf-1.c patching file src/gcc/testsuite/gcc.dg/tree-ssa/builtin-fprintf-chk-1.c patching file src/gcc/testsuite/gcc.dg/torture/tls/tls-test.c patching file src/gcc/testsuite/objc.dg/torture/strings/const-str-3.m patching file src/gcc/testsuite/g++.dg/abi/pragma-pack1.C patching file src/gcc/testsuite/g++.dg/abi/regparm1.C patching file src/gcc/testsuite/g++.dg/cpp0x/constexpr-tuple.C patching file src/gcc/testsuite/g++.dg/torture/pr51436.C patching file src/gcc/testsuite/g++.old-deja/g++.law/weak.C patching file src/gcc/testsuite/g++.old-deja/g++.other/std1.C patching file src/gcc/testsuite/gcc.dg/tree-ssa/builtin-vfprintf-1.c patching file src/gcc/testsuite/gcc.dg/tree-ssa/builtin-vfprintf-chk-1.c Applying patch testsuite-hardening-printf-types.diff patching file src/gcc/testsuite/g++.dg/ext/align1.C patching file src/gcc/testsuite/g++.old-deja/g++.law/operators28.C patching file src/gcc/testsuite/gcc.dg/torture/matrix-2.c patching file src/gcc/testsuite/gcc.dg/packed-vla.c patching file src/gcc/testsuite/g++.dg/opt/alias2.C patching file src/gcc/testsuite/g++.old-deja/g++.abi/vbase1.C patching file src/gcc/testsuite/g++.old-deja/g++.brendan/template8.C patching file src/gcc/testsuite/g++.old-deja/g++.eh/ptr1.C patching file src/gcc/testsuite/g++.old-deja/g++.jason/access23.C patching file src/gcc/testsuite/g++.old-deja/g++.law/cvt8.C patching file src/gcc/testsuite/g++.old-deja/g++.mike/net35.C patching file src/gcc/testsuite/g++.old-deja/g++.mike/offset1.C patching file src/gcc/testsuite/g++.old-deja/g++.mike/p12306.C patching file src/gcc/testsuite/g++.old-deja/g++.mike/p3579.C patching file src/gcc/testsuite/g++.old-deja/g++.mike/p3708a.C patching file src/gcc/testsuite/g++.old-deja/g++.mike/p3708b.C patching file src/gcc/testsuite/g++.old-deja/g++.mike/p3708.C patching file src/gcc/testsuite/g++.old-deja/g++.mike/p646.C patching file src/gcc/testsuite/g++.old-deja/g++.mike/p710.C patching file src/gcc/testsuite/g++.old-deja/g++.mike/p789a.C patching file src/gcc/testsuite/g++.old-deja/g++.mike/pmf2.C patching file src/gcc/testsuite/g++.old-deja/g++.mike/temp.C patching file src/gcc/testsuite/g++.old-deja/g++.other/temporary1.C patching file src/gcc/testsuite/g++.old-deja/g++.other/virtual8.C patching file src/gcc/testsuite/g++.old-deja/g++.pt/memtemp23.C patching file src/gcc/testsuite/g++.old-deja/g++.pt/memtemp24.C patching file src/gcc/testsuite/g++.old-deja/g++.pt/memtemp25.C patching file src/gcc/testsuite/g++.old-deja/g++.pt/memtemp26.C patching file src/gcc/testsuite/g++.old-deja/g++.pt/t39.C patching file src/gcc/testsuite/g++.old-deja/g++.robertl/eb17.C patching file src/gcc/testsuite/gcc.dg/pch/inline-4.c Applying patch testsuite-hardening-updates.diff patching file src/gcc/testsuite/g++.dg/asan/asan_test.C patching file src/gcc/testsuite/g++.dg/asan/interception-malloc-test-1.C patching file src/gcc/testsuite/gcc.c-torture/execute/memset-1.c patching file src/gcc/testsuite/c-c++-common/asan/strncpy-overflow-1.c patching file src/gcc/testsuite/gcc.dg/superblock.c patching file src/gcc/testsuite/gcc.dg/stack-usage-1.c patching file src/gcc/testsuite/gcc.target/i386/sw-1.c patching file src/gcc/testsuite/gcc.dg/fstack-protector-strong.c patching file src/gcc/testsuite/g++.dg/fstack-protector-strong.C patching file src/gcc/testsuite/gcc.c-torture/execute/memset-1.x Applying patch testsuite-glibc-warnings.diff patching file src/gcc/testsuite/c-c++-common/tsan/fd_pipe_race.c Applying patch bind_now_when_pie.diff patching file src/gcc/gcc.c Hunk #1 succeeded at 1020 (offset 84 lines). Now at patch bind_now_when_pie.diff : # only needed when we have changes, and currently fails with autogen 5.18 : #cd /<>/ghdl-0.37+dfsg/builddir/gcc/src/fixincludes && ./genfixes sync echo -n src/ src/gcc/ src/libcc1/ src/libdecnumber/ src/libffi/ src/libgcc/ src/libgnatvsn/ src/libgo/ src/libgomp/ src/libstdc++-v3/ | xargs -d ' ' -L 1 -P 4 -I{} \ sh -c 'echo "Running autoconf in {}..." ; \ cd /<>/ghdl-0.37+dfsg/builddir/gcc/{} && rm -f configure && \ AUTOM4TE=/usr/bin/autom4te autoconf' Running autoconf in src/... Running autoconf in src/gcc/... Running autoconf in src/libcc1/... Running autoconf in src/libdecnumber/... Running autoconf in src/libffi/... Running autoconf in src/libgcc/... Running autoconf in src/libgnatvsn/... Running autoconf in src/libgo/... Running autoconf in src/libgomp/... Running autoconf in src/libstdc++-v3/... for i in git-updates gcc-lto-jobserver gcc-lto-pick-compile-time-g git-doc-updates rename-info-files gcc-lto-jobserver-doc gcc-lto-pick-compile-time-g-doc gcc-gfdl-build gcc-textdomain gcc-distro-specs gcc-driver-extra-langs gcc-hash-style-gnu libstdc++-pic libstdc++-doclink libstdc++-man-3cxx libstdc++-test-installed alpha-no-ev4-directive note-gnu-stack libgomp-omp_h-multilib libgo-testsuite libgo-cleanfiles gcc-target-include-asm libgo-revert-timeout-exp libgo-setcontext-config gcc-auto-build kfreebsd-unwind libitm-no-fortify-source sparc64-biarch-long-double-128 pr66368 pr67590 libjit-ldflags libffi-pax libffi-race-condition gcc-foffload-default cuda-float128 libffi-mipsen-r6 t-libunwind-elf-Wl-z-defs gcc-force-cross-layout gcc-search-prefixed-as-ld kfreebsd-decimal-float pr87808 libgomp-no-werror gdc-cross-build verbose-lto-linker libstdc++-futex pr91307 pr93272 gm2 gm2-install-man gm2-bootstrap-compare gm2-jit-def ada-gcc-name ada-verbose ada-link-lib ada-libgnatvsn ada-gnattools-cross ada-lib-info-source-date-epoch ada-armel-libatomic ada-749574 ada-lib-info-file-prefix-map ada-perl-shebang ada-repinfo-input gdc-driver-nophobos disable-gdc-tests sys-auxv-header gdc-dynamic-link-phobos ia64-disable-selective-scheduling arm-multilib-defaults gcc-ice-dump gcc-ice-apport skip-bootstrap-multilib libffi-ro-eh_frame_sect libffi-mips ada-kfreebsd gcc-multiarch config-ml g++-multiarch-incdir canonical-cpppath gcc-multilib-multiarch gcc-as-needed gcc-as-needed-gold libgomp-kfreebsd-testsuite go-testsuite ada-changes-in-autogen-output gcc-distro-specs-doc gcc-default-fortify-source gcc-default-relro testsuite-hardening-format testsuite-hardening-printf-types testsuite-hardening-updates testsuite-glibc-warnings bind_now_when_pie; do \ echo -e "\n$i:" >> pxxx; \ sed -n 's/^# *DP: */ /p' debian/patches/$i.diff >> pxxx; \ done : # generate the distro-defaults.h header rm -f /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/distro-defaults.h echo '/* distro specific configuration injected by the distro build. */' \ >> /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/distro-defaults.h echo '#define DIST_DEFAULT_ASYNC_UNWIND 1' \ >> /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/distro-defaults.h echo '#define DIST_DEFAULT_SSP 1' \ >> /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/distro-defaults.h echo '#define DIST_DEFAULT_SSP_STRONG 1' \ >> /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/distro-defaults.h echo '#define DIST_DEFAULT_FORMAT_SECURITY 1' \ >> /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/distro-defaults.h echo '#define DIST_DEFAULT_STACK_CLASH 1' \ >> /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/distro-defaults.h mv pxxx stamps/02-patch-stamp make[2]: Leaving directory '/<>/ghdl-0.37+dfsg/builddir/gcc' Build machine is: powerpc64le-linux-gnu create pic/ subdirectory Creating ghdl.gpr Creating Makefile make[2]: Entering directory '/<>/ghdl-0.37+dfsg/builddir/gcc' for d in src/std src/std/v87 src/std/v93 src/std/v08 src/synopsys src/synopsys/v08 std/v87 ieee/v87 synopsys/v87 std/v93 ieee/v93 synopsys/v93 std/v08 ieee/v08 src/openieee src/openieee/v87 src/openieee/v93 src/openieee/v08; do \ mkdir -p lib/ghdl/gcc/$d; \ done make[2]: Leaving directory '/<>/ghdl-0.37+dfsg/builddir/gcc' Generate ghdlsynth_maybe.ads Generate default_paths.ads make[2]: Entering directory '/<>/ghdl-0.37+dfsg/builddir/gcc' if [ -n "Ubuntu 0.37+dfsg-1ubuntu1" ]; then \ sub="Ubuntu 0.37+dfsg-1ubuntu1"; \ elif test -d ../../.git \ && desc=`cd ../..; git describe --dirty`; then \ sub="$desc"; \ else \ sub="tarball"; \ fi; \ sed -e "s,@ORIGIN@,$sub," -e "s/@VER@/0.37/" < ../../src/version.in > version.tmp; \ if [ ! -r version.ads ] || ! cmp version.tmp version.ads > /dev/null; then cp version.tmp version.ads; fi rm -f -rf src/gcc/vhdl mkdir src/gcc/vhdl cp -p version.ads ghdlsynth_maybe.ads src/gcc/vhdl cp -p ../../src/*.ad? src/gcc/vhdl cp -p ../../src/vhdl/*.ad? src/gcc/vhdl cp -p ../../src/vhdl/translate/*.ad? src/gcc/vhdl cp -p ../../src/synth/*.ad? src/gcc/vhdl cp -p ../../src/psl/*.ad? src/gcc/vhdl cp -p ../../src/grt/grt.ad? src/gcc/vhdl cp -p ../../src/grt/grt-types.ads src/gcc/vhdl cp -p ../../src/grt/grt-c.ad? src/gcc/vhdl cp -p ../../src/grt/grt-fcvt.ad? src/gcc/vhdl cp -p ../../src/grt/grt-algos.ad? src/gcc/vhdl cp -p ../../src/grt/grt-vstrings.ad? src/gcc/vhdl cp -p ../../src/grt/grt-cstdio.c src/gcc/vhdl cp -p ../../src/grt/grt-stdio.ad? src/gcc/vhdl cp -p ../../src/grt/grt-table.ad? src/gcc/vhdl cp -p ../../src/grt/grt-files_operations.ad? src/gcc/vhdl cp -p ../../src/ortho/*.ad? src/gcc/vhdl cp -p ../../src/ortho/gcc/*.ad? src/gcc/vhdl cp -p ../../src/ortho/gcc/*.c src/gcc/vhdl cp -p ../../src/ortho/gcc/*.opt src/gcc/vhdl base_ver=`cat src/gcc/BASE-VER`; \ case $base_ver in \ 4.9*) gcc_ortho_lang=ortho-lang-49.c ;; \ 5.*) gcc_ortho_lang=ortho-lang-5.c ;; \ 6 | 6.*) gcc_ortho_lang=ortho-lang-6.c ;; \ 7.*) gcc_ortho_lang=ortho-lang-7.c ;; \ 8.*) gcc_ortho_lang=ortho-lang-8.c ;; \ 9.*) gcc_ortho_lang=ortho-lang-9.c ;; \ 10.*) gcc_ortho_lang=ortho-lang-9.c ;; \ *) echo "Mismatch gcc version from src"; \ echo "Need gcc version 4.9.x, 5.x, 6.x, 7.x, 8.x, 9.x or 10.x"; \ exit 1 ;; \ esac; \ cp -p ../../src/ortho/gcc/$gcc_ortho_lang \ src/gcc/vhdl/ortho-lang.c cp -p ../../doc/ghdl.texi ../../doc/ghdl.1 src/gcc/vhdl mkdir src/gcc/vhdl/ghdldrv cp -pR ../../src/ghdldrv/*.ad? src/gcc/vhdl/ghdldrv cp -p ../../dist/gcc/Make-lang.in src/gcc/vhdl cp -p ../../dist/gcc/config-lang.in src/gcc/vhdl cp -p ../../dist/gcc/lang-options.h src/gcc/vhdl cp -p ../../dist/gcc/lang-specs.h src/gcc/vhdl make[2]: Leaving directory '/<>/ghdl-0.37+dfsg/builddir/gcc' checking build system type... powerpc64le-unknown-linux-gnu checking host system type... powerpc64le-unknown-linux-gnu checking target system type... powerpc64le-unknown-linux-gnu checking for a BSD-compatible install... /usr/bin/install -c checking whether ln works... yes checking whether ln -s works... yes checking for a sed that does not truncate output... /bin/sed checking for gawk... gawk checking for libatomic support... yes checking for libitm support... yes checking for libsanitizer support... yes checking for libvtv support... no checking for libhsail-rt support... no checking for libphobos support... no checking for gcc... gnatgcc checking whether the C compiler works... yes checking for C compiler default output file name... a.out checking for suffix of executables... checking whether we are cross compiling... no checking for suffix of object files... o checking whether we are using the GNU C compiler... yes checking whether gnatgcc accepts -g... yes checking for gnatgcc option to accept ISO C89... none needed checking for g++... g++ checking whether we are using the GNU C++ compiler... yes checking whether g++ accepts -g... yes checking whether g++ accepts -static-libstdc++ -static-libgcc... yes checking for gnatbind... gnatbind checking for gnatmake... gnatmake checking whether compiler driver understands Ada... yes checking how to compare bootstrapped objects... cmp --ignore-initial=16 $$f1 $$f2 checking for objdir... .libs checking for the correct version of gmp.h... yes checking for the correct version of mpfr.h... yes checking for the correct version of mpc.h... yes checking for the correct version of the gmp/mpfr/mpc libraries... yes The following languages will be built: c,vhdl *** This configuration is not supported in the following subdirectories: zlib target-libquadmath target-libvtv target-libphobos gnattools gotools target-libada target-libgnatvsn target-libhsail-rt target-libstdc++-v3 target-zlib target-libbacktrace target-libgfortran target-libgm2 target-libgo target-libffi target-libobjc target-libgomp target-liboffloadmic target-libssp target-libitm target-libsanitizer (Any other directories should still work fine.) checking for default BUILD_CONFIG... checking for --enable-vtable-verify... no checking for bison... no checking for byacc... no checking for yacc... no checking for bison... no checking for gm4... no checking for gnum4... no checking for m4... m4 checking for flex... no checking for lex... no checking for flex... no checking for makeinfo... no /<>/ghdl-0.37+dfsg/builddir/gcc/src/missing: 81: makeinfo: not found checking for expect... no checking for runtest... no checking for ar... ar checking for as... as checking for dlltool... no checking for ld... ld checking for lipo... no checking for nm... nm checking for ranlib... ranlib checking for strip... strip checking for windres... no checking for windmc... no checking for objcopy... objcopy checking for objdump... objdump checking for otool... no checking for readelf... readelf checking for cc... cc checking for c++... c++ checking for gcc... gcc checking for gfortran... no checking for gccgo... no checking for gdc... no checking for gm2... no checking for ar... no checking for ar... ar checking for as... no checking for as... as checking for dlltool... no checking for dlltool... no checking for ld... no checking for ld... ld checking for lipo... no checking for lipo... no checking for nm... no checking for nm... nm checking for objcopy... no checking for objcopy... objcopy checking for objdump... no checking for objdump... objdump checking for otool... no checking for otool... no checking for ranlib... no checking for ranlib... ranlib checking for readelf... no checking for readelf... readelf checking for strip... no checking for strip... strip checking for windres... no checking for windres... no checking for windmc... no checking for windmc... no checking where to find the target ar... host tool checking where to find the target as... host tool checking where to find the target cc... just compiled checking where to find the target c++... host tool checking where to find the target c++ for libstdc++... host tool checking where to find the target dlltool... host tool checking where to find the target gcc... just compiled checking where to find the target gfortran... host tool checking where to find the target gccgo... host tool checking where to find the target gdc... host tool checking where to find the target gm2... host tool checking where to find the target ld... host tool checking where to find the target lipo... host tool checking where to find the target nm... host tool checking where to find the target objcopy... host tool checking where to find the target objdump... host tool checking where to find the target otool... host tool checking where to find the target ranlib... host tool checking where to find the target readelf... host tool checking where to find the target strip... host tool checking where to find the target windres... host tool checking where to find the target windmc... host tool checking whether to enable maintainer-specific portions of Makefiles... no configure: creating ./config.status config.status: creating Makefile make[1]: Leaving directory '/<>/ghdl-0.37+dfsg' debian/rules override_dh_auto_build make[1]: Entering directory '/<>/ghdl-0.37+dfsg' ------------------------------------------------------------ Building with mcode backend ------------------------------------------------------------ if [ -n "" ]; then \ /usr/bin/make -C /<>/ghdl-0.37+dfsg/builddir/mcode; \ fi ------------------------------------------------------------ Building with llvm backend ------------------------------------------------------------ if [ -n "1" ]; then \ /usr/bin/make -C /<>/ghdl-0.37+dfsg/builddir/llvm; \ fi make[2]: Entering directory '/<>/ghdl-0.37+dfsg/builddir/llvm' if [ -n "Ubuntu 0.37+dfsg-1ubuntu1" ]; then \ sub="Ubuntu 0.37+dfsg-1ubuntu1"; \ elif test -d ../../.git \ && desc=`cd ../..; git describe --dirty`; then \ sub="$desc"; \ else \ sub="tarball"; \ fi; \ sed -e "s,@ORIGIN@,$sub," -e "s/@VER@/0.37/" < ../../src/version.in > version.tmp; \ if [ ! -r version.ads ] || ! cmp version.tmp version.ads > /dev/null; then cp version.tmp version.ads; fi make -f ../../src/ortho/llvm4-nodebug/Makefile \ ortho_srcdir=../../src/ortho ortho_exec=ghdl1-llvm \ GNATFLAGS="-aI../../src -aI../../src/vhdl -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm4-nodebug -aI../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe" LDFLAGS="-Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now" \ LLVM_CONFIG="llvm-config" CXX="clang++" GNATMAKE="gnatmake" \ all make[3]: Entering directory '/<>/ghdl-0.37+dfsg/builddir/llvm' clang++ -c `llvm-config --cxxflags` -o llvm-cbindings.o ../../src/ortho/llvm4-nodebug/llvm-cbindings.cpp gnatmake -o ghdl1-llvm -aI../../src/ortho/llvm4-nodebug -aI../../src/ortho \ -aI../../src -aI../../src/vhdl -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm4-nodebug -aI../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe ortho_code_main -bargs -E \ -largs llvm-cbindings.o --LINK=clang++ \ -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now `llvm-config --ldflags --libs --system-libs` powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/ortho/llvm4-nodebug/ortho_code_main.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/ortho/llvm4-nodebug/llvm.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/ortho/llvm4-nodebug/llvm-analysis.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/ortho/llvm4-nodebug/llvm-bitwriter.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/ortho/llvm4-nodebug/llvm-core.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/ortho/llvm4-nodebug/llvm-target.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/ortho/llvm4-nodebug/llvm-targetmachine.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/ortho/llvm4-nodebug/llvm-transforms.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/ortho/llvm4-nodebug/llvm-transforms-scalar.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/translate/ortho_front.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/ortho/llvm4-nodebug/ortho_llvm.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/bug.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/dyn_interning.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/dyn_tables.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/errorout.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/errorout-console.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/flags.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/hash.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/interning.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/libraries.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/name_table.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/options.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/translate/trans_be.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/translate/translation.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/types.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-configuration.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-errors.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-nodes.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-sem.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-sem_lib.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-std_package.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-utils.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/ortho/llvm4-nodebug/ortho_ident.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/simple_io.adb powerpc64le-linux-gnu-gcc-9 -c -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe version.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/files_map.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/str_table.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/logging.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/tables.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-scanner.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-tokens.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/std_names.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/psl/psl.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/psl/psl-dump_tree.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/psl/psl-nodes.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-back_end.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-disp_tree.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-parse.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/ortho/llvm4-nodebug/ortho_nodes.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/translate/trans.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/translate/trans-chap1.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/translate/trans-chap12.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/translate/trans-chap2.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/translate/trans-chap3.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/translate/trans-chap4.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/translate/trans-chap7.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/translate/trans-helpers2.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/translate/trans-rtis.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/translate/trans_decls.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-canon.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-sem_specs.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-evaluation.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-nodes_walk.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-sem_scopes.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-lists.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-nodes_meta.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-nodes_priv.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/psl/psl-types.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-flists.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-ieee.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-ieee-std_logic_1164.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-nodes_utils.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-sem_assocs.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-sem_decls.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-sem_expr.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-sem_inst.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-sem_names.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-sem_psl.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-sem_stmts.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-sem_utils.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-xrefs.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-nodes_gc.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-post_sems.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-prints.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-types.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/grt/grt.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/grt/grt-fcvt.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/psl/psl-errors.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/psl/psl-nodes_meta.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/psl/psl-hash.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-elocations.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-parse_psl.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/translate/trans-chap5.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/translate/trans-chap6.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/translate/trans-chap9.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/translate/trans-chap8.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/translate/trans-chap14.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/translate/trans-foreach_non_composite.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/psl/psl-build.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/psl/psl-nfas.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/psl/psl-nfas-utils.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/psl/psl-rewrites.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-canon_psl.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/lists.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/psl/psl-nodes_priv.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/flists.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-sem_types.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/grt/grt-algos.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/psl/psl-subsets.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-ieee-math_real.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-ieee-numeric.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-ieee-std_logic_arith.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-ieee-std_logic_unsigned.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-ieee-vital_timing.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/psl/psl-prints.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/psl/psl-priorities.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-elocations_meta.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/translate/trans_analyzes.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/psl/psl-cse.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/psl/psl-disp_nfas.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/psl/psl-optimize.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/ortho/llvm4-nodebug -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/psl/psl-qm.adb powerpc64le-linux-gnu-gnatbind-9 -aI../../src/ortho/llvm4-nodebug -aI../../src/ortho -aI../../src -aI../../src/vhdl -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm4-nodebug -aI../../src/synth -E -x ortho_code_main.ali powerpc64le-linux-gnu-gnatlink-9 ortho_code_main.ali -o ghdl1-llvm -g llvm-cbindings.o --LINK=clang++ -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -L/usr/lib/llvm-10/lib -lLLVM-10 make[3]: Leaving directory '/<>/ghdl-0.37+dfsg/builddir/llvm' gnatgcc -c -g -fPIC -o grt-cstdio.o /<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt-cstdio.c gnatmake -aI../../src -aI../../src/vhdl -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm4-nodebug -aI../../src/synth \ -aI../../src/ghdldrv -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe \ ghdl_llvm -bargs -E \ -largs -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now grt-cstdio.o powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -I../../src/ghdldrv -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/ghdldrv/ghdl_llvm.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -I../../src/ghdldrv -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/ghdldrv/ghdldrv.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -I../../src/ghdldrv -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/ghdldrv/ghdllocal.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -I../../src/ghdldrv -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/ghdldrv/ghdlmain.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -I../../src/ghdldrv -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/ghdldrv/ghdlprint.adb powerpc64le-linux-gnu-gcc-9 -c -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -I../../src/ghdldrv -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe ghdlsynth_maybe.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -I../../src/ghdldrv -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/ghdldrv/ghdlvpi.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -I../../src/ghdldrv -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/ghdldrv/ghdlxml.adb powerpc64le-linux-gnu-gcc-9 -c -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -I../../src/ghdldrv -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe default_paths.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -I../../src/ghdldrv -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-formatters.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -I../../src/ghdldrv -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/ghdldrv/ghdlnull.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -I../../src/ghdldrv -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/grt/grt-types.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -I../../src/ghdldrv -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/grt/grt-vstrings.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm4-nodebug -I../../src/synth -I../../src/ghdldrv -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -I- /<>/ghdl-0.37+dfsg/src/grt/grt-c.ads powerpc64le-linux-gnu-gnatbind-9 -aI../../src -aI../../src/vhdl -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm4-nodebug -aI../../src/synth -aI../../src/ghdldrv -E -x ghdl_llvm.ali powerpc64le-linux-gnu-gnatlink-9 ghdl_llvm.ali -g -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now grt-cstdio.o gnatgcc -c -g -fPIC -o jumps.o /<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/config/jumps.c gnatgcc -c -g -fPIC -o times.o /<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/config/times.c gnatgcc -c -g -fPIC -o grt-cgnatrts.o /<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt-cgnatrts.c gnatgcc -c -g -fPIC -o grt-cvpi.o /<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt-cvpi.c gnatgcc -c -g -fPIC -o grt-cdynload.o /<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt-cdynload.c gnatgcc -c -g -fPIC -o fstapi.o /<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/fst/fstapi.c -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/fst gnatgcc -c -g -fPIC -o lz4.o /<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/fst/lz4.c gnatgcc -c -g -fPIC -o fastlz.o /<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/fst/fastlz.c mkdir grt echo "with Grt.Backtraces.Jit;" > grt-backtraces-impl.ads echo "package Grt.Backtraces.Impl renames Grt.Backtraces.Jit;" >> grt-backtraces-impl.ads cd grt; MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -c -aI/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -aI.. \ -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY ghdl_main -cargs -g -fPIC powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/ghdl_main.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-errors.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-main.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-options.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-rtis_binding.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-std_logic_1164.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-types.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-astdio.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-astdio-vhdl.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-hooks.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-stdio.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-change_generics.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-disp.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-disp_signals.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-files.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-images.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-lib.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-modules.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-names.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-processes.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-shadow_ieee.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-signals.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-stats.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-values.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-strings.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-wave_opt.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-wave_opt-file.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-rtis.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-c.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-callbacks.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-avhpi.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-avhpi_utils.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-rtis_addr.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-rtis_utils.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-vstrings.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-vstrings_io.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-errors_exec.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-table.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-to_strings.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-fcvt.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-backtraces.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-disp_rti.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-disp_tree.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-fst.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-psl.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-vcd.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-vcdz.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-vital_annotate.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-vpi.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-waves.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-threads.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-stack2.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/builddir/llvm/grt-backtraces-impl.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-fst_api.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-rtis_types.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-wave_opt-design.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-zlib.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-sdf.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-avls.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-ghw.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-unithread.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-backtraces-jit.adb sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali cd grt; gnatmake -b ghdl_main.ali -bargs -Lgrt_ -o run-bind.adb -n powerpc64le-linux-gnu-gnatbind-9 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali cd grt; MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -u -c -g -fPIC -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb powerpc64le-linux-gnu-gcc-9 -c -g -fPIC -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY run-bind.adb cd grt; MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -u -c -g -fPIC -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -o main.o /<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/main.adb -Igrt powerpc64le-linux-gnu-gcc-9 -c -I/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/ -g -fPIC -gnatec/<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -Igrt -I- /<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/main.adb sed -e "1,/-- *BEGIN/d" -e "/-- *END/,\$d" \ -e "s/ -- //" < grt/run-bind.adb | tr -d '\r' > grt/grt-files rm -f -f lib/ghdl/llvm/libgrt.a ar rcv lib/ghdl/llvm/libgrt.a `sed -e "/^-/d" -e "s!^!grt/!" < grt/grt-files` \ jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-cvpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o grt/run-bind.o grt/main.o a - grt/./grt.o a - grt/./grt-c.o a - grt/./grt-fcvt.o a - grt/./grt-stdio.o a - grt/./grt-strings.o a - grt/./grt-types.o a - grt/./grt-astdio.o a - grt/./grt-callbacks.o a - grt/./grt-hooks.o a - grt/./grt-astdio-vhdl.o a - grt/./grt-errors.o a - grt/./grt-wave_opt.o a - grt/./grt-wave_opt-file.o a - grt/./grt-options.o a - grt/./grt-rtis.o a - grt/./grt-rtis_binding.o a - grt/./grt-shadow_ieee.o a - grt/./grt-vstrings.o a - grt/./grt-wave_opt-design.o a - grt/./grt-avls.o a - grt/./grt-fst_api.o a - grt/./grt-ghw.o a - grt/./grt-rtis_addr.o a - grt/./grt-stack2.o a - grt/./grt-table.o a - grt/./grt-to_strings.o a - grt/./grt-vstrings_io.o a - grt/./grt-rtis_utils.o a - grt/./grt-avhpi.o a - grt/./grt-avhpi_utils.o a - grt/./grt-psl.o a - grt/./grt-unithread.o a - grt/./grt-threads.o a - grt/./grt-backtraces-jit.o a - grt/./grt-backtraces-impl.o a - grt/./grt-backtraces.o a - grt/./grt-disp.o a - grt/./grt-signals.o a - grt/./grt-errors_exec.o a - grt/./grt-stats.o a - grt/./grt-processes.o a - grt/./grt-disp_signals.o a - grt/./grt-disp_rti.o a - grt/./grt-disp_tree.o a - grt/./grt-files.o a - grt/./grt-images.o a - grt/./grt-lib.o a - grt/./grt-names.o a - grt/./grt-rtis_types.o a - grt/./grt-vital_annotate.o a - grt/./grt-sdf.o a - grt/./grt-std_logic_1164.o a - grt/./grt-values.o a - grt/./grt-change_generics.o a - grt/./grt-vcd.o a - grt/./grt-fst.o a - grt/./grt-vpi.o a - grt/./grt-waves.o a - grt/./grt-zlib.o a - grt/./grt-vcdz.o a - grt/./grt-modules.o a - grt/./grt-main.o a - grt/./ghdl_main.o a - jumps.o a - times.o a - grt-cstdio.o a - grt-cgnatrts.o a - grt-cvpi.o a - grt-cdynload.o a - fstapi.o a - lz4.o a - fastlz.o a - grt/run-bind.o a - grt/main.o ranlib lib/ghdl/llvm/libgrt.a sed -e "\!^.[/\\]!d" -e "/-shared/d" -e "/-static/d" -e "/-lgnat/d" \ -e "\X-L/Xd" < grt/grt-files > grt/grt-files.in echo "@/libgrt.a" > lib/ghdl/llvm/grt.lst for i in -ldl -lm -Wl,--version-script=@/grt.ver -Wl,--export-dynamic; do echo $i >> lib/ghdl/llvm/grt.lst; done cat grt/grt-files.in >> lib/ghdl/llvm/grt.lst cp /<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt.ver lib/ghdl/llvm/grt.ver make -f ../../libraries/Makefile.inc LIBSRC_DIR="../../libraries" LIBDST_DIR="lib/ghdl/llvm" enable_openieee="true" LN="ln -s" CP="cp" MKDIR="mkdir" GHDL=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm GHDL_FLAGS="--GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm " vhdl.libs.all libs.vhdl.standard make[3]: Entering directory '/<>/ghdl-0.37+dfsg/builddir/llvm' sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/llvm/src/std/v87/textio.vhdl sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/llvm/src/std/v87/textio-body.vhdl rm -f -f lib/ghdl/llvm/std/v87/std-obj87.cf cd lib/ghdl/llvm/std/v87; \ for i in std/v87/textio.vhdl std/v87/textio-body.vhdl; do \ cmd="/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=87 --bootstrap --work=std ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=87 --bootstrap --work=std ../../src/std/v87/textio.vhdl /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=87 --bootstrap --work=std ../../src/std/v87/textio-body.vhdl ../../src/std/v87/textio-body.vhdl:457:35:warning: declaration of "left" hides enumeration literal left [-Whide] ../../src/std/v87/textio-body.vhdl:488:40:warning: declaration of "left" hides enumeration literal left [-Whide] ../../src/std/v87/textio-body.vhdl:844:14:warning: declaration of "left" hides enumeration literal left [-Whide] ../../src/std/v87/textio-body.vhdl:846:26:warning: declaration of "right" hides enumeration literal right [-Whide] cp ../../libraries/openieee/v87/std_logic_1164.vhdl lib/ghdl/llvm/src/openieee/v87/std_logic_1164.vhdl cp ../../libraries/openieee/v87/std_logic_1164-body.vhdl lib/ghdl/llvm/src/openieee/v87/std_logic_1164-body.vhdl cp ../../libraries/openieee/v87/numeric_bit.vhdl lib/ghdl/llvm/src/openieee/v87/numeric_bit.vhdl cp ../../libraries/openieee/v87/numeric_bit-body.vhdl lib/ghdl/llvm/src/openieee/v87/numeric_bit-body.vhdl cp ../../libraries/openieee/v87/numeric_std.vhdl lib/ghdl/llvm/src/openieee/v87/numeric_std.vhdl cp ../../libraries/openieee/v87/numeric_std-body.vhdl lib/ghdl/llvm/src/openieee/v87/numeric_std-body.vhdl cp ../../libraries/openieee/upf.vhdl lib/ghdl/llvm/src/openieee/upf.vhdl cp ../../libraries/openieee/upf-body.vhdl lib/ghdl/llvm/src/openieee/upf-body.vhdl rm -f -f lib/ghdl/llvm/ieee/v87/ieee-obj87.cf cd lib/ghdl/llvm/ieee/v87; \ for i in openieee/v87/std_logic_1164.vhdl openieee/v87/std_logic_1164-body.vhdl openieee/v87/numeric_bit.vhdl openieee/v87/numeric_bit-body.vhdl openieee/v87/numeric_std.vhdl openieee/v87/numeric_std-body.vhdl openieee/upf.vhdl openieee/upf-body.vhdl ; do \ cmd="/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/$i";\ echo $cmd; eval $cmd || exit 1; \ done /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/openieee/v87/std_logic_1164.vhdl /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<>/ghdl-0.37+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/openieee/v87/std_logic_1164-body.vhdl /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<>/ghdl-0.37+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/openieee/v87/numeric_bit.vhdl /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<>/ghdl-0.37+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/openieee/v87/numeric_bit-body.vhdl /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<>/ghdl-0.37+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/openieee/v87/numeric_std.vhdl /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<>/ghdl-0.37+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/openieee/v87/numeric_std-body.vhdl /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<>/ghdl-0.37+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/openieee/upf.vhdl /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<>/ghdl-0.37+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/openieee/upf-body.vhdl /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<>/ghdl-0.37+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found cp ../../libraries/synopsys/std_logic_arith.vhdl lib/ghdl/llvm/src/synopsys/std_logic_arith.vhdl cp ../../libraries/synopsys/std_logic_unsigned.vhdl lib/ghdl/llvm/src/synopsys/std_logic_unsigned.vhdl cp ../../libraries/synopsys/std_logic_signed.vhdl lib/ghdl/llvm/src/synopsys/std_logic_signed.vhdl cp ../../libraries/synopsys/std_logic_misc.vhdl lib/ghdl/llvm/src/synopsys/std_logic_misc.vhdl cp ../../libraries/synopsys/std_logic_misc-body.vhdl lib/ghdl/llvm/src/synopsys/std_logic_misc-body.vhdl cp ../../libraries/synopsys/std_logic_textio.vhdl lib/ghdl/llvm/src/synopsys/std_logic_textio.vhdl rm -f -f lib/ghdl/llvm/synopsys/v87/ieee-obj87.cf cd lib/ghdl/llvm/synopsys/v87; \ cp ../../ieee/v87/ieee-obj87.cf . ; \ test x = "xno" || \ for i in std_logic_1164.vhdl std_logic_1164-body.vhdl numeric_bit.vhdl numeric_bit-body.vhdl numeric_std.vhdl numeric_std-body.vhdl ; do \ b=`basename $i .vhdl`; \ if [ -f ../../ieee/v87/$b.o ]; then \ rm -f -f $b.o; ln -s ../../ieee/v87/$b.o $b.o || exit 1; \ fi; \ done; \ for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/std_logic_misc.vhdl synopsys/std_logic_misc-body.vhdl synopsys/std_logic_textio.vhdl; do \ cmd="/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/$i";\ echo $cmd; eval $cmd || exit 1; \ done /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/synopsys/std_logic_arith.vhdl /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<>/ghdl-0.37+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/synopsys/std_logic_unsigned.vhdl /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<>/ghdl-0.37+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/synopsys/std_logic_signed.vhdl /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<>/ghdl-0.37+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/synopsys/std_logic_misc.vhdl /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<>/ghdl-0.37+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/synopsys/std_logic_misc-body.vhdl /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<>/ghdl-0.37+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/synopsys/std_logic_textio.vhdl /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<>/ghdl-0.37+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/llvm/src/std/v93/textio.vhdl sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/llvm/src/std/v93/textio-body.vhdl rm -f -rf lib/ghdl/llvm/std/v93/std-obj93.cf cd lib/ghdl/llvm/std/v93; \ for i in std/v93/textio.vhdl std/v93/textio-body.vhdl; do \ cmd="/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=93 --bootstrap --work=std ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=93 --bootstrap --work=std ../../src/std/v93/textio.vhdl /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=93 --bootstrap --work=std ../../src/std/v93/textio-body.vhdl ../../src/std/v93/textio-body.vhdl:457:35:warning: declaration of "left" hides enumeration literal left [-Whide] ../../src/std/v93/textio-body.vhdl:488:40:warning: declaration of "left" hides enumeration literal left [-Whide] ../../src/std/v93/textio-body.vhdl:844:14:warning: declaration of "left" hides enumeration literal left [-Whide] ../../src/std/v93/textio-body.vhdl:846:26:warning: declaration of "right" hides enumeration literal right [-Whide] cp ../../libraries/openieee/v93/std_logic_1164.vhdl lib/ghdl/llvm/src/openieee/v93/std_logic_1164.vhdl cp ../../libraries/openieee/v93/std_logic_1164-body.vhdl lib/ghdl/llvm/src/openieee/v93/std_logic_1164-body.vhdl cp ../../libraries/openieee/v93/numeric_bit.vhdl lib/ghdl/llvm/src/openieee/v93/numeric_bit.vhdl cp ../../libraries/openieee/v93/numeric_bit-body.vhdl lib/ghdl/llvm/src/openieee/v93/numeric_bit-body.vhdl cp ../../libraries/openieee/v93/numeric_std.vhdl lib/ghdl/llvm/src/openieee/v93/numeric_std.vhdl cp ../../libraries/openieee/v93/numeric_std-body.vhdl lib/ghdl/llvm/src/openieee/v93/numeric_std-body.vhdl cp ../../libraries/openieee/math_real.vhdl lib/ghdl/llvm/src/openieee/math_real.vhdl cp ../../libraries/openieee/math_real-body.vhdl lib/ghdl/llvm/src/openieee/math_real-body.vhdl rm -f -f lib/ghdl/llvm/ieee/v93/ieee-obj93.cf cd lib/ghdl/llvm/ieee/v93; \ for i in openieee/v93/std_logic_1164.vhdl openieee/v93/std_logic_1164-body.vhdl openieee/v93/numeric_bit.vhdl openieee/v93/numeric_bit-body.vhdl openieee/v93/numeric_std.vhdl openieee/v93/numeric_std-body.vhdl openieee/math_real.vhdl openieee/math_real-body.vhdl openieee/upf.vhdl openieee/upf-body.vhdl ; do \ cmd="/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/openieee/v93/std_logic_1164.vhdl /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<>/ghdl-0.37+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/openieee/v93/std_logic_1164-body.vhdl /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<>/ghdl-0.37+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/openieee/v93/numeric_bit.vhdl /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<>/ghdl-0.37+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/openieee/v93/numeric_bit-body.vhdl /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<>/ghdl-0.37+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/openieee/v93/numeric_std.vhdl /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<>/ghdl-0.37+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/openieee/v93/numeric_std-body.vhdl /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<>/ghdl-0.37+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/openieee/math_real.vhdl /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<>/ghdl-0.37+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/openieee/math_real-body.vhdl /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<>/ghdl-0.37+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/openieee/upf.vhdl /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<>/ghdl-0.37+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/openieee/upf-body.vhdl /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<>/ghdl-0.37+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found rm -f -f lib/ghdl/llvm/synopsys/v93/ieee-obj93.cf cd lib/ghdl/llvm/synopsys/v93; \ cp ../../ieee/v93/ieee-obj93.cf .; \ test x = "xno" || \ for i in std_logic_1164.vhdl std_logic_1164-body.vhdl numeric_bit.vhdl numeric_bit-body.vhdl numeric_std.vhdl numeric_std-body.vhdl math_real.vhdl math_real-body.vhdl ; do \ b=`basename $i .vhdl`; \ if [ -f ../../ieee/v93/$b.o ]; then \ rm -f -f $b.o; ln -s ../../ieee/v93/$b.o $b.o || exit 1; \ fi; \ done; \ for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/std_logic_misc.vhdl synopsys/std_logic_misc-body.vhdl synopsys/std_logic_textio.vhdl; do \ cmd="/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/synopsys/std_logic_arith.vhdl /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<>/ghdl-0.37+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/synopsys/std_logic_unsigned.vhdl /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<>/ghdl-0.37+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/synopsys/std_logic_signed.vhdl /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<>/ghdl-0.37+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/synopsys/std_logic_misc.vhdl /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<>/ghdl-0.37+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/synopsys/std_logic_misc-body.vhdl /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<>/ghdl-0.37+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/synopsys/std_logic_textio.vhdl /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<>/ghdl-0.37+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/llvm/src/std/v08/textio.vhdl sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/llvm/src/std/v08/textio-body.vhdl cp ../../libraries/std/env.vhdl lib/ghdl/llvm/src/std/env.vhdl cp ../../libraries/std/env-body.vhdl lib/ghdl/llvm/src/std/env-body.vhdl rm -f -f lib/ghdl/llvm/std/v08/std-obj08.cf cd lib/ghdl/llvm/std/v08; \ for i in std/v08/textio.vhdl std/v08/textio-body.vhdl std/env.vhdl std/env-body.vhdl; do \ cmd="/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=08 --bootstrap --work=std ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=08 --bootstrap --work=std ../../src/std/v08/textio.vhdl /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=08 --bootstrap --work=std ../../src/std/v08/textio-body.vhdl ../../src/std/v08/textio-body.vhdl:457:35:warning: declaration of "left" hides enumeration literal left [-Whide] ../../src/std/v08/textio-body.vhdl:488:40:warning: declaration of "left" hides enumeration literal left [-Whide] ../../src/std/v08/textio-body.vhdl:844:14:warning: declaration of "left" hides enumeration literal left [-Whide] ../../src/std/v08/textio-body.vhdl:846:26:warning: declaration of "right" hides enumeration literal right [-Whide] /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=08 --bootstrap --work=std ../../src/std/env.vhdl /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=08 --bootstrap --work=std ../../src/std/env-body.vhdl cp ../../libraries/openieee/v08/std_logic_1164.vhdl lib/ghdl/llvm/src/openieee/v08/std_logic_1164.vhdl cp ../../libraries/openieee/v08/std_logic_1164-body.vhdl lib/ghdl/llvm/src/openieee/v08/std_logic_1164-body.vhdl rm -f -f lib/ghdl/llvm/ieee/v08/ieee-obj08.cf cd lib/ghdl/llvm/ieee/v08; \ for i in openieee/v08/std_logic_1164.vhdl openieee/v08/std_logic_1164-body.vhdl openieee/math_real.vhdl openieee/math_real-body.vhdl openieee/upf.vhdl openieee/upf-body.vhdl; do \ cmd="/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done; \ for i in ; do \ cmd="/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -frelaxed-rules ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/openieee/v08/std_logic_1164.vhdl /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<>/ghdl-0.37+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/openieee/v08/std_logic_1164-body.vhdl /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<>/ghdl-0.37+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/openieee/math_real.vhdl /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<>/ghdl-0.37+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/openieee/math_real-body.vhdl /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<>/ghdl-0.37+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/openieee/upf.vhdl /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<>/ghdl-0.37+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/openieee/upf-body.vhdl /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<>/ghdl-0.37+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found cd lib/ghdl/llvm/std/v87; /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm --bootstrap-standard --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=87 /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<>/ghdl-0.37+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found cd lib/ghdl/llvm/std/v93; /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm --bootstrap-standard --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=93 /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<>/ghdl-0.37+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found cd lib/ghdl/llvm/std/v08; /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm --bootstrap-standard --GHDL1=/<>/ghdl-0.37+dfsg/builddir/llvm/ghdl1-llvm --std=08 /<>/ghdl-0.37+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/<>/ghdl-0.37+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found make[3]: Leaving directory '/<>/ghdl-0.37+dfsg/builddir/llvm' gnatgcc -c -g -o vpi_thunk.o /<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/vpi_thunk.c -fPIC -O -Wall gnatgcc -g -o libghdlvpi.so vpi_thunk.o -shared -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdlvpi.so gnatgcc -c -g -fPIC -fPIC -o pic/grt-cstdio.o /<>/ghdl-0.37+dfsg/builddir/llvm/../../src/grt/grt-cstdio.c gnatmake -I- -aI. -D pic -z libghdl -o libghdl-0_37.so -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -aI../../src -aI../../src/vhdl -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/synth -aI../../src/vhdl/libghdl -aI../../src/ghdldrv -bargs -shared -Llibghdl_ -largs -shared -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdl-0_37.so pic/grt-cstdio.o powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/libghdl.o /<>/ghdl-0.37+dfsg/src/vhdl/libghdl/libghdl.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/errorout.o /<>/ghdl-0.37+dfsg/src/errorout.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/errorout-memory.o /<>/ghdl-0.37+dfsg/src/errorout-memory.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/files_map.o /<>/ghdl-0.37+dfsg/src/files_map.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/files_map-editor.o /<>/ghdl-0.37+dfsg/src/files_map-editor.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/ghdlcomp.o /<>/ghdl-0.37+dfsg/src/ghdldrv/ghdlcomp.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/ghdllocal.o /<>/ghdl-0.37+dfsg/src/ghdldrv/ghdllocal.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/options.o /<>/ghdl-0.37+dfsg/src/options.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl.ads powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-formatters.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-formatters.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/ghdlsynth_maybe.o /<>/ghdl-0.37+dfsg/builddir/llvm/ghdlsynth_maybe.ads powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/types.o /<>/ghdl-0.37+dfsg/src/types.ads powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-nodes.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-nodes.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/name_table.o /<>/ghdl-0.37+dfsg/src/name_table.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/str_table.o /<>/ghdl-0.37+dfsg/src/str_table.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/dyn_tables.o /<>/ghdl-0.37+dfsg/src/dyn_tables.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/tables.o /<>/ghdl-0.37+dfsg/src/tables.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/logging.o /<>/ghdl-0.37+dfsg/src/logging.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-types.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-types.ads powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/flags.o /<>/ghdl-0.37+dfsg/src/flags.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/ghdlmain.o /<>/ghdl-0.37+dfsg/src/ghdldrv/ghdlmain.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/libraries.o /<>/ghdl-0.37+dfsg/src/libraries.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/simple_io.o /<>/ghdl-0.37+dfsg/src/simple_io.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/version.o /<>/ghdl-0.37+dfsg/builddir/llvm/version.ads powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-configuration.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-configuration.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-sem.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-sem.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-sem_lib.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-sem_lib.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-std_package.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-std_package.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-utils.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-utils.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/default_paths.o /<>/ghdl-0.37+dfsg/builddir/llvm/default_paths.ads powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/std_names.o /<>/ghdl-0.37+dfsg/src/std_names.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-prints.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-prints.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-scanner.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-scanner.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/psl.o /<>/ghdl-0.37+dfsg/src/psl/psl.ads powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/psl-dump_tree.o /<>/ghdl-0.37+dfsg/src/psl/psl-dump_tree.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/psl-nodes.o /<>/ghdl-0.37+dfsg/src/psl/psl-nodes.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-back_end.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-back_end.ads powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-disp_tree.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-disp_tree.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-errors.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-errors.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-parse.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-parse.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-tokens.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-tokens.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/grt.o /<>/ghdl-0.37+dfsg/src/grt/grt.ads powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/grt-types.o /<>/ghdl-0.37+dfsg/src/grt/grt-types.ads powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/grt-vstrings.o /<>/ghdl-0.37+dfsg/src/grt/grt-vstrings.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/ghdlnull.o /<>/ghdl-0.37+dfsg/src/ghdldrv/ghdlnull.ads powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-lists.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-lists.ads powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-nodes_meta.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-nodes_meta.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-nodes_priv.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-nodes_priv.ads powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/psl-types.o /<>/ghdl-0.37+dfsg/src/psl/psl-types.ads powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-flists.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-flists.ads powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/bug.o /<>/ghdl-0.37+dfsg/src/bug.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/errorout-console.o /<>/ghdl-0.37+dfsg/src/errorout-console.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-canon.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-canon.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-evaluation.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-evaluation.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-nodes_walk.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-nodes_walk.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-sem_scopes.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-sem_scopes.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-ieee.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-ieee.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-ieee-std_logic_1164.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-ieee-std_logic_1164.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-nodes_utils.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-nodes_utils.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-sem_assocs.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-sem_assocs.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-sem_decls.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-sem_decls.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-sem_expr.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-sem_expr.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-sem_inst.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-sem_inst.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-sem_names.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-sem_names.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-sem_psl.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-sem_psl.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-sem_specs.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-sem_specs.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-sem_stmts.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-sem_stmts.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-sem_utils.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-sem_utils.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-xrefs.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-xrefs.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-nodes_gc.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-nodes_gc.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-post_sems.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-post_sems.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/psl-errors.o /<>/ghdl-0.37+dfsg/src/psl/psl-errors.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/psl-nfas.o /<>/ghdl-0.37+dfsg/src/psl/psl-nfas.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/psl-prints.o /<>/ghdl-0.37+dfsg/src/psl/psl-prints.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/psl-priorities.o /<>/ghdl-0.37+dfsg/src/psl/psl-priorities.ads powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/grt-fcvt.o /<>/ghdl-0.37+dfsg/src/grt/grt-fcvt.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/psl-nodes_meta.o /<>/ghdl-0.37+dfsg/src/psl/psl-nodes_meta.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/psl-hash.o /<>/ghdl-0.37+dfsg/src/psl/psl-hash.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-elocations.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-elocations.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-parse_psl.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-parse_psl.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/grt-c.o /<>/ghdl-0.37+dfsg/src/grt/grt-c.ads powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/lists.o /<>/ghdl-0.37+dfsg/src/lists.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/psl-nodes_priv.o /<>/ghdl-0.37+dfsg/src/psl/psl-nodes_priv.ads powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/flists.o /<>/ghdl-0.37+dfsg/src/flists.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/psl-build.o /<>/ghdl-0.37+dfsg/src/psl/psl-build.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/psl-nfas-utils.o /<>/ghdl-0.37+dfsg/src/psl/psl-nfas-utils.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/psl-rewrites.o /<>/ghdl-0.37+dfsg/src/psl/psl-rewrites.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-canon_psl.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-canon_psl.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-sem_types.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-sem_types.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/grt-algos.o /<>/ghdl-0.37+dfsg/src/grt/grt-algos.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/psl-subsets.o /<>/ghdl-0.37+dfsg/src/psl/psl-subsets.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-ieee-math_real.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-ieee-math_real.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-ieee-numeric.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-ieee-numeric.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-ieee-std_logic_arith.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-ieee-std_logic_arith.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-ieee-std_logic_unsigned.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-ieee-std_logic_unsigned.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-ieee-vital_timing.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-ieee-vital_timing.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/vhdl-elocations_meta.o /<>/ghdl-0.37+dfsg/src/vhdl/vhdl-elocations_meta.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/psl-cse.o /<>/ghdl-0.37+dfsg/src/psl/psl-cse.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/psl-disp_nfas.o /<>/ghdl-0.37+dfsg/src/psl/psl-disp_nfas.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/psl-optimize.o /<>/ghdl-0.37+dfsg/src/psl/psl-optimize.adb powerpc64le-linux-gnu-gcc-9 -c -I. -gnat12 -gnaty3befhkmr -gnatwa -gnatf -g -gnata -gnatwe -fPIC -I../../src -I../../src/vhdl -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /<>/ghdl-0.37+dfsg/builddir/llvm/pic/psl-qm.o /<>/ghdl-0.37+dfsg/src/psl/psl-qm.adb powerpc64le-linux-gnu-gnatbind-9 -aI. -aO/<>/ghdl-0.37+dfsg/builddir/llvm/pic -aI../../src -aI../../src/vhdl -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/synth -aI../../src/vhdl/libghdl -aI../../src/ghdldrv -shared -Llibghdl_ -z -x /<>/ghdl-0.37+dfsg/builddir/llvm/pic/libghdl.ali powerpc64le-linux-gnu-gnatlink-9 /<>/ghdl-0.37+dfsg/builddir/llvm/pic/libghdl.ali -shared-libgcc -o libghdl-0_37.so -g -fPIC -shared -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdl-0_37.so pic/grt-cstdio.o make[2]: Leaving directory '/<>/ghdl-0.37+dfsg/builddir/llvm' ------------------------------------------------------------ Building with gcc backend ------------------------------------------------------------ if [ -n "1" ]; then \ /usr/bin/make -j4 -C /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild; \ /usr/bin/make -C /<>/ghdl-0.37+dfsg/builddir/gcc lib/ghdl/gcc/libgrt.a all.vpi; \ /usr/bin/make -C /<>/ghdl-0.37+dfsg/builddir/gcc ghdllib \ GHDL_GCC_BIN=/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/ghdl \ GHDL1_GCC_BIN="--GHDL1=/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/ghdl1"; \ fi make[2]: Entering directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild' make[3]: Entering directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild' mkdir -p -- ./libiberty mkdir -p -- ./intl Configuring in ./libiberty Configuring in ./intl mkdir -p -- ./fixincludes mkdir -p -- build-powerpc64le-unknown-linux-gnu/libiberty Configuring in ./fixincludes Configuring in build-powerpc64le-unknown-linux-gnu/libiberty configure: creating cache ./config.cache checking for powerpc64le-unknown-linux-gnu-gcc... gnatgcc configure: creating cache ./config.cache configure: creating cache ./config.cache configure: creating cache ./config.cache checking whether to enable maintainer-specific portions of Makefiles... no checking for makeinfo... /<>/ghdl-0.37+dfsg/builddir/gcc/src/missing makeinfo --split-size=5000000 configure: WARNING: *** Makeinfo is missing. Info documentation will not be built. checking for perl... perl checking whether to enable maintainer-specific portions of Makefiles... no checking for makeinfo... /<>/ghdl-0.37+dfsg/builddir/gcc/src/missing makeinfo --split-size=5000000 configure: WARNING: *** Makeinfo is missing. Info documentation will not be built. checking for perl... perl checking build system type... checking build system type... powerpc64le-unknown-linux-gnu checking host system type... checking build system type... checking whether the C compiler works... powerpc64le-unknown-linux-gnu checking host system type... powerpc64le-unknown-linux-gnu checking target system type... powerpc64le-unknown-linux-gnu checking host system type... powerpc64le-unknown-linux-gnu checking for powerpc64le-unknown-linux-gnu-ar... ar checking for powerpc64le-unknown-linux-gnu-ranlib... ranlib checking whether to install libiberty headers and static library... no configure: target_header_dir = checking for powerpc64le-unknown-linux-gnu-gcc... gnatgcc powerpc64le-unknown-linux-gnu checking for powerpc64le-unknown-linux-gnu-gcc... gnatgcc powerpc64le-unknown-linux-gnu checking for powerpc64le-unknown-linux-gnu-ar... ar checking for powerpc64le-unknown-linux-gnu-ranlib... ranlib checking whether to install libiberty headers and static library... no configure: target_header_dir = checking for powerpc64le-unknown-linux-gnu-gcc... gnatgcc checking whether the C compiler works... yes checking for C compiler default output file name... a.out checking for suffix of executables... checking whether the C compiler works... checking whether we are cross compiling... yes checking for C compiler default output file name... a.out checking for suffix of executables... checking whether the C compiler works... yes checking for C compiler default output file name... a.out checking for suffix of executables... checking whether we are cross compiling... yes checking for C compiler default output file name... a.out checking for suffix of executables... no checking for suffix of object files... o checking whether we are using the GNU C compiler... checking whether we are cross compiling... checking whether we are cross compiling... no checking for suffix of object files... yes checking whether gnatgcc accepts -g... o checking whether we are using the GNU C compiler... yes checking for gnatgcc option to accept ISO C89... no checking for suffix of object files... no checking for suffix of object files... yes checking whether gnatgcc accepts -g... o checking whether we are using the GNU C compiler... o checking whether we are using the GNU C compiler... none needed checking how to run the C preprocessor... yes checking for gnatgcc option to accept ISO C89... yes checking whether gnatgcc accepts -g... yes checking whether gnatgcc accepts -g... gnatgcc -E yes checking for gnatgcc option to accept ISO C89... yes checking for gnatgcc option to accept ISO C89... none needed checking how to run the C preprocessor... checking for grep that handles long lines and -e... /bin/grep checking for egrep... /bin/grep -E checking for ANSI C header files... gnatgcc -E none needed checking how to run the C preprocessor... none needed checking how to run the C preprocessor... checking for grep that handles long lines and -e... gnatgcc -E /bin/grep checking for egrep... /bin/grep -E checking for ANSI C header files... gnatgcc -E checking for grep that handles long lines and -e... /bin/grep checking for egrep... /bin/grep -E checking for ANSI C header files... checking for grep that handles long lines and -e... /bin/grep checking for egrep... /bin/grep -E checking for ANSI C header files... yes checking for sys/types.h... yes checking for sys/types.h... yes checking for sys/stat.h... yes yes checking for sys/types.h... yes yes checking for sys/types.h... checking for stdlib.h... checking for sys/stat.h... yes checking for sys/stat.h... yes yes yes checking for stdlib.h... checking for sys/stat.h... checking for string.h... yes checking for stdlib.h... yes yes yes checking for string.h... checking for stdlib.h... checking for memory.h... yes yes yes checking for string.h... checking for memory.h... checking for string.h... yes checking for strings.h... yes yes yes checking for strings.h... checking for memory.h... yes checking for memory.h... checking for inttypes.h... yes yes yes checking for inttypes.h... checking for strings.h... checking for strings.h... yes checking for stdint.h... yes yes yes checking for stdint.h... checking for inttypes.h... checking for inttypes.h... yes checking for unistd.h... yes yes yes checking for stdint.h... checking for unistd.h... checking for stdint.h... yes checking minix/config.h usability... yes yes checking for unistd.h... yes checking minix/config.h usability... checking for unistd.h... no checking minix/config.h presence... no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... yes no checking minix/config.h presence... checking minix/config.h usability... yes checking minix/config.h usability... no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... yes checking whether /usr/bin/make sets $(MAKE)... no checking minix/config.h presence... yes checking for a BSD-compatible install... /usr/bin/install -c checking whether NLS is requested... yes checking for msgfmt... no checking minix/config.h presence... no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... yes checking for a sed that does not truncate output... /bin/sed no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... checking whether gnatgcc supports -W... /usr/bin/msgfmt checking for gmsgfmt... /usr/bin/msgfmt checking for xgettext... yes checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... yes checking whether gnatgcc supports -Wall... /usr/bin/xgettext checking for msgmerge... yes checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... /usr/bin/msgmerge no checking whether gnatgcc supports -W... yes checking whether gnatgcc supports -Wwrite-strings... no checking build system type... yes yes checking whether gnatgcc supports -W... checking whether gnatgcc supports -Wall... checking whether gnatgcc supports -Wstrict-prototypes... powerpc64le-unknown-linux-gnu checking host system type... powerpc64le-unknown-linux-gnu checking for powerpc64le-unknown-linux-gnu-ranlib... ranlib checking for library containing strerror... yes checking whether gnatgcc supports -Wall... yes yes checking whether gnatgcc supports -Wwrite-strings... checking whether gnatgcc supports -Wmissing-prototypes... yes checking whether gnatgcc supports -Wwrite-strings... yes yes checking whether gnatgcc supports -Wc++-compat... none required checking for an ANSI C-conforming const... checking whether gnatgcc supports -Wold-style-definition... yes checking whether gnatgcc supports -Wc++-compat... yes yes checking for inline... yes checking whether gnatgcc supports -Wstrict-prototypes... checking whether gnatgcc supports -Wmissing-format-attribute... yes checking whether gnatgcc supports -Wstrict-prototypes... inline checking for off_t... yes yes checking whether gnatgcc supports -Wshadow=local... checking whether gnatgcc supports -Woverlength-strings... yes checking whether gnatgcc supports -Wshadow=local... yes checking whether gnatgcc supports -pedantic ... yes checking whether gnatgcc supports -pedantic -Wlong-long... yes checking whether gnatgcc supports -pedantic ... yes checking whether gnatgcc and cc understand -c and -o together... yes checking for ANSI C header files... (cached) yes checking stddef.h usability... yes checking whether gnatgcc and cc understand -c and -o together... yes checking for size_t... yes checking stddef.h presence... yes checking for stddef.h... yes checking for stdlib.h... (cached) yes checking for strings.h... (cached) yes checking for unistd.h... (cached) yes checking fcntl.h usability... yes checking for an ANSI C-conforming const... yes checking for an ANSI C-conforming const... yes checking for working alloca.h... yes checking fcntl.h presence... yes checking for inline... yes checking for inline... yes checking for fcntl.h... yes inline checking whether byte ordering is bigendian... checking sys/file.h usability... inline checking whether byte ordering is bigendian... yes checking for alloca... yes yes checking sys/file.h presence... checking for stdlib.h... (cached) yes yes checking for sys/file.h... yes checking for unistd.h... (cached) yes checking for sys/stat.h... (cached) yes checking for sys/param.h... checking for clearerr_unlocked... no checking for a BSD-compatible install... /usr/bin/install -c no checking for a BSD-compatible install... /usr/bin/install -c checking for sys/file.h... checking for sys/file.h... yes yes checking for sys/param.h... yes checking for sys/param.h... checking for getpagesize... yes checking for feof_unlocked... yes yes checking for limits.h... checking for limits.h... yes yes yes checking for stdlib.h... (cached) checking for stdlib.h... yes (cached) yes checking for working mmap... checking for malloc.h... checking for malloc.h... yes yes checking for ferror_unlocked... yes checking for string.h... (cached) yes checking for string.h... (cached) yes checking for unistd.h... (cached) yes checking for unistd.h... (cached) yes checking for strings.h... (cached) yes checking for strings.h... (cached) yes checking for sys/time.h... yes checking for sys/time.h... yes checking for fflush_unlocked... yes checking for time.h... checking for time.h... yes yes yes checking whether we are using the GNU C Library 2.1 or newer... checking for sys/resource.h... checking for sys/resource.h... yes checking whether integer division by zero raises SIGFPE... yes yes yes checking for sys/stat.h... (cached) yes checking for sys/stat.h... (cached) yes checking for fgetc_unlocked... checking for sys/mman.h... checking for sys/mman.h... yes yes checking for fcntl.h... checking for fcntl.h... yes yes yes checking for alloca.h... checking for alloca.h... no checking for inttypes.h... checking for fgets_unlocked... yes yes checking for sys/pstat.h... checking for sys/pstat.h... yes checking for stdint.h... no no checking for sys/sysmp.h... checking for sys/sysmp.h... yes no no checking for fileno_unlocked... checking for sys/sysinfo.h... checking for sys/sysinfo.h... yes checking for unsigned long long... yes yes checking for machine/hal_sysinfo.h... checking for machine/hal_sysinfo.h... no no yes checking for sys/table.h... yes checking for inttypes.h... checking for sys/table.h... checking for fprintf_unlocked... no checking for sys/sysctl.h... no checking for sys/sysctl.h... yes checking whether the inttypes.h PRIxNN macros are broken... no checking for sys/systemcfg.h... no checking for sys/systemcfg.h... no no checking for ld used by GCC... no checking for stdint.h... (cached) yes ld checking if the linker (ld) is GNU ld... checking for fputc_unlocked... yes checking for shared library run path origin... no checking for stdint.h... (cached) yes checking for stdio_ext.h... checking for stdio_ext.h... yes yes checking for process.h... done checking for process.h... checking argz.h usability... no yes checking for sys/prctl.h... no checking for sys/prctl.h... checking for fputs_unlocked... yes checking for sys/wait.h that is POSIX.1 compatible... yes checking for sys/wait.h that is POSIX.1 compatible... yes checking argz.h presence... yes checking for argz.h... yes checking limits.h usability... yes checking whether time.h and sys/time.h may both be included... yes checking whether time.h and sys/time.h may both be included... yes checking for fread_unlocked... yes checking whether errno must be declared... yes checking limits.h presence... yes checking whether errno must be declared... yes checking for limits.h... yes checking locale.h usability... yes no checking size of int... no checking size of int... checking for fwrite_unlocked... yes checking locale.h presence... yes checking for locale.h... yes checking nl_types.h usability... yes checking for getchar_unlocked... 4 checking size of long... 4 checking size of long... yes checking nl_types.h presence... yes checking for nl_types.h... yes checking malloc.h usability... yes checking for getc_unlocked... 8 checking size of size_t... 8 checking size of size_t... yes checking malloc.h presence... yes yes checking for malloc.h... yes checking for putchar_unlocked... checking stddef.h usability... 8 checking for long long... yes 8 checking for long long... yes checking stddef.h presence... checking for putc_unlocked... yes checking for stddef.h... yes checking for stdlib.h... (cached) yes checking for string.h... (cached) yes checking for unistd.h... (cached) yes checking for sys/param.h... (cached) yes yes checking for feof_unlocked... checking whether abort is declared... yes checking size of long long... yes checking size of long long... yes yes checking for fgets_unlocked... checking whether asprintf is declared... yes checking for getc_unlocked... 8 8 checking for a 64-bit type... yes checking for a 64-bit type... checking whether basename is declared... uint64_t checking for intptr_t... uint64_t checking for intptr_t... yes checking for getcwd... yes checking whether errno is declared... yes checking for getegid... no yes checking for uintptr_t... checking whether vasprintf is declared... yes checking for uintptr_t... yes checking for geteuid... yes checking whether clearerr_unlocked is declared... yes checking for getgid... yes checking for ssize_t... yes checking for ssize_t... yes checking whether feof_unlocked is declared... yes checking for getuid... yes yes checking for mempcpy... yes checking for pid_t... checking whether ferror_unlocked is declared... yes checking for pid_t... yes yes checking for munmap... checking whether fflush_unlocked is declared... yes checking for library containing strerror... yes yes checking for putenv... checking whether fgetc_unlocked is declared... yes checking for library containing strerror... none required checking for asprintf... yes yes checking for setenv... checking whether fgets_unlocked is declared... none required checking for asprintf... yes checking for atexit... yes checking for setlocale... yes yes checking whether fileno_unlocked is declared... checking for atexit... yes checking for stpcpy... yes checking for basename... yes yes checking for basename... checking whether fprintf_unlocked is declared... yes checking for strcasecmp... yes yes checking for bcmp... checking for bcmp... no checking whether fputc_unlocked is declared... yes yes yes checking for strdup... checking for bcopy... checking for bcopy... yes checking whether fputs_unlocked is declared... yes yes yes checking for bsearch... checking for bsearch... checking for strtoul... yes checking whether fread_unlocked is declared... yes yes checking for bzero... checking for tsearch... yes checking for bzero... yes yes checking whether fwrite_unlocked is declared... yes checking for __argz_count... checking for calloc... yes checking for calloc... yes yes checking whether getchar_unlocked is declared... yes checking for __argz_stringify... checking for clock... yes checking for clock... yes yes checking for __argz_next... yes yes checking whether getc_unlocked is declared... checking for ffs... checking for ffs... yes checking for __fsetlocking... yes yes yes checking whether putchar_unlocked is declared... checking for getcwd... checking for getcwd... yes checking for iconv... yes yes yes checking for getpagesize... checking for getpagesize... checking whether putc_unlocked is declared... yes checking for iconv declaration... yes yes checking for gettimeofday... checking for gettimeofday... yes checking for an ANSI C-conforming const... extern size_t iconv (iconv_t cd, char * *inbuf, size_t *inbytesleft, char * *outbuf, size_t *outbytesleft); checking for nl_langinfo and CODESET... yes yes checking sys/mman.h usability... yes checking for index... checking for index... yes checking for LC_MESSAGES... yes checking sys/mman.h presence... yes yes checking for sys/mman.h... yes checking for mmap... yes checking for insque... yes checking for bison... no checking whether NLS is requested... yes checking for insque... checking whether included gettext is requested... no checking for GNU gettext in libc... yes checking whether read-only mmap of a plain file works... yes checking whether mmap from /dev/zero works... yes checking for MAP_ANON(YMOUS)... yes yes yes checking whether to use NLS... yes checking where the gettext function comes from... libc checking for memchr... checking for aclocal... aclocal checking for autoconf... checking for memchr... autoconf checking for autoheader... autoheader yes checking whether mmap with MAP_ANON(YMOUS) works... yes checking whether to enable maintainer-specific portions of Makefiles... no configure: updating cache ./config.cache configure: creating ./config.status yes configure: updating cache ./config.cache configure: creating ./config.status checking for memcmp... yes checking for memcmp... yes checking for memcpy... yes checking for memcpy... config.status: creating Makefile yes config.status: creating config.intl config.status: creating Makefile checking for memmem... config.status: creating config.h yes config.status: creating mkheaders.almost checking for memmem... config.status: executing default-1 commands config.status: creating config.h yes checking for memmove... yes checking for memmove... mkdir -p -- build-powerpc64le-unknown-linux-gnu/fixincludes Configuring in build-powerpc64le-unknown-linux-gnu/fixincludes mkdir -p -- build-powerpc64le-unknown-linux-gnu/libcpp Configuring in build-powerpc64le-unknown-linux-gnu/libcpp yes checking for mempcpy... yes checking for mempcpy... yes checking for memset... yes checking for memset... yes checking for mkstemps... configure: creating cache ./config.cache yes checking build system type... checking for mkstemps... powerpc64le-unknown-linux-gnu checking host system type... powerpc64le-unknown-linux-gnu checking target system type... configure: creating cache ./config.cache powerpc64le-unknown-linux-gnu checking for powerpc64le-unknown-linux-gnu-gcc... gnatgcc checking build system type... yes yes powerpc64le-unknown-linux-gnu checking host system type... checking for putenv... powerpc64le-unknown-linux-gnu checking target system type... checking whether the C compiler works... checking for putenv... powerpc64le-unknown-linux-gnu checking whether /usr/bin/make sets $(MAKE)... yes checking for a BSD-compatible install... /usr/bin/install -c checking for powerpc64le-unknown-linux-gnu-gcc... gnatgcc yes yes yes checking for C compiler default output file name... a.out checking for random... checking for suffix of executables... checking for random... checking whether the C compiler works... yes checking whether we are cross compiling... yes checking for rename... checking for rename... yes checking for C compiler default output file name... a.out checking for suffix of executables... no checking for suffix of object files... yes yes checking for rindex... checking for rindex... checking whether we are cross compiling... o checking whether we are using the GNU C compiler... yes checking whether gnatgcc accepts -g... yes yes no checking for suffix of object files... yes checking for gnatgcc option to accept ISO C89... checking for setenv... checking for setenv... o checking whether we are using the GNU C compiler... yes checking whether gnatgcc accepts -g... none needed checking how to run the C preprocessor... yes checking for snprintf... yes checking for snprintf... gnatgcc -E yes checking for gnatgcc option to accept ISO C89... checking for grep that handles long lines and -e... /bin/grep checking for egrep... /bin/grep -E checking for ANSI C header files... yes checking for sigsetmask... none needed yes checking for sigsetmask... checking whether we are using the GNU C++ compiler... yes yes checking whether g++ accepts -g... checking for stpcpy... yes checking for stpcpy... yes checking for powerpc64le-unknown-linux-gnu-ranlib... ranlib checking how to run the C preprocessor... gnatgcc -E yes checking for stpncpy... yes yes checking for sys/types.h... checking for grep that handles long lines and -e... checking for stpncpy... /bin/grep checking for egrep... /bin/grep -E checking for ANSI C header files... yes yes checking for sys/stat.h... checking for strcasecmp... yes checking for strcasecmp... yes checking for stdlib.h... yes checking for strchr... yes yes checking for strchr... checking for string.h... yes yes yes checking for strdup... checking for sys/types.h... checking for memory.h... yes checking for strdup... yes yes checking for sys/stat.h... yes checking for strings.h... checking for strncasecmp... yes yes checking for strncasecmp... checking for stdlib.h... yes checking for inttypes.h... yes yes checking for strndup... checking for string.h... yes yes checking for strndup... checking for stdint.h... yes checking for memory.h... yes checking for strnlen... yes yes checking for unistd.h... checking for strnlen... yes checking for strings.h... yes yes checking minix/config.h usability... checking for strrchr... yes yes checking for strrchr... checking for inttypes.h... no checking minix/config.h presence... yes no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... checking for strstr... yes yes checking for stdint.h... checking for strstr... yes checking for a sed that does not truncate output... /bin/sed checking whether gnatgcc supports -W... yes yes checking for strtod... checking for unistd.h... yes yes checking whether gnatgcc supports -Wall... checking for strtod... yes yes checking whether gnatgcc supports -Wwrite-strings... yes checking for strtol... checking minix/config.h usability... yes yes checking whether gnatgcc supports -Wstrict-prototypes... checking for strtol... no checking minix/config.h presence... yes yes no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... checking for strtoul... checking whether gnatgcc supports -Wmissing-prototypes... yes yes checking for strtoul... checking whether gnatgcc supports -Wold-style-definition... yes yes checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... yes checking for strtoll... checking whether gnatgcc supports -Wmissing-format-attribute... yes no yes checking for aclocal... aclocal checking for autoconf... autoconf checking for autoheader... autoheader checking for strtoll... checking whether gnatgcc supports -W... checking whether gnatgcc supports -Woverlength-strings... yes yes checking for strtoull... yes checking whether gnatgcc supports -pedantic -Wlong-long... checking whether gnatgcc supports -Wall... yes yes yes checking for ANSI C header files... (cached) yes checking for strtoull... checking stddef.h usability... checking whether gnatgcc supports -Wnarrowing... yes checking for strverscmp... yes checking whether gnatgcc supports -Wwrite-strings... yes checking stddef.h presence... yes yes yes checking for stddef.h... yes checking whether gnatgcc supports -Wmissing-format-attribute... checking for strverscmp... checking for stdlib.h... (cached) yes yes checking for strings.h... (cached) yes checking for unistd.h... (cached) yes checking for tmpnam... yes checking whether gnatgcc supports -Wstrict-prototypes... checking fcntl.h usability... yes yes checking for tmpnam... checking whether gnatgcc supports -Wmissing-prototypes... yes yes checking fcntl.h presence... checking for vasprintf... yes checking whether gnatgcc supports -Wold-style-definition... yes checking for fcntl.h... yes checking sys/file.h usability... yes yes checking whether gnatgcc supports -Wc++-compat... yes checking for vasprintf... checking for vfprintf... yes checking sys/file.h presence... yes checking whether gnatgcc supports -pedantic -Wlong-long... yes checking for sys/file.h... yes checking for sys/stat.h... (cached) yes yes yes checking whether gnatgcc supports -fno-exceptions... checking for clearerr_unlocked... checking for vfprintf... yes checking for vprintf... yes checking whether gnatgcc supports -fno-rtti... yes yes checking for feof_unlocked... yes checking for vprintf... yes checking dependency style of g++... checking for vsnprintf... yes checking for ferror_unlocked... yes checking for vsnprintf... gcc3 checking whether time.h and sys/time.h may both be included... yes checking for vsprintf... yes yes checking whether string.h and strings.h may both be included... checking for fflush_unlocked... yes checking for vsprintf... yes yes checking for waitpid... checking locale.h usability... yes checking for fgetc_unlocked... yes checking for waitpid... yes yes checking locale.h presence... checking for setproctitle... yes checking for locale.h... yes checking fcntl.h usability... yes checking for fgets_unlocked... yes checking for setproctitle... no checking whether alloca needs Cray hooks... yes checking fcntl.h presence... no checking stack direction for C alloca... yes checking for fcntl.h... yes checking limits.h usability... yes checking for fileno_unlocked... no checking whether alloca needs Cray hooks... no checking stack direction for C alloca... -1 checking for vfork.h... yes checking limits.h presence... no checking for fork... yes checking for limits.h... yes yes checking stddef.h usability... checking for fprintf_unlocked... -1 checking for vfork.h... yes no checking for fork... checking for vfork... yes checking stddef.h presence... no yes checking for stddef.h... yes checking for fputc_unlocked... checking for stdlib.h... (cached) yes checking for strings.h... (cached) yes checking for string.h... (cached) yes yes checking for working fork... checking sys/file.h usability... yes checking for vfork... yes checking for fputs_unlocked... yes checking sys/file.h presence... yes checking for sys/file.h... yes yes checking for working fork... checking for unistd.h... (cached) yes yes checking for working vfork... (cached) yes checking for _doprnt... checking whether byte ordering is bigendian... yes checking for fread_unlocked... no checking for sys_errlist... yes yes checking for working vfork... (cached) yes checking for _doprnt... checking for fwrite_unlocked... no checking for an ANSI C-conforming const... yes checking for sys_nerr... yes checking for inline... no checking for sys_errlist... yes inline checking for obstacks... yes checking for getchar_unlocked... checking for sys_siglist... yes checking for sys_nerr... yes checking for external symbol _system_configuration... yes checking for getc_unlocked... yes checking for off_t... no checking for __fsetlocking... yes checking for sys_siglist... yes yes checking for canonicalize_file_name... checking for putchar_unlocked... yes checking for external symbol _system_configuration... no checking for __fsetlocking... yes checking for size_t... yes checking for dup3... yes checking for putc_unlocked... yes checking for canonicalize_file_name... yes yes checking for getrlimit... checking whether abort is declared... yes yes checking for ssize_t... checking for dup3... yes checking for getrusage... yes checking whether asprintf is declared... yes checking for getrlimit... yes checking for getsysinfo... yes checking for uintptr_t... yes checking whether basename is declared... yes checking for getrusage... no checking for gettimeofday... (cached) yes checking for on_exit... yes checking for getsysinfo... yes checking whether errno is declared... yes yes checking for ptrdiff_t... checking for pipe2... no checking for gettimeofday... (cached) yes no checking for on_exit... checking whether vasprintf is declared... yes checking for psignal... yes yes checking for pipe2... yes checking whether clearerr_unlocked is declared... yes checking for uint64_t... checking for pstat_getdynamic... yes yes checking for psignal... yes checking whether struct tm is in sys/time.h or time.h... no checking for pstat_getstatic... checking whether feof_unlocked is declared... time.h checking size of int... yes checking for pstat_getdynamic... no checking for realpath... yes checking whether ferror_unlocked is declared... no 4 yes checking for pstat_getstatic... checking size of long... checking for setrlimit... yes checking whether fflush_unlocked is declared... yes no checking for realpath... checking for sbrk... 8 checking for clearerr_unlocked... yes yes checking whether fgetc_unlocked is declared... checking for setrlimit... yes yes checking for spawnve... checking for feof_unlocked... yes yes checking for sbrk... checking whether fgets_unlocked is declared... yes no checking for ferror_unlocked... checking for spawnvpe... yes yes checking whether fileno_unlocked is declared... checking for spawnve... no checking for strerror... yes checking for fflush_unlocked... yes no checking whether fprintf_unlocked is declared... checking for spawnvpe... yes checking for strsignal... yes checking for fgetc_unlocked... no no checking whether fputc_unlocked is declared... checking for strerror... yes checking for sysconf... yes checking for fgets_unlocked... yes yes checking for strsignal... yes checking whether fputs_unlocked is declared... checking for sysctl... yes checking for fileno_unlocked... yes yes checking for sysconf... checking for sysmp... yes checking for fprintf_unlocked... yes yes checking whether fread_unlocked is declared... checking for sysctl... no checking for table... no yes checking for fputc_unlocked... yes checking for sysmp... checking whether fwrite_unlocked is declared... no checking for times... yes no checking for table... checking for fputs_unlocked... yes checking whether getchar_unlocked is declared... yes checking for wait3... yes no checking for times... checking for fread_unlocked... yes checking whether getc_unlocked is declared... yes checking for wait4... yes yes checking for fwrite_unlocked... checking for wait3... yes checking whether putchar_unlocked is declared... yes checking whether basename is declared... yes checking for wait4... yes yes checking for getchar_unlocked... checking whether putc_unlocked is declared... yes checking whether ffs is declared... yes checking whether basename is declared... yes yes checking for getc_unlocked... checking for an ANSI C-conforming const... yes yes checking sys/mman.h usability... checking whether asprintf is declared... yes checking whether ffs is declared... yes checking for putchar_unlocked... yes checking sys/mman.h presence... yes yes checking for sys/mman.h... yes checking for mmap... checking whether vasprintf is declared... yes checking whether asprintf is declared... yes checking for putc_unlocked... yes checking whether read-only mmap of a plain file works... yes checking whether mmap from /dev/zero works... yes checking for MAP_ANON(YMOUS)... yes checking whether snprintf is declared... yes checking whether vasprintf is declared... yes yes checking whether mmap with MAP_ANON(YMOUS) works... yes checking whether to enable maintainer-specific portions of Makefiles... no checking whether abort is declared... yes configure: updating cache ./config.cache checking whether vsnprintf is declared... configure: creating ./config.status yes checking whether snprintf is declared... yes checking whether asprintf is declared... yes checking whether calloc is declared... yes checking whether vsnprintf is declared... yes config.status: creating Makefile checking whether basename is declared... yes config.status: creating mkheaders.almost yes checking whether getenv is declared... checking whether calloc is declared... config.status: creating config.h yes checking whether errno is declared... yes yes checking whether getopt is declared... checking whether getenv is declared... mkdir -p -- ./libbacktrace Configuring in ./libbacktrace no checking whether getopt is declared... yes yes checking whether malloc is declared... checking whether getopt is declared... yes checking whether vasprintf is declared... yes yes checking whether realloc is declared... checking whether malloc is declared... yes checking whether clearerr_unlocked is declared... yes yes checking whether sbrk is declared... configure: creating cache ./config.cache checking whether realloc is declared... checking build system type... powerpc64le-unknown-linux-gnu checking host system type... powerpc64le-unknown-linux-gnu checking target system type... yes checking whether feof_unlocked is declared... powerpc64le-unknown-linux-gnu checking for powerpc64le-unknown-linux-gnu-gcc... gnatgcc yes checking whether strtol is declared... yes checking whether the C compiler works... checking whether sbrk is declared... yes checking whether ferror_unlocked is declared... yes checking for C compiler default output file name... a.out checking for suffix of executables... yes yes checking whether strtoul is declared... checking whether strtol is declared... checking whether we are cross compiling... yes checking whether fflush_unlocked is declared... yes checking whether strtoll is declared... yes checking whether strtoul is declared... no checking for suffix of object files... yes checking whether fgetc_unlocked is declared... o checking whether we are using the GNU C compiler... yes checking whether strtoull is declared... yes checking whether gnatgcc accepts -g... yes checking whether strtoll is declared... yes yes checking for gnatgcc option to accept ISO C89... checking whether fgets_unlocked is declared... yes checking whether strverscmp is declared... yes none needed checking whether gnatgcc understands -c and -o together... yes checking whether strtoull is declared... checking whether fileno_unlocked is declared... yes checking whether strnlen is declared... yes checking how to run the C preprocessor... yes yes gnatgcc -E checking whether strverscmp is declared... checking whether fprintf_unlocked is declared... yes checking whether canonicalize_file_name must be declared... checking for grep that handles long lines and -e... /bin/grep checking for egrep... /bin/grep -E checking for ANSI C header files... no yes checking whether fputc_unlocked is declared... checking whether strnlen is declared... no checking for stdlib.h... (cached) yes checking for unistd.h... (cached) yes checking for sys/param.h... (cached) yes checking for getpagesize... (cached) yes checking for working mmap... yes checking whether fputs_unlocked is declared... yes checking whether canonicalize_file_name must be declared... yes checking for sys/types.h... yes no checking whether fread_unlocked is declared... checking for stdlib.h... (cached) yes checking for unistd.h... (cached) yes yes checking for sys/param.h... (cached) yes checking for getpagesize... (cached) yes checking for sys/stat.h... checking for working mmap... yes checking for working strncmp... yes checking whether fwrite_unlocked is declared... yes checking for stdlib.h... yes yes yes checking whether getchar_unlocked is declared... checking for string.h... configure: updating cache ./config.cache configure: creating ./config.status yes yes checking for working strncmp... checking for memory.h... yes checking whether getc_unlocked is declared... yes checking for strings.h... yes yes checking whether putchar_unlocked is declared... yes checking for inttypes.h... configure: updating cache ./config.cache config.status: creating Makefile configure: creating ./config.status yes yes checking for stdint.h... checking whether putc_unlocked is declared... config.status: creating testsuite/Makefile yes config.status: creating config.h checking for unistd.h... config.status: executing default commands yes checking for working alloca.h... yes checking minix/config.h usability... yes checking for alloca... mkdir -p -- ./libdecnumber config.status: creating Makefile Configuring in ./libdecnumber no checking minix/config.h presence... config.status: creating testsuite/Makefile yes checking for ANSI C header files... (cached) yes checking for nl_langinfo and CODESET... no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... config.status: creating config.h config.status: executing default commands yes checking for a BSD-compatible install... /usr/bin/install -c checking whether build environment is sane... yes checking whether NLS is requested... no checking for uchar... yes checking for a thread-safe mkdir -p... /bin/mkdir -p checking for gawk... gawk checking whether /usr/bin/make sets $(MAKE)... checking for ld used by GCC... ld checking if the linker (ld) is GNU ld... yes yes checking for shared library run path origin... checking whether /usr/bin/make supports nested variables... yes checking whether to enable maintainer-specific portions of Makefiles... no checking for powerpc64le-unknown-linux-gnu-gcc... (cached) gnatgcc make[4]: Entering directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/libiberty' if [ x"-fPIC" != x ] && [ ! -d pic ]; then \ mkdir pic; \ else true; fi touch stamp-picdir if [ x"" != x ] && [ ! -d noasan ]; then \ mkdir noasan; \ else true; fi touch stamp-noasandir done if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/dwarfnames.c -o pic/dwarfnames.o; \ else true; fi checking for iconv... (cached) no checking whether we are using the GNU C compiler... (cached) yes checking whether gnatgcc accepts -g... (cached) yes checking for gnatgcc option to accept ISO C89... (cached) none needed checking whether gnatgcc understands -c and -o together... (cached) yes checking for powerpc64le-unknown-linux-gnu-ranlib... ranlib checking for gawk... (cached) gawk checking for dwz... dwz checking how to print strings... configure: creating cache ./config.cache checking whether /usr/bin/make sets $(MAKE)... configure: updating cache ./config.cache configure: creating ./config.status yes checking for powerpc64le-unknown-linux-gnu-gcc... gnatgcc printf checking for a sed that does not truncate output... /bin/sed checking for fgrep... /bin/grep -F checking for ld used by gnatgcc... ld checking if the linker (ld) is GNU ld... yes checking for BSD- or MS-compatible name lister (nm)... nm checking the name lister (nm) interface... checking whether the C compiler works... BSD nm checking whether ln -s works... yes checking the maximum length of command line arguments... 1572864 checking whether the shell understands some XSI constructs... yes checking whether the shell understands "+="... yes checking for ld option to reload object files... -r checking for powerpc64le-unknown-linux-gnu-objdump... objdump checking how to recognize dependent libraries... pass_all checking for powerpc64le-unknown-linux-gnu-ar... ar checking for powerpc64le-unknown-linux-gnu-strip... no checking for strip... strip checking for powerpc64le-unknown-linux-gnu-ranlib... (cached) ranlib checking command to parse nm output from gnatgcc object... yes checking for C compiler default output file name... a.out checking for suffix of executables... config.status: creating Makefile checking whether we are cross compiling... config.status: creating config.h config.status: executing depdir commands mkdir -p -- .deps ok if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/dwarfnames.c -o noasan/dwarfnames.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/dwarfnames.c -o dwarfnames.o no checking for suffix of object files... checking for dlfcn.h... o checking whether we are using the GNU C compiler... mkdir -p -- ./gcc Configuring in ./gcc yes checking whether gnatgcc accepts -g... yes checking for objdir... .libs yes checking for gnatgcc option to accept ISO C89... none needed checking for powerpc64le-unknown-linux-gnu-ranlib... ranlib checking for aclocal... aclocal checking for autoconf... autoconf checking for autoheader... autoheader checking whether gnatgcc supports -W... checking if gnatgcc supports -fno-rtti -fno-exceptions... yes checking whether gnatgcc supports -Wall... no checking for gnatgcc option to produce PIC... -fPIC -DPIC checking if gnatgcc PIC flag -fPIC -DPIC works... yes checking whether gnatgcc supports -Wwrite-strings... yes checking if gnatgcc static flag -static works... if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/dyn-string.c -o pic/dyn-string.o; \ else true; fi configure: creating cache ./config.cache yes checking whether gnatgcc supports -Wstrict-prototypes... checking build system type... powerpc64le-unknown-linux-gnu checking host system type... yes powerpc64le-unknown-linux-gnu checking target system type... checking whether gnatgcc supports -Wmissing-prototypes... powerpc64le-unknown-linux-gnu checking LIBRARY_PATH variable... ok checking GCC_EXEC_PREFIX variable... ok checking whether to place generated files in the source directory... no checking whether a default linker was specified... no checking whether a default assembler was specified... no checking for powerpc64le-unknown-linux-gnu-gcc... gnatgcc yes checking whether gnatgcc supports -Wold-style-definition... checking whether the C compiler works... In file included from /usr/include/string.h:495, from ../../src/libiberty/dyn-string.c:38: In function ‘strncpy’, inlined from ‘dyn_string_insert_cstr’ at ../../src/libiberty/dyn-string.c:280:3: /usr/include/powerpc64le-linux-gnu/bits/string_fortified.h:106:10: warning: ‘__builtin_strncpy’ output truncated before terminating nul copying as many bytes from a string as its length [-Wstringop-truncation] 106 | return __builtin___strncpy_chk (__dest, __src, __len, __bos (__dest)); | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../src/libiberty/dyn-string.c: In function ‘dyn_string_insert_cstr’: ../../src/libiberty/dyn-string.c:272:16: note: length computed here 272 | int length = strlen (src); | ^~~~~~~~~~~~ yes checking whether gnatgcc supports -Wmissing-format-attribute... yes checking if gnatgcc supports -c -o file.o... yes checking whether gnatgcc supports -Wcast-qual... yes checking for C compiler default output file name... a.out checking for suffix of executables... yes checking if gnatgcc supports -c -o file.o... (cached) yes checking whether the gnatgcc linker (ld) supports shared libraries... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/dyn-string.c -o noasan/dyn-string.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/dyn-string.c -o dyn-string.o yes yes checking whether -lc should be explicitly linked in... checking whether gnatgcc supports -pedantic -Wlong-long... checking whether we are cross compiling... yes no checking dynamic linker characteristics... checking whether gnatgcc supports -fno-lto... yes checking how to run the C preprocessor... no checking for suffix of object files... gnatgcc -E In file included from /usr/include/string.h:495, from ../../src/libiberty/dyn-string.c:38: In function ‘strncpy’, inlined from ‘dyn_string_insert_cstr’ at ../../src/libiberty/dyn-string.c:280:3, inlined from ‘dyn_string_prepend_cstr’ at ../../src/libiberty/dyn-string.c:235:10: /usr/include/powerpc64le-linux-gnu/bits/string_fortified.h:106:10: warning: ‘__builtin_strncpy’ output truncated before terminating nul copying as many bytes from a string as its length [-Wstringop-truncation] 106 | return __builtin___strncpy_chk (__dest, __src, __len, __bos (__dest)); | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../src/libiberty/dyn-string.c: In function ‘dyn_string_prepend_cstr’: ../../src/libiberty/dyn-string.c:272:16: note: length computed here 272 | int length = strlen (src); | ^~~~~~~~~~~~ o checking whether we are using the GNU C compiler... GNU/Linux ld.so checking how to hardcode library paths into programs... immediate checking whether stripping libraries is possible... yes checking if libtool supports shared libraries... yes checking whether to build shared libraries... yes checking whether to build static libraries... yes checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... checking for grep that handles long lines and -e... /bin/grep checking for egrep... /bin/grep -E checking for ANSI C header files... In file included from /usr/include/string.h:495, from ../../src/libiberty/dyn-string.c:38: In function ‘strncpy’, inlined from ‘dyn_string_insert_cstr’ at ../../src/libiberty/dyn-string.c:280:3: /usr/include/powerpc64le-linux-gnu/bits/string_fortified.h:106:10: warning: ‘__builtin_strncpy’ output truncated before terminating nul copying as many bytes from a string as its length [-Wstringop-truncation] 106 | return __builtin___strncpy_chk (__dest, __src, __len, __bos (__dest)); | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../src/libiberty/dyn-string.c: In function ‘dyn_string_insert_cstr’: ../../src/libiberty/dyn-string.c:272:16: note: length computed here 272 | int length = strlen (src); | ^~~~~~~~~~~~ yes checking whether gnatgcc accepts -g... no checking unwind.h usability... yes checking for gnatgcc option to accept ISO C89... yes checking unwind.h presence... yes checking for unwind.h... yes checking for _Unwind_Backtrace... none needed if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/fdmatch.c -o pic/fdmatch.o; \ else true; fi checking whether we are using the GNU C++ compiler... yes checking for -funwind-tables option... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/fdmatch.c -o noasan/fdmatch.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/fdmatch.c -o fdmatch.o yes yes checking whether g++ accepts -g... checking for sys/types.h... yes checking for -frandom-seed=string option... yes checking for powerpc64le-unknown-linux-gnu-gnatbind... gnatbind checking for powerpc64le-unknown-linux-gnu-gnatmake... gnatmake checking whether compiler driver understands Ada... yes if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/fibheap.c -o pic/fibheap.o; \ else true; fi checking for sys/stat.h... yes checking whether gnatgcc supports -W... yes checking how to run the C++ preprocessor... yes yes checking whether gnatgcc supports -Wall... checking for stdlib.h... g++ -E yes checking whether gnatgcc supports -Wwrite-strings... checking for grep that handles long lines and -e... yes /bin/grep checking for egrep... /bin/grep -E checking for ANSI C header files... checking for string.h... yes checking whether gnatgcc supports -Wstrict-prototypes... yes yes checking whether gnatgcc supports -Wmissing-prototypes... checking for memory.h... yes checking whether gnatgcc supports -Wold-style-definition... yes checking for strings.h... yes if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/fibheap.c -o noasan/fibheap.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/fibheap.c -o fibheap.o checking whether gnatgcc supports -Wmissing-format-attribute... yes yes checking for inttypes.h... yes checking whether gnatgcc supports -Wcast-qual... checking for sys/types.h... yes checking for _Unwind_GetIPInfo... yes yes checking for stdint.h... checking for sys/stat.h... yes checking __sync extensions... yes yes checking for unistd.h... checking for stdlib.h... yes checking __atomic extensions... yes checking ctype.h usability... yes checking for string.h... yes checking output filetype... if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/filedescriptor.c -o pic/filedescriptor.o; \ else true; fi yes checking ctype.h presence... elf64 looking for a compliant stdint.h in stdint.h, checking for uintmax_t... yes checking for ctype.h... yes yes checking for memory.h... checking stddef.h usability... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/filedescriptor.c -o noasan/filedescriptor.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/filedescriptor.c -o filedescriptor.o if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/filename_cmp.c -o pic/filename_cmp.o; \ else true; fi yes yes checking stddef.h presence... yes checking for uintptr_t... checking for strings.h... yes checking for stddef.h... yes checking for string.h... (cached) yes checking stdio.h usability... yes yes checking for int_least32_t... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/filename_cmp.c -o noasan/filename_cmp.o; \ else true; fi checking for inttypes.h... gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/filename_cmp.c -o filename_cmp.o yes checking stdio.h presence... yes checking for stdio.h... yes looking for a compliant stdint.h in stdint.h, checking for uintmax_t... yes yes checking for int_fast32_t... checking for stdint.h... if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/floatformat.c -o pic/floatformat.o; \ else true; fi yes checking for uintptr_t... yes checking for unistd.h... yes checking for uint64_t... yes checking for int_least32_t... yes checking minix/config.h usability... yes checking what to include in gstdint.h... stdint.h (already complete) checking sys/mman.h usability... yes checking for int_fast32_t... no checking minix/config.h presence... no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... yes checking sys/mman.h presence... yes checking for sys/mman.h... yes checking for mmap... yes checking for uint64_t... yes checking how to run the C preprocessor... yes checking link.h usability... gnatgcc -E yes checking what to include in gstdint.h... stdint.h (already complete) checking for an ANSI C-conforming const... checking for inline... yes checking link.h presence... yes checking for off_t... inline checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... yes checking for link.h... yes checking for dl_iterate_phdr... no checking size of void *... yes checking sys/ldr.h usability... yes checking size of int... no checking sys/ldr.h presence... no checking for sys/ldr.h... no checking for fcntl... 8 checking size of short... 4 checking size of long... yes checking whether strnlen is declared... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/floatformat.c -o noasan/floatformat.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/floatformat.c -o floatformat.o 2 checking size of int... yes checking for lstat... 8 checking for ANSI C header files... (cached) yes checking build system type... powerpc64le-unknown-linux-gnu checking host system type... powerpc64le-unknown-linux-gnu checking target system type... powerpc64le-unknown-linux-gnu checking for decimal floating point... dpd checking whether byte ordering is bigendian... yes 4 checking for readlink... checking size of long... yes checking for getexecname... no 8 checking for long long... configure: updating cache ./config.cache configure: creating ./config.status no checking for clock_gettime... yes checking whether -pthread is supported... yes checking for compress in -lz... yes checking size of long long... config.status: creating Makefile yes checking whether --compress-debug-sections is supported... config.status: creating config.h config.status: executing gstdint.h commands 8 checking for int8_t... yes checking for objcopy... objcopy checking for readelf... readelf checking whether objcopy supports debuglink... objcopy: /tmp/ls21919: debuglink section already exists yes checking whether tests can run... yes make[4]: Entering directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/intl' make[4]: Nothing to be done for 'all'. make[4]: Leaving directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/intl' if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/fnmatch.c -o pic/fnmatch.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/fnmatch.c -o noasan/fnmatch.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/fnmatch.c -o fnmatch.o configure: updating cache ./config.cache if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/fopen_unlocked.c -o pic/fopen_unlocked.o; \ else true; fi checking that generated files are newer than configure... done configure: creating ./config.status yes checking for int16_t... make[4]: Entering directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/build-powerpc64le-unknown-linux-gnu/libiberty' if [ x"" != x ] && [ ! -d pic ]; then \ mkdir pic; \ else true; fi touch stamp-picdir if [ x"" != x ] && [ ! -d noasan ]; then \ mkdir noasan; \ else true; fi touch stamp-noasandir if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/dwarfnames.c -o pic/dwarfnames.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/dwarfnames.c -o noasan/dwarfnames.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/dwarfnames.c -o dwarfnames.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/fopen_unlocked.c -o noasan/fopen_unlocked.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/fopen_unlocked.c -o fopen_unlocked.o if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/getopt.c -o pic/getopt.o; \ else true; fi yes checking for int32_t... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/getopt.c -o noasan/getopt.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/getopt.c -o getopt.o if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/getopt1.c -o pic/getopt1.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/getopt1.c -o noasan/getopt1.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/getopt1.c -o getopt1.o if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/getpwd.c -o pic/getpwd.o; \ else true; fi yes checking for int64_t... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/dyn-string.c -o pic/dyn-string.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/dyn-string.c -o noasan/dyn-string.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/dyn-string.c -o dyn-string.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/getpwd.c -o noasan/getpwd.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/getpwd.c -o getpwd.o yes checking for unsigned long long int... if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/getruntime.c -o pic/getruntime.o; \ else true; fi In file included from /usr/include/string.h:495, from ../../../src/libiberty/dyn-string.c:38: In function ‘strncpy’, inlined from ‘dyn_string_insert_cstr’ at ../../../src/libiberty/dyn-string.c:280:3, inlined from ‘dyn_string_prepend_cstr’ at ../../../src/libiberty/dyn-string.c:235:10: /usr/include/powerpc64le-linux-gnu/bits/string_fortified.h:106:10: warning: ‘__builtin_strncpy’ output truncated before terminating nul copying as many bytes from a string as its length [-Wstringop-truncation] 106 | return __builtin___strncpy_chk (__dest, __src, __len, __bos (__dest)); | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../../src/libiberty/dyn-string.c: In function ‘dyn_string_prepend_cstr’: ../../../src/libiberty/dyn-string.c:272:16: note: length computed here 272 | int length = strlen (src); | ^~~~~~~~~~~~ yes checking for long long int... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/getruntime.c -o noasan/getruntime.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/getruntime.c -o getruntime.o In file included from /usr/include/string.h:495, from ../../../src/libiberty/dyn-string.c:38: In function ‘strncpy’, inlined from ‘dyn_string_insert_cstr’ at ../../../src/libiberty/dyn-string.c:280:3: /usr/include/powerpc64le-linux-gnu/bits/string_fortified.h:106:10: warning: ‘__builtin_strncpy’ output truncated before terminating nul copying as many bytes from a string as its length [-Wstringop-truncation] 106 | return __builtin___strncpy_chk (__dest, __src, __len, __bos (__dest)); | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../../src/libiberty/dyn-string.c: In function ‘dyn_string_insert_cstr’: ../../../src/libiberty/dyn-string.c:272:16: note: length computed here 272 | int length = strlen (src); | ^~~~~~~~~~~~ yes checking for intmax_t... if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/hashtab.c -o pic/hashtab.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fdmatch.c -o pic/fdmatch.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fdmatch.c -o noasan/fdmatch.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fdmatch.c -o fdmatch.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fibheap.c -o pic/fibheap.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fibheap.c -o noasan/fibheap.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fibheap.c -o fibheap.o yes checking for intptr_t... config.status: creating Makefile config.status: creating backtrace-supported.h yes checking for uint8_t... config.status: creating install-debuginfo-for-buildid.sh config.status: creating config.h config.status: executing libtool commands yes checking for uint16_t... config.status: executing gstdint.h commands config.status: executing default commands yes checking for uint32_t... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/hashtab.c -o noasan/hashtab.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/hashtab.c -o hashtab.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/filedescriptor.c -o pic/filedescriptor.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/filedescriptor.c -o noasan/filedescriptor.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/filedescriptor.c -o filedescriptor.o mkdir -p -- ./libcpp Configuring in ./libcpp yes checking for uint64_t... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/filename_cmp.c -o pic/filename_cmp.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/filename_cmp.c -o noasan/filename_cmp.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/filename_cmp.c -o filename_cmp.o yes checking for uintmax_t... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/floatformat.c -o pic/floatformat.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/floatformat.c -o noasan/floatformat.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/floatformat.c -o floatformat.o configure: creating cache ./config.cache checking build system type... powerpc64le-unknown-linux-gnu checking host system type... yes checking for uintptr_t... powerpc64le-unknown-linux-gnu checking target system type... powerpc64le-unknown-linux-gnu checking whether /usr/bin/make sets $(MAKE)... yes checking for a BSD-compatible install... /usr/bin/install -c checking for powerpc64le-unknown-linux-gnu-gcc... gnatgcc checking whether the C compiler works... yes checking for C compiler default output file name... a.out checking for suffix of executables... yes checking for int64_t underlying type... long checking for std::swap in ... if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/hex.c -o pic/hex.o; \ else true; fi checking whether we are cross compiling... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/hex.c -o noasan/hex.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/hex.c -o hex.o yes checking whether g++ is affected by placement new aliasing bug... no checking for suffix of object files... no checking whether g++ supports -W... if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/lbasename.c -o pic/lbasename.o; \ else true; fi o checking whether we are using the GNU C compiler... yes yes checking whether gnatgcc accepts -g... checking whether g++ supports -Wall... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/lbasename.c -o noasan/lbasename.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/lbasename.c -o lbasename.o yes checking for gnatgcc option to accept ISO C89... yes checking whether g++ supports -Wnarrowing... yes none needed checking whether g++ supports -Wwrite-strings... if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/lrealpath.c -o pic/lrealpath.o; \ else true; fi checking whether we are using the GNU C++ compiler... yes checking whether g++ supports -Wcast-qual... yes checking whether g++ accepts -g... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/lrealpath.c -o noasan/lrealpath.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/lrealpath.c -o lrealpath.o yes checking whether gnatgcc supports -Wstrict-prototypes... yes checking for powerpc64le-unknown-linux-gnu-ranlib... ranlib checking how to run the C preprocessor... yes if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fnmatch.c -o pic/fnmatch.o; \ else true; fi checking whether gnatgcc supports -Wmissing-prototypes... gnatgcc -E if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fnmatch.c -o noasan/fnmatch.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fnmatch.c -o fnmatch.o if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/make-relative-prefix.c -o pic/make-relative-prefix.o; \ else true; fi yes if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fopen_unlocked.c -o pic/fopen_unlocked.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fopen_unlocked.c -o noasan/fopen_unlocked.o; \ else true; fi checking whether g++ supports -Wmissing-format-attribute... checking for grep that handles long lines and -e... gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fopen_unlocked.c -o fopen_unlocked.o /bin/grep checking for egrep... /bin/grep -E checking for ANSI C header files... yes checking whether g++ supports -Woverloaded-virtual... yes if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getopt.c -o pic/getopt.o; \ else true; fi checking whether gnatgcc supports -Wold-style-definition... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getopt.c -o noasan/getopt.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getopt.c -o getopt.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getopt1.c -o pic/getopt1.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getopt1.c -o noasan/getopt1.o; \ else true; fi yes gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getopt1.c -o getopt1.o checking whether gnatgcc supports -Wc++-compat... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getpwd.c -o pic/getpwd.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getpwd.c -o noasan/getpwd.o; \ else true; fi yes checking whether g++ supports -pedantic -Wlong-long -Wvariadic-macros -Woverlength-strings... gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getpwd.c -o getpwd.o yes yes checking for sys/types.h... checking whether gnatgcc supports -fno-exceptions... yes checking whether gnatgcc supports -fno-rtti... yes if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/make-relative-prefix.c -o noasan/make-relative-prefix.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/make-relative-prefix.c -o make-relative-prefix.o checking for sys/stat.h... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getruntime.c -o pic/getruntime.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getruntime.c -o noasan/getruntime.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getruntime.c -o getruntime.o yes checking whether gnatgcc supports -fasynchronous-unwind-tables... yes checking for stdlib.h... yes checking valgrind.h usability... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/hashtab.c -o pic/hashtab.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/hashtab.c -o noasan/hashtab.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/hashtab.c -o hashtab.o yes checking for string.h... no checking valgrind.h presence... no checking for valgrind.h... no checking for VALGRIND_DISCARD in ... no checking for VALGRIND_DISCARD in ... yes checking for memory.h... no checking for multiarch configuration... auto configure: WARNING: fixed-point is not supported for this target, ignored checking whether /usr/bin/make sets $(MAKE)... yes yes checking for gawk... gawk checking whether ln -s works... yes checking whether ln works... yes checking for powerpc64le-unknown-linux-gnu-ranlib... ranlib checking for strings.h... checking for a BSD compatible install... /usr/bin/install -c checking for cmp's capabilities... gnucompare checking for mktemp... yes checking for makeinfo... /<>/ghdl-0.37+dfsg/builddir/gcc/src/missing makeinfo --split-size=5000000 checking for modern makeinfo... if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/make-temp-file.c -o pic/make-temp-file.o; \ else true; fi no configure: WARNING: *** Makeinfo is missing or too old. *** Info documentation will not be built. checking for recent Pod::Man... yes checking for inttypes.h... yes checking for flex... /<>/ghdl-0.37+dfsg/builddir/gcc/src/missing flex checking for bison... /<>/ghdl-0.37+dfsg/builddir/gcc/src/missing bison checking for nm... nm checking for ar... ar checking for sphinx-build... texinfo checking for ANSI C header files... (cached) yes checking whether time.h and sys/time.h may both be included... yes if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/make-temp-file.c -o noasan/make-temp-file.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/make-temp-file.c -o make-temp-file.o checking for stdint.h... yes checking whether string.h and strings.h may both be included... yes checking for unistd.h... yes checking for sys/wait.h that is POSIX.1 compatible... yes checking whether termios.h defines TIOCGWINSZ... yes checking minix/config.h usability... if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/objalloc.c -o pic/objalloc.o; \ else true; fi no checking whether sys/ioctl.h defines TIOCGWINSZ... yes checking for limits.h... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/hex.c -o pic/hex.o; \ else true; fi no checking minix/config.h presence... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/hex.c -o noasan/hex.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/hex.c -o hex.o yes no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... checking for stddef.h... yes checking for string.h... (cached) yes if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/lbasename.c -o pic/lbasename.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/lbasename.c -o noasan/lbasename.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/lbasename.c -o lbasename.o checking for strings.h... (cached) yes checking for stdlib.h... (cached) yes if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/objalloc.c -o noasan/objalloc.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/objalloc.c -o objalloc.o checking for time.h... yes checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... yes checking for iconv.h... no if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/lrealpath.c -o pic/lrealpath.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/lrealpath.c -o noasan/lrealpath.o; \ else true; fi checking for aclocal... aclocal checking for autoconf... autoconf yes checking for autoheader... gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/lrealpath.c -o lrealpath.o autoheader checking whether gnatgcc supports -W... checking for fcntl.h... yes yes checking whether gnatgcc supports -Wall... checking for ftw.h... yes if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/make-relative-prefix.c -o pic/make-relative-prefix.o; \ else true; fi if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/obstack.c -o pic/obstack.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/make-relative-prefix.c -o noasan/make-relative-prefix.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/make-relative-prefix.c -o make-relative-prefix.o checking whether gnatgcc supports -Wnarrowing... yes checking for unistd.h... (cached) yes yes checking whether gnatgcc supports -Wwrite-strings... checking for sys/file.h... yes yes checking for sys/time.h... checking whether gnatgcc supports -Wmissing-format-attribute... yes checking for sys/mman.h... yes checking whether gnatgcc supports -Wstrict-prototypes... yes checking for sys/resource.h... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/obstack.c -o noasan/obstack.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/obstack.c -o obstack.o yes checking for sys/param.h... yes checking whether gnatgcc supports -Wmissing-prototypes... yes checking for sys/times.h... yes checking for sys/stat.h... (cached) yes yes if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/make-temp-file.c -o pic/make-temp-file.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/make-temp-file.c -o noasan/make-temp-file.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/make-temp-file.c -o make-temp-file.o checking whether gnatgcc supports -Wold-style-definition... checking for sys/auxv.h... yes checking for direct.h... if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/partition.c -o pic/partition.o; \ else true; fi yes no checking whether gnatgcc supports -Wc++-compat... checking for malloc.h... yes yes checking whether gnatgcc supports -pedantic -Wlong-long... checking for langinfo.h... yes checking for ldfcn.h... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/objalloc.c -o pic/objalloc.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/objalloc.c -o noasan/objalloc.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/objalloc.c -o objalloc.o yes checking whether gnatgcc supports -fno-exceptions... no checking for locale.h... yes if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/partition.c -o noasan/partition.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/partition.c -o partition.o checking whether gnatgcc supports -fno-rtti... yes checking for wchar.h... yes yes checking for thread.h... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/obstack.c -o pic/obstack.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/obstack.c -o noasan/obstack.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/obstack.c -o obstack.o checking dependency style of g++... no checking for pthread.h... yes checking for CHAR_BIT... yes checking whether byte ordering is bigendian... if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/pexecute.c -o pic/pexecute.o; \ else true; fi gcc3 checking whether time.h and sys/time.h may both be included... yes checking whether string.h and strings.h may both be included... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/pexecute.c -o noasan/pexecute.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/partition.c -o pic/partition.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/pexecute.c -o pexecute.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/partition.c -o noasan/partition.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/partition.c -o partition.o yes checking locale.h usability... no checking how to run the C++ preprocessor... g++ -E if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/physmem.c -o pic/physmem.o; \ else true; fi checking for unordered_map... yes checking locale.h presence... yes checking for locale.h... yes if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pexecute.c -o pic/pexecute.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pexecute.c -o noasan/pexecute.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pexecute.c -o pexecute.o checking fcntl.h usability... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/physmem.c -o noasan/physmem.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/physmem.c -o physmem.o yes checking for tr1/unordered_map... yes checking fcntl.h presence... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/physmem.c -o pic/physmem.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/physmem.c -o noasan/physmem.o; \ else true; fi yes checking for fcntl.h... yes gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/physmem.c -o physmem.o yes checking for ext/hash_map... checking limits.h usability... if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/pex-common.c -o pic/pex-common.o; \ else true; fi yes checking limits.h presence... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-common.c -o pic/pex-common.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-common.c -o noasan/pex-common.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-common.c -o pex-common.o yes checking for limits.h... yes checking stddef.h usability... yes checking dependency style of g++... yes checking stddef.h presence... yes checking for stddef.h... yes checking for stdlib.h... (cached) yes checking for strings.h... (cached) yes gcc3 checking for collect2 libraries... checking for string.h... (cached) yes checking sys/file.h usability... yes checking sys/file.h presence... yes checking for sys/file.h... yes checking for unistd.h... (cached) yes checking whether byte ordering is bigendian... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/pex-common.c -o noasan/pex-common.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/pex-common.c -o pex-common.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-one.c -o pic/pex-one.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-one.c -o noasan/pex-one.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-one.c -o pex-one.o no checking for an ANSI C-conforming const... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-unix.c -o pic/pex-unix.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-unix.c -o noasan/pex-unix.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-unix.c -o pex-unix.o yes checking for inline... inline checking for obstacks... none required checking for library containing exc_resume... yes checking for off_t... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/vprintf-support.c -o pic/vprintf-support.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/vprintf-support.c -o noasan/vprintf-support.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/vprintf-support.c -o vprintf-support.o no checking for library containing kstat_open... if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/pex-one.c -o pic/pex-one.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/pex-one.c -o noasan/pex-one.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/pex-one.c -o pex-one.o yes checking for size_t... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/rust-demangle.c -o pic/rust-demangle.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/rust-demangle.c -o noasan/rust-demangle.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/rust-demangle.c -o rust-demangle.o if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/pex-unix.c -o pic/pex-unix.o; \ else true; fi no checking for library containing ldexp... yes checking for ssize_t... none required checking for library containing dlopen... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/pex-unix.c -o noasan/pex-unix.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/pex-unix.c -o pex-unix.o yes checking for uintptr_t... -ldl checking for inttypes.h... yes checking for times... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/safe-ctype.c -o pic/safe-ctype.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/safe-ctype.c -o noasan/safe-ctype.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/safe-ctype.c -o safe-ctype.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object.c -o pic/simple-object.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object.c -o noasan/simple-object.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object.c -o simple-object.o yes yes checking for ptrdiff_t... checking for clock... yes if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/vprintf-support.c -o pic/vprintf-support.o; \ else true; fi checking for kill... yes checking for uint64_t... yes checking for getrlimit... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/vprintf-support.c -o noasan/vprintf-support.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/vprintf-support.c -o vprintf-support.o yes checking whether struct tm is in sys/time.h or time.h... yes checking for setrlimit... time.h checking size of int... if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/rust-demangle.c -o pic/rust-demangle.o; \ else true; fi yes checking for atoq... 4 checking size of long... no if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-coff.c -o pic/simple-object-coff.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-coff.c -o noasan/simple-object-coff.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-coff.c -o simple-object-coff.o checking for popen... 8 checking for clearerr_unlocked... yes checking for sysconf... yes checking for feof_unlocked... yes checking for strsignal... yes if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/rust-demangle.c -o noasan/rust-demangle.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/rust-demangle.c -o rust-demangle.o checking for ferror_unlocked... yes checking for getrusage... yes checking for fflush_unlocked... yes if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-elf.c -o pic/simple-object-elf.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-elf.c -o noasan/simple-object-elf.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-elf.c -o simple-object-elf.o checking for nl_langinfo... yes checking for fgetc_unlocked... yes checking for gettimeofday... yes checking for fgets_unlocked... yes checking for mbstowcs... yes checking for fileno_unlocked... if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/safe-ctype.c -o pic/safe-ctype.o; \ else true; fi yes checking for wcswidth... yes if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/safe-ctype.c -o noasan/safe-ctype.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/safe-ctype.c -o safe-ctype.o checking for fprintf_unlocked... if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/simple-object.c -o pic/simple-object.o; \ else true; fi yes checking for mmap... no checking for fputc_unlocked... yes yes checking for setlocale... checking for fputs_unlocked... yes yes checking for fread_unlocked... checking for clearerr_unlocked... yes yes checking for fwrite_unlocked... checking for feof_unlocked... yes yes checking for getchar_unlocked... checking for ferror_unlocked... yes checking for getc_unlocked... yes checking for fflush_unlocked... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/simple-object.c -o noasan/simple-object.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/simple-object.c -o simple-object.o yes checking for putchar_unlocked... yes checking for fgetc_unlocked... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-mach-o.c -o pic/simple-object-mach-o.o; \ else true; fi yes if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-mach-o.c -o noasan/simple-object-mach-o.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-mach-o.c -o simple-object-mach-o.o checking for putc_unlocked... yes checking for fgets_unlocked... yes checking whether abort is declared... yes checking for fileno_unlocked... yes checking whether asprintf is declared... yes checking for fprintf_unlocked... yes checking whether basename is declared... no checking for fputc_unlocked... if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/simple-object-coff.c -o pic/simple-object-coff.o; \ else true; fi yes checking whether errno is declared... yes checking for fputs_unlocked... no checking whether getopt is declared... yes checking for fread_unlocked... yes checking whether vasprintf is declared... yes checking for fwrite_unlocked... yes checking whether clearerr_unlocked is declared... yes if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-xcoff.c -o pic/simple-object-xcoff.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/simple-object-coff.c -o noasan/simple-object-coff.o; \ else true; fi checking for getchar_unlocked... gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/simple-object-coff.c -o simple-object-coff.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-xcoff.c -o noasan/simple-object-xcoff.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-xcoff.c -o simple-object-xcoff.o yes checking whether feof_unlocked is declared... yes checking for getc_unlocked... yes checking whether ferror_unlocked is declared... yes checking for putchar_unlocked... yes checking whether fflush_unlocked is declared... yes checking for putc_unlocked... yes checking whether fgetc_unlocked is declared... if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/simple-object-elf.c -o pic/simple-object-elf.o; \ else true; fi yes yes checking for madvise... checking whether fgets_unlocked is declared... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/sort.c -o pic/sort.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/sort.c -o noasan/sort.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/sort.c -o sort.o yes checking whether mbstowcs works... yes checking whether fileno_unlocked is declared... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/spaces.c -o pic/spaces.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/spaces.c -o noasan/spaces.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/spaces.c -o spaces.o yes yes checking for ssize_t... checking whether fprintf_unlocked is declared... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/splay-tree.c -o pic/splay-tree.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/splay-tree.c -o noasan/splay-tree.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/splay-tree.c -o splay-tree.o no checking whether fputc_unlocked is declared... yes checking for caddr_t... yes checking whether fputs_unlocked is declared... yes checking whether fread_unlocked is declared... yes checking for sys/mman.h... (cached) yes checking for mmap... (cached) yes checking whether read-only mmap of a plain file works... yes checking whether mmap from /dev/zero works... yes checking for MAP_ANON(YMOUS)... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/stack-limit.c -o pic/stack-limit.o; \ else true; fi yes if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/stack-limit.c -o noasan/stack-limit.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/stack-limit.c -o stack-limit.o checking whether fwrite_unlocked is declared... yes checking whether mmap with MAP_ANON(YMOUS) works... yes checking for pid_t... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/strerror.c -o pic/strerror.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/strerror.c -o noasan/strerror.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/strerror.c -o strerror.o yes checking whether getchar_unlocked is declared... yes checking whether getc_unlocked is declared... yes checking for vfork.h... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/strsignal.c -o pic/strsignal.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/strsignal.c -o noasan/strsignal.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/strsignal.c -o strsignal.o no checking for fork... yes checking whether putchar_unlocked is declared... yes checking for vfork... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/timeval-utils.c -o pic/timeval-utils.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/timeval-utils.c -o noasan/timeval-utils.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/timeval-utils.c -o timeval-utils.o yes checking whether putc_unlocked is declared... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/simple-object-elf.c -o noasan/simple-object-elf.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/simple-object-elf.c -o simple-object-elf.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/unlink-if-ordinary.c -o pic/unlink-if-ordinary.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/unlink-if-ordinary.c -o noasan/unlink-if-ordinary.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/unlink-if-ordinary.c -o unlink-if-ordinary.o yes checking for working fork... yes checking for working alloca.h... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xasprintf.c -o pic/xasprintf.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xasprintf.c -o noasan/xasprintf.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xasprintf.c -o xasprintf.o yes checking for alloca... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xatexit.c -o pic/xatexit.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xatexit.c -o noasan/xatexit.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xatexit.c -o xatexit.o yes checking for working vfork... (cached) yes checking for ld used by GCC... ld checking if the linker (ld) is GNU ld... yes checking for shared library run path origin... yes checking for ANSI C header files... (cached) yes checking for nl_langinfo and CODESET... done if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xexit.c -o pic/xexit.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xexit.c -o noasan/xexit.o; \ else true; fi checking for iconv... gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xexit.c -o xexit.o yes checking whether NLS is requested... yes checking for catalogs to be installed... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xmalloc.c -o pic/xmalloc.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xmalloc.c -o noasan/xmalloc.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xmalloc.c -o xmalloc.o yes checking for iconv declaration... extern size_t iconv (iconv_t cd, char * *inbuf, size_t *inbytesleft, char * *outbuf, size_t *outbytesleft); checking for LC_MESSAGES... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xmemdup.c -o pic/xmemdup.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xmemdup.c -o noasan/xmemdup.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xmemdup.c -o xmemdup.o yes checking for nl_langinfo and CODESET... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrdup.c -o pic/xstrdup.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrdup.c -o noasan/xstrdup.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrdup.c -o xstrdup.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrerror.c -o pic/xstrerror.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrerror.c -o noasan/xstrerror.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrerror.c -o xstrerror.o yes checking whether basename is declared... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrndup.c -o pic/xstrndup.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrndup.c -o noasan/xstrndup.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrndup.c -o xstrndup.o be ca da de el eo es fi fr id ja nl pt_BR ru sr sv tr uk vi zh_CN zh_TW be ca da de el eo es fi fr id ja nl pt_BR ru sr sv tr uk vi zh_CN zh_TW checking for uchar... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xvasprintf.c -o pic/xvasprintf.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xvasprintf.c -o noasan/xvasprintf.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xvasprintf.c -o xvasprintf.o checking for ld used by GCC... ld checking if the linker (ld) is GNU ld... yes checking for shared library run path origin... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/setproctitle.c -o pic/setproctitle.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/setproctitle.c -o noasan/setproctitle.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/setproctitle.c -o setproctitle.o done checking for iconv... echo ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o > required-list yes make[5]: Entering directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/build-powerpc64le-unknown-linux-gnu/libiberty/testsuite' make[5]: Nothing to be done for 'all'. make[5]: Leaving directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/build-powerpc64le-unknown-linux-gnu/libiberty/testsuite' if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/regex.c -o pic/regex.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/regex.c -o noasan/regex.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/regex.c -o regex.o checking whether strstr is declared... yes checking for iconv declaration... extern size_t iconv (iconv_t cd, char * *inbuf, size_t *inbytesleft, char * *outbuf, size_t *outbytesleft); if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/simple-object-mach-o.c -o pic/simple-object-mach-o.o; \ else true; fi yes configure: updating cache ./config.cache configure: creating ./config.status checking whether getenv is declared... config.status: creating Makefile config.status: creating config.h config.status: executing depdir commands yes mkdir -p -- .deps checking whether atol is declared... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cplus-dem.c -o pic/cplus-dem.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cplus-dem.c -o noasan/cplus-dem.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cplus-dem.c -o cplus-dem.o yes checking whether atoll is declared... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cp-demangle.c -o pic/cp-demangle.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cp-demangle.c -o noasan/cp-demangle.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cp-demangle.c -o cp-demangle.o yes checking whether asprintf is declared... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/simple-object-mach-o.c -o noasan/simple-object-mach-o.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/simple-object-mach-o.c -o simple-object-mach-o.o yes checking whether sbrk is declared... yes checking whether abort is declared... yes checking whether atof is declared... if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/simple-object-xcoff.c -o pic/simple-object-xcoff.o; \ else true; fi yes checking whether getcwd is declared... yes checking whether getwd is declared... yes checking whether madvise is declared... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/simple-object-xcoff.c -o noasan/simple-object-xcoff.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/simple-object-xcoff.c -o simple-object-xcoff.o yes checking whether stpcpy is declared... yes checking whether strnlen is declared... if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/sort.c -o pic/sort.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/sort.c -o noasan/sort.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/sort.c -o sort.o yes checking whether strsignal is declared... if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/spaces.c -o pic/spaces.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/spaces.c -o noasan/spaces.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/spaces.c -o spaces.o yes checking whether strverscmp is declared... if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/splay-tree.c -o pic/splay-tree.o; \ else true; fi yes checking whether strtol is declared... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/splay-tree.c -o noasan/splay-tree.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/splay-tree.c -o splay-tree.o yes checking whether strtoul is declared... if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/stack-limit.c -o pic/stack-limit.o; \ else true; fi yes checking whether strtoll is declared... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/stack-limit.c -o noasan/stack-limit.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/stack-limit.c -o stack-limit.o if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/strerror.c -o pic/strerror.o; \ else true; fi make[4]: Entering directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/libdecnumber' source='../../src/libdecnumber/decNumber.c' object='decNumber.o' libtool=no gnatgcc -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -c ../../src/libdecnumber/decNumber.c yes checking whether strtoull is declared... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/strerror.c -o noasan/strerror.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/strerror.c -o strerror.o if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/strsignal.c -o pic/strsignal.o; \ else true; fi yes checking whether setenv is declared... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/strsignal.c -o noasan/strsignal.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/strsignal.c -o strsignal.o yes checking whether unsetenv is declared... if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/timeval-utils.c -o pic/timeval-utils.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/timeval-utils.c -o noasan/timeval-utils.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/timeval-utils.c -o timeval-utils.o if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/unlink-if-ordinary.c -o pic/unlink-if-ordinary.o; \ else true; fi yes checking whether errno is declared... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/unlink-if-ordinary.c -o noasan/unlink-if-ordinary.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/unlink-if-ordinary.c -o unlink-if-ordinary.o if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xasprintf.c -o pic/xasprintf.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xasprintf.c -o noasan/xasprintf.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xasprintf.c -o xasprintf.o yes checking whether snprintf is declared... if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xatexit.c -o pic/xatexit.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xatexit.c -o noasan/xatexit.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xatexit.c -o xatexit.o if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xexit.c -o pic/xexit.o; \ else true; fi yes checking whether vsnprintf is declared... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xexit.c -o noasan/xexit.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xexit.c -o xexit.o if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xmalloc.c -o pic/xmalloc.o; \ else true; fi yes checking whether vasprintf is declared... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xmalloc.c -o noasan/xmalloc.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xmalloc.c -o xmalloc.o if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xmemdup.c -o pic/xmemdup.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xmemdup.c -o noasan/xmemdup.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xmemdup.c -o xmemdup.o yes checking whether malloc is declared... if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xstrdup.c -o pic/xstrdup.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xstrdup.c -o noasan/xstrdup.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xstrdup.c -o xstrdup.o if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xstrerror.c -o pic/xstrerror.o; \ else true; fi yes checking whether realloc is declared... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xstrerror.c -o noasan/xstrerror.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xstrerror.c -o xstrerror.o if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xstrndup.c -o pic/xstrndup.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xstrndup.c -o noasan/xstrndup.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xstrndup.c -o xstrndup.o yes checking whether calloc is declared... if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xvasprintf.c -o pic/xvasprintf.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xvasprintf.c -o noasan/xvasprintf.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xvasprintf.c -o xvasprintf.o if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/setproctitle.c -o pic/setproctitle.o; \ else true; fi yes if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/setproctitle.c -o noasan/setproctitle.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/setproctitle.c -o setproctitle.o checking whether free is declared... echo ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o > required-list make[5]: Entering directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/libiberty/testsuite' make[5]: Nothing to be done for 'all'. make[5]: Leaving directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/libiberty/testsuite' if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/regex.c -o pic/regex.o; \ else true; fi yes checking whether getopt is declared... yes checking whether clock is declared... yes checking whether getpagesize is declared... yes checking whether ffs is declared... yes checking whether clearerr_unlocked is declared... yes checking whether feof_unlocked is declared... yes checking whether ferror_unlocked is declared... yes checking whether fflush_unlocked is declared... yes checking whether fgetc_unlocked is declared... yes checking whether fgets_unlocked is declared... yes checking whether fileno_unlocked is declared... yes checking whether fprintf_unlocked is declared... no checking whether fputc_unlocked is declared... yes checking whether fputs_unlocked is declared... yes checking whether fread_unlocked is declared... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/md5.c -o pic/md5.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/md5.c -o noasan/md5.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/md5.c -o md5.o yes checking whether fwrite_unlocked is declared... yes checking whether getchar_unlocked is declared... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/sha1.c -o pic/sha1.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/sha1.c -o noasan/sha1.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/sha1.c -o sha1.o yes checking whether getc_unlocked is declared... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/regex.c -o noasan/regex.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/regex.c -o regex.o ../../src/libdecnumber/decNumber.c: In function ‘decNumberPower’: cc1: warning: ‘__builtin_memcpy’ reading 2 or more bytes from a region of size 0 [-Wstringop-overflow=] yes checking whether putchar_unlocked is declared... yes checking whether putc_unlocked is declared... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/alloca.c -o pic/alloca.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/alloca.c -o noasan/alloca.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/alloca.c -o alloca.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/argv.c -o pic/argv.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/argv.c -o noasan/argv.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/argv.c -o argv.o yes checking whether getrlimit is declared... yes checking whether setrlimit is declared... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/choose-temp.c -o pic/choose-temp.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/choose-temp.c -o noasan/choose-temp.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/choose-temp.c -o choose-temp.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/concat.c -o pic/concat.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/concat.c -o noasan/concat.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/concat.c -o concat.o yes checking whether getrusage is declared... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cp-demint.c -o pic/cp-demint.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cp-demint.c -o noasan/cp-demint.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cp-demint.c -o cp-demint.o yes if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/crc32.c -o pic/crc32.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/crc32.c -o noasan/crc32.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/crc32.c -o crc32.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/d-demangle.c -o pic/d-demangle.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/d-demangle.c -o noasan/d-demangle.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/d-demangle.c -o d-demangle.o checking whether ldgetname is declared... no checking whether times is declared... yes checking whether sigaltstack is declared... source='../../src/libdecnumber/decContext.c' object='decContext.o' libtool=no gnatgcc -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -c ../../src/libdecnumber/decContext.c yes checking for struct tms... yes checking for clock_t... source='../../src/libdecnumber/dpd/decimal32.c' object='decimal32.o' libtool=no gnatgcc -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -c ../../src/libdecnumber/dpd/decimal32.c yes checking for F_SETLKW... yes checking if mkdir takes one argument... no source='../../src/libdecnumber/dpd/decimal64.c' object='decimal64.o' libtool=no gnatgcc -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -c ../../src/libdecnumber/dpd/decimal64.c Using `../../src/gcc/config/rs6000/rs6000.c' for machine-specific logic. Using `../../src/gcc/config/rs6000/rs6000.md' as machine description file. Using the following target machine macro files: ../../src/gcc/config/vxworks-dummy.h ../../src/gcc/config/rs6000/rs6000.h ../../src/gcc/config/dbxelf.h ../../src/gcc/config/elfos.h ../../src/gcc/config/gnu-user.h ../../src/gcc/config/linux.h ../../src/gcc/config/freebsd-spec.h ../../src/gcc/config/rs6000/sysv4.h ../../src/gcc/config/rs6000/sysv4le.h ../../src/gcc/config/rs6000/default64.h ../../src/gcc/config/rs6000/linux64.h ../../src/gcc/config/glibc-stdint.h ../../src/gcc/config/rs6000/option-defaults.h ../../src/gcc/config/initfini-array.h Using host-linux.o for host machine hooks. checking for __cxa_atexit... yes checking whether NLS is requested... yes checking for catalogs to be installed... rm -f ./libiberty.a pic/./libiberty.a noasan/./libiberty.a ar rc ./libiberty.a \ ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o ranlib ./libiberty.a if [ x"" != x ]; then \ cd pic; \ ar rc ./libiberty.a \ ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o; \ ranlib ./libiberty.a; \ cd ..; \ else true; fi; \ if [ x"" != x ]; then \ cd noasan; \ ar rc ./libiberty.a \ ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o; \ ranlib ./libiberty.a; \ cd ..; \ else true; fi make[4]: Leaving directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/build-powerpc64le-unknown-linux-gnu/libiberty' source='../../src/libdecnumber/dpd/decimal128.c' object='decimal128.o' libtool=no gnatgcc -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -c ../../src/libdecnumber/dpd/decimal128.c be da de el es fi fr hr id ja nl ru sr sv tr uk vi zh_CN zh_TW be da de el es fi fr hr id ja nl ru sr sv tr uk vi zh_CN zh_TW checking how to print strings... printf checking for a sed that does not truncate output... /bin/sed checking for fgrep... /bin/grep -F checking for ld used by gnatgcc... ld checking if the linker (ld) is GNU ld... yes checking for BSD- or MS-compatible name lister (nm)... nm checking the name lister (nm) interface... BSD nm checking whether ln -s works... yes checking the maximum length of command line arguments... 1572864 checking whether the shell understands some XSI constructs... yes checking whether the shell understands "+="... make[4]: Entering directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/build-powerpc64le-unknown-linux-gnu/fixincludes' yes gnatgcc -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixincl.c checking for ld option to reload object files... -r checking for powerpc64le-unknown-linux-gnu-objdump... objdump checking how to recognize dependent libraries... pass_all checking for powerpc64le-unknown-linux-gnu-ar... (cached) ar checking for powerpc64le-unknown-linux-gnu-strip... no checking for strip... strip checking for powerpc64le-unknown-linux-gnu-ranlib... (cached) ranlib checking command to parse nm output from gnatgcc object... rm -f libdecnumber.a ar cru libdecnumber.a decNumber.o decContext.o decimal32.o decimal64.o decimal128.o ar: `u' modifier ignored since `D' is the default (see `U') ranlib libdecnumber.a make[4]: Leaving directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/libdecnumber' gnatgcc -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixtests.c ok checking for dlfcn.h... yes checking for objdir... .libs make[4]: Entering directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/build-powerpc64le-unknown-linux-gnu/libcpp' g++ -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-9\" -c -o charset.o -MT charset.o -MMD -MP -MF .deps/charset.Tpo ../../../src/libcpp/charset.c checking if gnatgcc supports -fno-rtti -fno-exceptions... if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/cplus-dem.c -o pic/cplus-dem.o; \ else true; fi no checking for gnatgcc option to produce PIC... -fPIC -DPIC checking if gnatgcc PIC flag -fPIC -DPIC works... yes checking if gnatgcc static flag -static works... yes checking if gnatgcc supports -c -o file.o... yes checking if gnatgcc supports -c -o file.o... (cached) yes checking whether the gnatgcc linker (ld) supports shared libraries... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/cplus-dem.c -o noasan/cplus-dem.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/cplus-dem.c -o cplus-dem.o yes checking whether -lc should be explicitly linked in... no checking dynamic linker characteristics... gnatgcc -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixfixes.c GNU/Linux ld.so checking how to hardcode library paths into programs... immediate checking whether stripping libraries is possible... yes checking if libtool supports shared libraries... yes checking whether to build shared libraries... yes checking whether to build static libraries... yes checking how to run the C++ preprocessor... g++ -E if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/cp-demangle.c -o pic/cp-demangle.o; \ else true; fi checking for ld used by g++... ld checking if the linker (ld) is GNU ld... yes checking whether the g++ linker (ld) supports shared libraries... yes checking for g++ option to produce PIC... -fPIC -DPIC checking if g++ PIC flag -fPIC -DPIC works... yes checking if g++ static flag -static works... gnatgcc -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/server.c yes checking if g++ supports -c -o file.o... yes checking if g++ supports -c -o file.o... (cached) yes checking whether the g++ linker (ld) supports shared libraries... yes checking dynamic linker characteristics... (cached) GNU/Linux ld.so checking how to hardcode library paths into programs... immediate checking for as... /usr/bin/as gnatgcc -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/procopen.c checking what assembler to use... /usr/bin/as checking for ld... /usr/bin/ld checking whether we are using gold... no checking gold linker with split stack support as non default... yes checking what linker to use... /usr/bin/ld checking for nm... /usr/bin/nm checking what nm to use... /usr/bin/nm checking for objdump... /usr/bin/objdump checking what objdump to use... /usr/bin/objdump checking for readelf... /usr/bin/readelf checking what readelf to use... /usr/bin/readelf checking for otool... no checking what otool to use... not found checking assembler flags... checking assembler for .balign and .p2align... yes checking assembler for .p2align with maximum skip... yes checking assembler for .literal16... no checking assembler for working .subsection -1... yes checking assembler for .weak... yes checking assembler for .weakref... yes checking assembler for .nsubspa comdat... gnatgcc -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixlib.c no checking assembler for .hidden... yes checking linker for .hidden support... yes checking linker read-only and read-write section mixing... read-write checking for .preinit_array/.init_array/.fini_array support... yes checking assembler for .sleb128 and .uleb128... gnatgcc -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixopts.c yes checking assembler for cfi directives... yes checking assembler for working cfi advance... yes checking assembler for cfi personality directive... yes checking assembler for cfi sections directive... yes checking assembler for eh_frame optimization... yes checking assembler for section exclude flag... yes checking assembler for section merging support... yes checking assembler for stabs directive... yes checking assembler for COMDAT group support (GNU as)... yes srcdir="../../../src/fixincludes" /bin/bash ../../../src/fixincludes/mkfixinc.sh powerpc64le-unknown-linux-gnu checking assembler for line table is_stmt support... sed -e 's/@gcc_version@/9.3.0/' < mkheaders.almost > mkheadersT yes checking assembler for line table discriminator support... mv -f mkheadersT mkheaders gnatgcc -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -o fixincl fixincl.o fixtests.o fixfixes.o server.o procopen.o fixlib.o fixopts.o ../libiberty/libiberty.a yes checking assembler for thread-local storage support... yes checking linker -Bstatic/-Bdynamic option... yes checking linker --version-script option... yes checking linker soname option... yes checking linker --demangle support... yes checking linker plugin support... 0 echo timestamp > full-stamp make[4]: Leaving directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/build-powerpc64le-unknown-linux-gnu/fixincludes' checking assembler for mfcr field support... yes checking assembler for rel16 relocs... yes checking assembler for vector-scalar support... make[4]: Entering directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/libbacktrace' yes checking assembler for .gnu_attribute support... /usr/bin/make all-am yes checking assembler for tls marker support... make[5]: Entering directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/libbacktrace' /bin/bash ./libtool --tag=CC --mode=compile gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=atomic.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -c -o atomic.lo ../../src/libbacktrace/atomic.c yes checking assembler for prologue entry point marker support... yes checking assembler for plt sequence marker support... yes checking assembler for dwarf2 debug_line support... libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=atomic.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/atomic.c -fPIC -DPIC -o .libs/atomic.o yes checking assembler for buggy dwarf2 .file directive... no checking assembler for dwarf2 debug_view support... yes checking assembler for --gdwarf2 option... yes checking assembler for --gstabs option... libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=atomic.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/atomic.c -o atomic.o >/dev/null 2>&1 yes checking assembler for --debug-prefix-map option... yes checking assembler for compressed debug sections... 2 checking assembler for .lcomm with alignment... yes checking for target glibc version... true DO=all multi-do # /usr/bin/make /bin/bash ./libtool --tag=CC --mode=compile gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=dwarf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -c -o dwarf.lo ../../src/libbacktrace/dwarf.c 2.31 checking assembler for gnu_unique_object... yes checking assembler for tolerance to line number 0... libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=dwarf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/dwarf.c -fPIC -DPIC -o .libs/dwarf.o yes checking support for thin archives... yes checking linker PT_GNU_EH_FRAME support... yes checking linker CIEv3 in .eh_frame support... yes checking linker position independent executable support... yes checking linker PIE support with copy reloc... no checking linker EH-compatible garbage collection of sections... yes checking linker EH garbage collection of sections bug... no checking linker for compressed debug sections... 3 checking linker --as-needed support... yes checking linker mapfile support for clearing hardware capabilities... no checking linker .gnu.attributes long double support... yes checking linker support for omitting dot symbols... yes checking linker large toc support... yes checking linker toc pointer alignment... 256 checking linker --build-id support... yes checking linker *_sol2 emulation support... no checking linker --sysroot support... yes checking __stack_chk_fail in target C library... yes checking sys/sdt.h in the target C library... no checking dl_iterate_phdr in target C library... unknown checking whether to enable maintainer-specific portions of Makefiles... no checking whether to avoid linking multiple front-ends at once... no g++ -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-9\" -c -o directives.o -MT directives.o -MMD -MP -MF .deps/directives.Tpo ../../../src/libcpp/directives.c Links are now set up to build a native compiler for powerpc64le-unknown-linux-gnu. checking for exported symbols... yes checking for -rdynamic... yes checking for library containing dlopen... (cached) -ldl checking for -fPIC -shared... yes gcc_driver_version: 9.3.0 checking for -fno-PIE option... yes checking for -no-pie option... yes checking linker -z bndplt option... no checking linker --push-state/--pop-state options... yes configure: updating cache ./config.cache configure: creating ./config.status config.status: creating as config.status: creating collect-ld config.status: creating nm config.status: creating Makefile config.status: creating ada/gcc-interface/Makefile config.status: creating ada/Makefile config.status: creating gm2/Makefile config.status: creating gm2/config-make config.status: creating gm2/examples/callingC/Makefile config.status: creating gm2/examples/cplusplus/cppcatchm2/Makefile config.status: creating gm2/examples/cplusplus/m2catchcpp/Makefile config.status: creating gm2/examples/cpp/Makefile config.status: creating gm2/examples/gravity/Makefile config.status: creating gm2/examples/hello/Makefile config.status: creating gm2/examples/iso/socket/Makefile config.status: creating gm2/examples/map/Makefile config.status: creating gm2/examples/pge/Makefile config.status: creating gm2/examples/ncurses/Makefile config.status: creating gm2/examples/shared/Makefile config.status: creating gm2/examples/swig/exceptions/Makefile config.status: creating gm2/examples/swig/full-strlib/Makefile config.status: creating gm2/examples/swig/strlib/Makefile config.status: creating gm2/examples/swig/strio/Makefile config.status: creating gm2/examples/swig/tiny/Makefile config.status: creating gm2/examples/swig/dual/Makefile config.status: creating gm2/man/Makefile config.status: WARNING: '../../src/gcc/gm2/man/Makefile.in' seems to ignore the --datarootdir setting config.status: creating gm2/www/Makefile config.status: creating auto-host.h config.status: executing depdir commands mkdir -p -- .deps config.status: executing gccdepdir commands mkdir -p -- build/.deps mkdir -p -- ada/.deps mkdir -p -- brig/.deps libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=dwarf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/dwarf.c -o dwarf.o >/dev/null 2>&1 mkdir -p -- c/.deps mkdir -p -- cp/.deps mkdir -p -- d/.deps mkdir -p -- fortran/.deps mkdir -p -- gm2/.deps g++ -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-9\" -c -o directives-only.o -MT directives-only.o -MMD -MP -MF .deps/directives-only.Tpo ../../../src/libcpp/directives-only.c mkdir -p -- go/.deps mkdir -p -- jit/.deps mkdir -p -- lto/.deps mkdir -p -- objc/.deps mkdir -p -- objcp/.deps mkdir -p -- vhdl/.deps mkdir -p -- c-family/.deps mkdir -p -- common/.deps config.status: executing default commands /bin/bash ./libtool --tag=CC --mode=compile gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=fileline.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -c -o fileline.lo ../../src/libbacktrace/fileline.c libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=fileline.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/fileline.c -fPIC -DPIC -o .libs/fileline.o g++ -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-9\" -c -o errors.o -MT errors.o -MMD -MP -MF .deps/errors.Tpo ../../../src/libcpp/errors.c libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=fileline.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/fileline.c -o fileline.o >/dev/null 2>&1 mkdir -p -- ./libcc1 Configuring in ./libcc1 g++ -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-9\" -c -o expr.o -MT expr.o -MMD -MP -MF .deps/expr.Tpo ../../../src/libcpp/expr.c configure: creating cache ./config.cache checking build system type... powerpc64le-unknown-linux-gnu checking host system type... powerpc64le-unknown-linux-gnu checking target system type... powerpc64le-unknown-linux-gnu checking for powerpc64le-unknown-linux-gnu-gcc... gnatgcc ../../../src/libcpp/expr.c: In function ‘unsigned int cpp_classify_number(cpp_reader*, const cpp_token*, const char**, location_t)’: ../../../src/libcpp/expr.c:797:18: warning: format not a string literal and no format arguments [-Wformat-security] 797 | 0, message); | ^ ../../../src/libcpp/expr.c:800:39: warning: format not a string literal and no format arguments [-Wformat-security] 800 | virtual_location, 0, message); | ^ checking whether the C compiler works... yes checking for C compiler default output file name... a.out checking for suffix of executables... checking whether we are cross compiling... no checking for suffix of object files... o checking whether we are using the GNU C compiler... yes checking whether gnatgcc accepts -g... yes checking for gnatgcc option to accept ISO C89... none needed checking whether gnatgcc understands -c and -o together... yes checking how to run the C preprocessor... gnatgcc -E checking for grep that handles long lines and -e... /bin/grep checking for egrep... /bin/grep -E checking for ANSI C header files... yes checking for sys/types.h... yes checking for sys/stat.h... yes checking for stdlib.h... yes checking for string.h... yes checking for memory.h... yes checking for strings.h... yes checking for inttypes.h... yes checking for stdint.h... yes checking for unistd.h... yes checking minix/config.h usability... /bin/bash ./libtool --tag=CC --mode=compile gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=posix.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -c -o posix.lo ../../src/libbacktrace/posix.c libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=posix.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/posix.c -fPIC -DPIC -o .libs/posix.o no checking minix/config.h presence... no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=posix.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/posix.c -o posix.o >/dev/null 2>&1 yes checking for a BSD-compatible install... /usr/bin/install -c checking whether build environment is sane... yes checking for a thread-safe mkdir -p... /bin/mkdir -p checking for gawk... gawk checking whether /usr/bin/make sets $(MAKE)... yes checking for style of include used by /usr/bin/make... GNU checking whether /usr/bin/make supports nested variables... yes checking dependency style of gnatgcc... /bin/bash ./libtool --tag=CC --mode=compile gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=print.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -c -o print.lo ../../src/libbacktrace/print.c libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=print.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/print.c -fPIC -DPIC -o .libs/print.o gcc3 checking whether to enable maintainer-specific portions of Makefiles... no checking how to print strings... libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=print.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/print.c -o print.o >/dev/null 2>&1 printf checking for a sed that does not truncate output... /bin/sed checking for fgrep... /bin/grep -F checking for ld used by gnatgcc... ld checking if the linker (ld) is GNU ld... yes checking for BSD- or MS-compatible name lister (nm)... nm checking the name lister (nm) interface... BSD nm checking whether ln -s works... yes checking the maximum length of command line arguments... 1572864 checking whether the shell understands some XSI constructs... yes checking whether the shell understands "+="... yes /bin/bash ./libtool --tag=CC --mode=compile gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=sort.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -c -o sort.lo ../../src/libbacktrace/sort.c checking for ld option to reload object files... -r checking for powerpc64le-unknown-linux-gnu-objdump... objdump checking how to recognize dependent libraries... pass_all checking for powerpc64le-unknown-linux-gnu-ar... ar checking for powerpc64le-unknown-linux-gnu-strip... no checking for strip... strip checking for powerpc64le-unknown-linux-gnu-ranlib... ranlib checking command to parse nm output from gnatgcc object... libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=sort.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/sort.c -fPIC -DPIC -o .libs/sort.o g++ -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-9\" -c -o files.o -MT files.o -MMD -MP -MF .deps/files.Tpo ../../../src/libcpp/files.c ok checking for dlfcn.h... yes checking for objdir... .libs libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=sort.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/sort.c -o sort.o >/dev/null 2>&1 checking if gnatgcc supports -fno-rtti -fno-exceptions... no checking for gnatgcc option to produce PIC... -fPIC -DPIC checking if gnatgcc PIC flag -fPIC -DPIC works... yes checking if gnatgcc static flag -static works... /bin/bash ./libtool --tag=CC --mode=compile gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=state.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -c -o state.lo ../../src/libbacktrace/state.c libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=state.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/state.c -fPIC -DPIC -o .libs/state.o libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=state.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/state.c -o state.o >/dev/null 2>&1 yes checking if gnatgcc supports -c -o file.o... yes checking if gnatgcc supports -c -o file.o... (cached) yes checking whether the gnatgcc linker (ld) supports shared libraries... /bin/bash ./libtool --tag=CC --mode=compile gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=backtrace.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -c -o backtrace.lo ../../src/libbacktrace/backtrace.c yes checking whether -lc should be explicitly linked in... libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=backtrace.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/backtrace.c -fPIC -DPIC -o .libs/backtrace.o no checking dynamic linker characteristics... libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=backtrace.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/backtrace.c -o backtrace.o >/dev/null 2>&1 GNU/Linux ld.so checking how to hardcode library paths into programs... immediate checking whether stripping libraries is possible... yes checking if libtool supports shared libraries... yes checking whether to build shared libraries... yes checking whether to build static libraries... no /bin/bash ./libtool --tag=CC --mode=compile gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=simple.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -c -o simple.lo ../../src/libbacktrace/simple.c libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=simple.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/simple.c -fPIC -DPIC -o .libs/simple.o checking whether we are using the GNU C++ compiler... yes checking whether g++ accepts -g... libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=simple.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/simple.c -o simple.o >/dev/null 2>&1 yes checking dependency style of g++... gcc3 checking how to run the C++ preprocessor... /bin/bash ./libtool --tag=CC --mode=compile gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=elf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -c -o elf.lo ../../src/libbacktrace/elf.c libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=elf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/elf.c -fPIC -DPIC -o .libs/elf.o g++ -E checking for ld used by g++... ld checking if the linker (ld) is GNU ld... yes checking whether the g++ linker (ld) supports shared libraries... yes g++ -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-9\" -c -o identifiers.o -MT identifiers.o -MMD -MP -MF .deps/identifiers.Tpo ../../../src/libcpp/identifiers.c checking for g++ option to produce PIC... -fPIC -DPIC checking if g++ PIC flag -fPIC -DPIC works... yes checking if g++ static flag -static works... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/cp-demangle.c -o noasan/cp-demangle.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/cp-demangle.c -o cp-demangle.o echo "#define LOCALEDIR \"/usr/lib/ghdl/gcc/share/locale\"" > localedir.new ../../../src/libcpp/../move-if-change localedir.new localedir.h echo timestamp > localedir.hs g++ -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-9\" -c -o lex.o -MT lex.o -MMD -MP -MF .deps/lex.Tpo ../../../src/libcpp/lex.c yes checking if g++ supports -c -o file.o... yes checking if g++ supports -c -o file.o... (cached) yes checking whether the g++ linker (ld) supports shared libraries... yes checking dynamic linker characteristics... (cached) GNU/Linux ld.so checking how to hardcode library paths into programs... immediate checking whether basename is declared... yes checking whether gnatgcc supports -W... yes checking whether gnatgcc supports -Wall... yes checking for objdump... /usr/bin/objdump checking what objdump to use... /usr/bin/objdump checking for socket libraries... checking for connect... yes checking for gethostbyname... yes checking for exported symbols... yes checking for -rdynamic... yes checking for library containing dlopen... -ldl checking for -fPIC -shared... yes checking for socketpair... yes checking for select... yes checking for fork... yes configure: updating cache ./config.cache checking that generated files are newer than configure... done configure: creating ./config.status libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=elf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/elf.c -o elf.o >/dev/null 2>&1 config.status: creating Makefile config.status: creating cc1plugin-config.h config.status: executing depfiles commands config.status: executing libtool commands /bin/bash ./libtool --tag=CC --mode=compile gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmapio.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -c -o mmapio.lo ../../src/libbacktrace/mmapio.c libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmapio.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/mmapio.c -fPIC -DPIC -o .libs/mmapio.o libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmapio.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/mmapio.c -o mmapio.o >/dev/null 2>&1 g++ -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-9\" -c -o line-map.o -MT line-map.o -MMD -MP -MF .deps/line-map.Tpo ../../../src/libcpp/line-map.c /bin/bash ./libtool --tag=CC --mode=compile gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmap.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -c -o mmap.lo ../../src/libbacktrace/mmap.c libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmap.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/mmap.c -fPIC -DPIC -o .libs/mmap.o libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmap.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/mmap.c -o mmap.o >/dev/null 2>&1 g++ -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-9\" -c -o macro.o -MT macro.o -MMD -MP -MF .deps/macro.Tpo ../../../src/libcpp/macro.c /bin/bash ./libtool --tag=CC --mode=link gnatgcc -funwind-tables -frandom-seed=libbacktrace.la -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -o libbacktrace.la atomic.lo dwarf.lo fileline.lo posix.lo print.lo sort.lo state.lo backtrace.lo simple.lo elf.lo mmapio.lo mmap.lo ../../../src/libcpp/macro.c: In member function ‘vaopt_state::update_type vaopt_state::update(const cpp_token*)’: ../../../src/libcpp/macro.c:164:23: warning: format not a string literal and no format arguments [-Wformat-security] 164 | vaopt_paste_error); | ^ ../../../src/libcpp/macro.c:193:24: warning: format not a string literal and no format arguments [-Wformat-security] 193 | vaopt_paste_error); | ^ ../../../src/libcpp/macro.c: In function ‘cpp_macro* create_iso_definition(cpp_reader*)’: ../../../src/libcpp/macro.c:3385:58: warning: format not a string literal and no format arguments [-Wformat-security] 3385 | cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); | ^ ../../../src/libcpp/macro.c:3400:58: warning: format not a string literal and no format arguments [-Wformat-security] 3400 | cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); | ^ libtool: link: ar rc .libs/libbacktrace.a .libs/atomic.o .libs/dwarf.o .libs/fileline.o .libs/posix.o .libs/print.o .libs/sort.o .libs/state.o .libs/backtrace.o .libs/simple.o .libs/elf.o .libs/mmapio.o .libs/mmap.o libtool: link: ranlib .libs/libbacktrace.a libtool: link: ( cd ".libs" && rm -f "libbacktrace.la" && ln -s "../libbacktrace.la" "libbacktrace.la" ) make[5]: Leaving directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/libbacktrace' make[4]: Leaving directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/libbacktrace' g++ -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-9\" -c -o mkdeps.o -MT mkdeps.o -MMD -MP -MF .deps/mkdeps.Tpo ../../../src/libcpp/mkdeps.c g++ -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-9\" -c -o pch.o -MT pch.o -MMD -MP -MF .deps/pch.Tpo ../../../src/libcpp/pch.c g++ -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-9\" -c -o symtab.o -MT symtab.o -MMD -MP -MF .deps/symtab.Tpo ../../../src/libcpp/symtab.c g++ -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-9\" -c -o traditional.o -MT traditional.o -MMD -MP -MF .deps/traditional.Tpo ../../../src/libcpp/traditional.c g++ -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-9\" -c -o init.o -MT init.o -MMD -MP -MF .deps/init.Tpo ../../../src/libcpp/init.c if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/md5.c -o pic/md5.o; \ else true; fi if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/sha1.c -o pic/sha1.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/md5.c -o noasan/md5.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/md5.c -o md5.o rm -f libcpp.a ar cru libcpp.a charset.o directives.o directives-only.o errors.o expr.o files.o identifiers.o init.o lex.o line-map.o macro.o mkdeps.o pch.o symtab.o traditional.o ar: `u' modifier ignored since `D' is the default (see `U') ranlib libcpp.a make[4]: Leaving directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/build-powerpc64le-unknown-linux-gnu/libcpp' if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/alloca.c -o pic/alloca.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/alloca.c -o noasan/alloca.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/alloca.c -o alloca.o if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/argv.c -o pic/argv.o; \ else true; fi if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/choose-temp.c -o pic/choose-temp.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/sha1.c -o noasan/sha1.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/sha1.c -o sha1.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/choose-temp.c -o noasan/choose-temp.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/choose-temp.c -o choose-temp.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/argv.c -o noasan/argv.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/argv.c -o argv.o if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/concat.c -o pic/concat.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/concat.c -o noasan/concat.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/concat.c -o concat.o if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/cp-demint.c -o pic/cp-demint.o; \ else true; fi if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/crc32.c -o pic/crc32.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/crc32.c -o noasan/crc32.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/crc32.c -o crc32.o if [ x"-fPIC" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/d-demangle.c -o pic/d-demangle.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/cp-demint.c -o noasan/cp-demint.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/cp-demint.c -o cp-demint.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/d-demangle.c -o noasan/d-demangle.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/d-demangle.c -o d-demangle.o rm -f ./libiberty.a pic/./libiberty.a noasan/./libiberty.a ar rc ./libiberty.a \ ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o ranlib ./libiberty.a if [ x"-fPIC" != x ]; then \ cd pic; \ ar rc ./libiberty.a \ ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o; \ ranlib ./libiberty.a; \ cd ..; \ else true; fi; \ if [ x"" != x ]; then \ cd noasan; \ ar rc ./libiberty.a \ ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o; \ ranlib ./libiberty.a; \ cd ..; \ else true; fi make[4]: Leaving directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/libiberty' make[4]: Entering directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/fixincludes' make[4]: Entering directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/libcpp' g++ -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-9\" -c -o charset.o -MT charset.o -MMD -MP -MF .deps/charset.Tpo ../../src/libcpp/charset.c gnatgcc -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixincl.c g++ -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-9\" -c -o directives.o -MT directives.o -MMD -MP -MF .deps/directives.Tpo ../../src/libcpp/directives.c gnatgcc -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixtests.c gnatgcc -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixfixes.c gnatgcc -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/server.c gnatgcc -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/procopen.c gnatgcc -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixlib.c gnatgcc -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixopts.c srcdir="../../src/fixincludes" /bin/bash ../../src/fixincludes/mkfixinc.sh powerpc64le-unknown-linux-gnu g++ -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-9\" -c -o directives-only.o -MT directives-only.o -MMD -MP -MF .deps/directives-only.Tpo ../../src/libcpp/directives-only.c sed -e 's/@gcc_version@/9.3.0/' < mkheaders.almost > mkheadersT mv -f mkheadersT mkheaders gnatgcc -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -o fixincl fixincl.o fixtests.o fixfixes.o server.o procopen.o fixlib.o fixopts.o ../libiberty/libiberty.a echo timestamp > full-stamp make[4]: Leaving directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/fixincludes' g++ -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-9\" -c -o errors.o -MT errors.o -MMD -MP -MF .deps/errors.Tpo ../../src/libcpp/errors.c g++ -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-9\" -c -o expr.o -MT expr.o -MMD -MP -MF .deps/expr.Tpo ../../src/libcpp/expr.c g++ -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-9\" -c -o files.o -MT files.o -MMD -MP -MF .deps/files.Tpo ../../src/libcpp/files.c ../../src/libcpp/expr.c: In function ‘unsigned int cpp_classify_number(cpp_reader*, const cpp_token*, const char**, location_t)’: ../../src/libcpp/expr.c:797:18: warning: format not a string literal and no format arguments [-Wformat-security] 797 | 0, message); | ^ ../../src/libcpp/expr.c:800:39: warning: format not a string literal and no format arguments [-Wformat-security] 800 | virtual_location, 0, message); | ^ g++ -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-9\" -c -o identifiers.o -MT identifiers.o -MMD -MP -MF .deps/identifiers.Tpo ../../src/libcpp/identifiers.c echo "#define LOCALEDIR \"/usr/lib/ghdl/gcc/share/locale\"" > localedir.new ../../src/libcpp/../move-if-change localedir.new localedir.h echo timestamp > localedir.hs g++ -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-9\" -c -o lex.o -MT lex.o -MMD -MP -MF .deps/lex.Tpo ../../src/libcpp/lex.c g++ -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-9\" -c -o line-map.o -MT line-map.o -MMD -MP -MF .deps/line-map.Tpo ../../src/libcpp/line-map.c g++ -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-9\" -c -o macro.o -MT macro.o -MMD -MP -MF .deps/macro.Tpo ../../src/libcpp/macro.c ../../src/libcpp/macro.c: In member function ‘vaopt_state::update_type vaopt_state::update(const cpp_token*)’: ../../src/libcpp/macro.c:164:23: warning: format not a string literal and no format arguments [-Wformat-security] 164 | vaopt_paste_error); | ^ ../../src/libcpp/macro.c:193:24: warning: format not a string literal and no format arguments [-Wformat-security] 193 | vaopt_paste_error); | ^ ../../src/libcpp/macro.c: In function ‘cpp_macro* create_iso_definition(cpp_reader*)’: ../../src/libcpp/macro.c:3385:58: warning: format not a string literal and no format arguments [-Wformat-security] 3385 | cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); | ^ ../../src/libcpp/macro.c:3400:58: warning: format not a string literal and no format arguments [-Wformat-security] 3400 | cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); | ^ g++ -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-9\" -c -o mkdeps.o -MT mkdeps.o -MMD -MP -MF .deps/mkdeps.Tpo ../../src/libcpp/mkdeps.c g++ -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-9\" -c -o pch.o -MT pch.o -MMD -MP -MF .deps/pch.Tpo ../../src/libcpp/pch.c g++ -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-9\" -c -o symtab.o -MT symtab.o -MMD -MP -MF .deps/symtab.Tpo ../../src/libcpp/symtab.c g++ -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-9\" -c -o traditional.o -MT traditional.o -MMD -MP -MF .deps/traditional.Tpo ../../src/libcpp/traditional.c g++ -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-9\" -c -o init.o -MT init.o -MMD -MP -MF .deps/init.Tpo ../../src/libcpp/init.c rm -f libcpp.a ar cru libcpp.a charset.o directives.o directives-only.o errors.o expr.o files.o identifiers.o init.o lex.o line-map.o macro.o mkdeps.o pch.o symtab.o traditional.o ar: `u' modifier ignored since `D' is the default (see `U') ranlib libcpp.a make[4]: Leaving directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/libcpp' make[4]: Entering directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc' TARGET_CPU_DEFAULT="" \ HEADERS="auto-host.h ansidecl.h" DEFINES="" \ /bin/bash ../../src/gcc/mkconfig.sh config.h TARGET_CPU_DEFAULT="" \ HEADERS="options.h insn-constants.h config/vxworks-dummy.h config/rs6000/rs6000.h config/dbxelf.h config/elfos.h config/gnu-user.h config/linux.h config/freebsd-spec.h config/rs6000/sysv4.h config/rs6000/sysv4le.h config/rs6000/default64.h config/rs6000/linux64.h config/glibc-stdint.h config/rs6000/option-defaults.h config/initfini-array.h defaults.h" DEFINES="LIBC_GLIBC=1 LIBC_UCLIBC=2 LIBC_BIONIC=3 LIBC_MUSL=4 DEFAULT_LIBC=LIBC_GLIBC ANDROID_DEFAULT=0" \ /bin/bash ../../src/gcc/mkconfig.sh tm.h TARGET_CPU_DEFAULT="" \ HEADERS="config/rs6000/rs6000-protos.h config/linux-protos.h tm-preds.h" DEFINES="" \ /bin/bash ../../src/gcc/mkconfig.sh tm_p.h TARGET_CPU_DEFAULT="" \ HEADERS="auto-host.h ansidecl.h" DEFINES="" \ /bin/bash ../../src/gcc/mkconfig.sh bconfig.h g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -DBASEVER="\"9.3.0\"" -DDATESTAMP="\"\"" \ -DREVISION="\"\"" \ -DDEVPHASE="\"\"" -DPKGVERSION="\"(GCC) \"" \ -DBUGURL="\"\"" -o build/version.o ../../src/gcc/version.c /bin/bash ../../src/gcc/config/rs6000/genopt.sh ../../src/gcc/config/rs6000 > \ ../../src/gcc/config/rs6000/rs6000-tables.opt echo "#define BUILDING_GCC_MAJOR `echo 9.3.0 | sed -e 's/^\([0-9]*\).*$/\1/'`" > bversion.h TARGET_CPU_DEFAULT="" \ HEADERS="options.h insn-constants.h config/rs6000/rs6000.h config/rs6000/rs6000-protos.h defaults.h" DEFINES="" \ /bin/bash ../../src/gcc/mkconfig.sh tm_d.h /bin/bash ../../src/gcc/../move-if-change tmp-gi.list gtyp-input.list if test no = yes \ || test -n ".=../lib:powerpc64le-linux-gnu"; then \ /bin/bash ../../src/gcc/genmultilib \ "" \ "" \ "" \ "" \ "" \ "" \ ".=../lib:powerpc64le-linux-gnu" \ "" \ "" \ "" \ "no" \ > tmp-mlib.h; \ else \ /bin/bash ../../src/gcc/genmultilib '' '' '' '' '' '' '' '' \ "" '' no \ > tmp-mlib.h; \ fi echo "#define BUILDING_GCC_MINOR `echo 9.3.0 | sed -e 's/^[0-9]*\.\([0-9]*\).*$/\1/'`" >> bversion.h echo "#define BUILDING_GCC_PATCHLEVEL `echo 9.3.0 | sed -e 's/^[0-9]*\.[0-9]*\.\([0-9]*\)$/\1/'`" >> bversion.h echo timestamp > s-gtyp-input lsf="../../src/gcc/vhdl/lang-specs.h"; for f in $lsf; do \ echo "#include \"$f\""; \ done | sed 's|../../src/gcc/||' > tmp-specs.h echo "#define BUILDING_GCC_VERSION (BUILDING_GCC_MAJOR * 1000 + BUILDING_GCC_MINOR)" >> bversion.h rm -f tmp-all-tree.def echo timestamp > s-bversion /bin/bash ../../src/gcc/../move-if-change tmp-specs.h specs.h echo '#include "tree.def"' > tmp-all-tree.def gawk -f ../../src/gcc/gen-pass-instances.awk \ ../../src/gcc/passes.def ../../src/gcc/config/rs6000/rs6000-passes.def > pass-instances.def echo 'END_OF_BASE_TREE_CODES' >> tmp-all-tree.def echo '#include "c-family/c-common.def"' >> tmp-all-tree.def echo timestamp > s-specs ltf="../../src/gcc/ada/gcc-interface/ada-tree.def ../../src/gcc/cp/cp-tree.def ../../src/gcc/d/d-tree.def ../../src/gcc/gm2/gm2-tree.def ../../src/gcc/objc/objc-tree.def"; for f in $ltf; do \ echo "#include \"$f\""; \ done | sed 's|../../src/gcc/||' >> tmp-all-tree.def gnatgcc -E ../../src/gcc/params-list.h | sed 's/^#.*//;/^$/d' > tmp-params.list gnatgcc -E ../../src/gcc/params-options.h | sed 's/^#.*//;/^$/d' > tmp-params.options /bin/bash ../../src/gcc/../move-if-change tmp-all-tree.def all-tree.def /bin/bash ../../src/gcc/../move-if-change tmp-params.options params.options /bin/bash ../../src/gcc/../move-if-change tmp-mlib.h multilib.h /bin/bash ../../src/gcc/../move-if-change tmp-params.list params.list echo timestamp > s-params.options echo timestamp > s-alltree echo "g++ -no-pie -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now" > checksum-options.tmp \ && ../../src/gcc/../move-if-change checksum-options.tmp checksum-options echo timestamp > s-mlib echo timestamp > s-params.list g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ivhdl -I../../src/gcc -I../../src/gcc/vhdl -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o vhdl/grt-cstdio.o -MT vhdl/grt-cstdio.o -MMD -MP -MF vhdl/.deps/grt-cstdio.TPo ../../src/gcc/vhdl/grt-cstdio.c echo "-- DO NOT EDIT" > tmp-dpaths.ads echo "-- This file is created by Makefile" >> tmp-dpaths.ads echo "package Default_Paths is" >> tmp-dpaths.ads echo " -- Accept long lines." >> tmp-dpaths.ads echo " pragma Style_Checks (\"M999\");" >> tmp-dpaths.ads cp ../../src/gcc/gcc-ar.c gcc-nm.c echo " Install_Prefix : constant String :=" >> tmp-dpaths.ads echo " \"/usr/lib/ghdl/gcc\";" >> tmp-dpaths.ads suffix=`expr @"/usr/lib/ghdl/gcc/libexec" : @"/usr/lib/ghdl/gcc/\(.*\)"`; \ if test x"$suffix" = x; then suffix="/usr/lib/ghdl/gcc/libexec"; fi; \ echo " Compiler_Gcc : constant String :=" >> tmp-dpaths.ads; \ echo " \"$suffix/gcc/powerpc64le-unknown-linux-gnu/9.3.0/ghdl1\";" >> tmp-dpaths.ads cp ../../src/gcc/gcc-ar.c gcc-ranlib.c echo " Compiler_Debug : constant String := \"\";" >> tmp-dpaths.ads TARGET_CPU_DEFAULT="" \ HEADERS="auto-host.h ansidecl.h" DEFINES="USED_FOR_TARGET " \ /bin/bash ../../src/gcc/mkconfig.sh tconfig.h echo " Compiler_Mcode : constant String := \"\";" >> tmp-dpaths.ads echo timestamp > cpp.pod echo " Compiler_Llvm : constant String := \"\";" >> tmp-dpaths.ads perl ../../src/gcc/../contrib/texi2pod.pl -DBUGURL="@uref{https://gcc.gnu.org/bugs/}" ../../src/gcc/doc/cpp.texi > cpp.pod echo " Post_Processor : constant String := \"\";" >> tmp-dpaths.ads echo " Lib_Prefix : constant String :=">> tmp-dpaths.ads echo " \"lib/ghdl/gcc\";" >> tmp-dpaths.ads echo " Inc_Prefix : constant String :=" >> tmp-dpaths.ads echo " \"lib/ghdl/include\";" >> tmp-dpaths.ads echo " Shared_Library_Extension : constant String :=">> tmp-dpaths.ads echo " \".so\";" >> tmp-dpaths.ads echo " Default_Pie : constant Boolean := False;" >> tmp-dpaths.ads echo "end Default_Paths;" >> tmp-dpaths.ads ../../src/gcc/../move-if-change tmp-dpaths.ads vhdl/default_paths.ads (echo "@set version-GCC 9.3.0"; \ if [ "" = "experimental" ]; \ then echo "@set DEVELOPMENT"; \ else echo "@clear DEVELOPMENT"; \ fi) > gcc-vers.texiT echo @set srcdir /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/../../src/gcc >> gcc-vers.texiT if [ -n "(GCC) " ]; then \ echo "@set VERSION_PACKAGE (GCC) " >> gcc-vers.texiT; \ fi echo "@set BUGURL @uref{https://gcc.gnu.org/bugs/}" >> gcc-vers.texiT ( \ echo '@set fncpp cpp'; \ echo '@set fngcc gcc'; \ echo '@set fngcov gcc'; \ echo '@set fngcovtool gcc'; \ echo '@set fngcovdump gcc'; \ echo '@set fngxx g++'; \ echo '@set fngccint gccint'; \ echo '@set fngccinstall gccinstall'; \ echo '@set fncppint cppinternals'; \ echo '@set fngfortran gfortran'; \ echo '@set fngccgo gccgo'; \ ) >> gcc-vers.texiT mv -f gcc-vers.texiT gcc-vers.texi LC_ALL=C ; export LC_ALL ; \ gawk -f ../../src/gcc/opt-gather.awk ../../src/gcc/ada/gcc-interface/lang.opt ../../src/gcc/brig/lang.opt ../../src/gcc/c-family/c.opt ../../src/gcc/common.opt ../../src/gcc/d/lang.opt ../../src/gcc/fortran/lang.opt ../../src/gcc/gm2/lang.opt ../../src/gcc/go/lang.opt ../../src/gcc/lto/lang.opt ../../src/gcc/vhdl/lang.opt ../../src/gcc/config/g.opt ../../src/gcc/config/fused-madd.opt ../../src/gcc/config/rs6000/rs6000-tables.opt ../../src/gcc/config/rs6000/rs6000.opt ../../src/gcc/config/gnu-user.opt ../../src/gcc/config/linux.opt ../../src/gcc/config/rs6000/sysv4.opt ../../src/gcc/config/rs6000/linux64.opt > tmp-optionlist g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gengenrtl.o ../../src/gcc/gengenrtl.c g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/sort.o ../../src/gcc/sort.cc g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genhooks.o ../../src/gcc/genhooks.c ../../src/gcc/genhooks.c: In function ‘void emit_documentation(const char*)’: ../../src/gcc/genhooks.c:131:17: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’, declared with attribute warn_unused_result [-Wunused-result] 131 | while (fscanf (f, "%*[^@]"), buf[0] = '\0', | ~~~~~~~^~~~~~~~~~~~~ ../../src/gcc/genhooks.c:140:14: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’, declared with attribute warn_unused_result [-Wunused-result] 140 | fscanf (f, "%999s", buf); | ~~~~~~~^~~~~~~~~~~~~~~~~ ../../src/gcc/genhooks.c:193:14: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’, declared with attribute warn_unused_result [-Wunused-result] 193 | fscanf (f, "%5[^ \n]", buf); | ~~~~~~~^~~~~~~~~~~~~~~~~~~~ ../../src/gcc/genhooks.c:199:14: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’, declared with attribute warn_unused_result [-Wunused-result] 199 | fscanf (f, "%999s", buf); | ~~~~~~~^~~~~~~~~~~~~~~~~ g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genchecksum.o ../../src/gcc/genchecksum.c echo timestamp > doc/cpp.1 (pod2man --center="GNU" --release="gcc-9.3.0" --date=2020-04-08 --section=1 cpp.pod > doc/cpp.1.T$$ && \ mv -f doc/cpp.1.T$$ doc/cpp.1) || \ (rm -f doc/cpp.1.T$$ && exit 1) echo timestamp > gcc.pod perl ../../src/gcc/../contrib/texi2pod.pl ../../src/gcc/doc/invoke.texi > gcc.pod g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genmodes.o ../../src/gcc/genmodes.c g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/errors.o ../../src/gcc/errors.c /bin/bash ../../src/gcc/../move-if-change tmp-optionlist optionlist echo timestamp > s-options g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gengtype.o ../../src/gcc/gengtype.c g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-error -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gengtype-lex.o ../../src/gcc/gengtype-lex.c /d/gcc-9.3.0/gcc-9.3.0/gcc/gengtype-lex.l: In function ‘int yylex(const char**)’: gengtype-lex.c:365:15: warning: this statement may fall through [-Wimplicit-fallthrough=] /d/gcc-9.3.0/gcc-9.3.0/gcc/gengtype-lex.l:118:1: note: in expansion of macro ‘YY_DO_BEFORE_ACTION’ /d/gcc-9.3.0/gcc-9.3.0/gcc/gengtype-lex.l:113:1: note: here gengtype-lex.c:365:15: warning: this statement may fall through [-Wimplicit-fallthrough=] /d/gcc-9.3.0/gcc-9.3.0/gcc/gengtype-lex.l:135:1: note: in expansion of macro ‘YY_DO_BEFORE_ACTION’ /d/gcc-9.3.0/gcc-9.3.0/gcc/gengtype-lex.l:131:1: note: here g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gengtype-parse.o ../../src/gcc/gengtype-parse.c g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gengtype-state.o ../../src/gcc/gengtype-state.c g++ -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -no-pie -o build/gengenrtl \ build/gengenrtl.o build/errors.o ../build-powerpc64le-unknown-linux-gnu/libiberty/libiberty.a g++ -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -no-pie -o build/genhooks \ build/genhooks.o build/errors.o ../build-powerpc64le-unknown-linux-gnu/libiberty/libiberty.a g++ -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -no-pie -o build/genchecksum \ build/genchecksum.o ../build-powerpc64le-unknown-linux-gnu/libiberty/libiberty.a gawk -f ../../src/gcc/opt-functions.awk -f ../../src/gcc/opt-read.awk \ -f ../../src/gcc/optc-save-gen.awk \ -v header_name="config.h system.h coretypes.h tm.h" < optionlist > options-save.c gawk -f ../../src/gcc/opt-functions.awk -f ../../src/gcc/opt-read.awk \ -f ../../src/gcc/optc-gen.awk \ -v header_name="config.h system.h coretypes.h options.h tm.h" < optionlist > options.c echo timestamp > doc/gcc.1 (pod2man --center="GNU" --release="gcc-9.3.0" --date=2020-04-08 --section=1 gcc.pod > doc/gcc.1.T$$ && \ mv -f doc/gcc.1.T$$ doc/gcc.1) || \ (rm -f doc/gcc.1.T$$ && exit 1) g++ -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -no-pie -o build/genmodes \ build/genmodes.o build/errors.o ../build-powerpc64le-unknown-linux-gnu/libiberty/libiberty.a gawk -f ../../src/gcc/opt-functions.awk -f ../../src/gcc/opt-read.awk \ -f ../../src/gcc/opth-gen.awk \ < optionlist > tmp-options.h build/gengenrtl > tmp-genrtl.h /bin/bash ../../src/gcc/../move-if-change tmp-genrtl.h genrtl.h echo timestamp > s-genrtl-h build/genmodes -m > tmp-min-modes.c /bin/bash ../../src/gcc/../move-if-change tmp-min-modes.c min-insn-modes.c echo timestamp > s-modes-m build/genhooks "Target Hook" \ > tmp-target-hooks-def.h /bin/bash ../../src/gcc/../move-if-change tmp-target-hooks-def.h \ target-hooks-def.h echo timestamp > s-target-hooks-def-h build/genhooks "Common Target Hook" \ > tmp-common-target-hooks-def.h /bin/bash ../../src/gcc/../move-if-change tmp-common-target-hooks-def.h \ common/common-target-hooks-def.h echo timestamp > s-common-target-hooks-def-h build/genhooks "C Target Hook" \ > tmp-c-target-hooks-def.h /bin/bash ../../src/gcc/../move-if-change tmp-c-target-hooks-def.h \ c-family/c-target-hooks-def.h echo timestamp > s-c-target-hooks-def-h build/genhooks "D Target Hook" \ > tmp-d-target-hooks-def.h /bin/bash ../../src/gcc/../move-if-change tmp-d-target-hooks-def.h \ d/d-target-hooks-def.h echo timestamp > s-d-target-hooks-def-h build/genmodes > tmp-modes.c /bin/bash ../../src/gcc/../move-if-change tmp-modes.c insn-modes.c echo timestamp > s-modes build/genmodes -h > tmp-modes.h /bin/bash ../../src/gcc/../move-if-change tmp-modes.h insn-modes.h echo timestamp > s-modes-h build/genmodes -i > tmp-modes-inline.h /bin/bash ../../src/gcc/../move-if-change tmp-modes-inline.h \ insn-modes-inline.h echo timestamp > s-modes-inline-h g++ -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -no-pie -o build/gengtype \ build/gengtype.o build/errors.o build/gengtype-lex.o build/gengtype-parse.o build/gengtype-state.o build/version.o ../build-powerpc64le-unknown-linux-gnu/libiberty/libiberty.a g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genmddeps.o ../../src/gcc/genmddeps.c /bin/bash ../../src/gcc/../move-if-change tmp-options.h options.h echo timestamp > s-options-h g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/read-md.o ../../src/gcc/read-md.c g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genconstants.o ../../src/gcc/genconstants.c g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/min-insn-modes.o min-insn-modes.c g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/inchash.o ../../src/gcc/inchash.c g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genenums.o ../../src/gcc/genenums.c build/gengtype \ -S ../../src/gcc -I gtyp-input.list -w tmp-gtype.state /bin/bash ../../src/gcc/../move-if-change tmp-gtype.state gtype.state build/gengtype \ -r gtype.state g++ -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -no-pie -o build/genmddeps \ build/genmddeps.o build/read-md.o build/errors.o ../build-powerpc64le-unknown-linux-gnu/libiberty/libiberty.a g++ -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -no-pie -o build/genconstants \ build/genconstants.o build/read-md.o build/errors.o ../build-powerpc64le-unknown-linux-gnu/libiberty/libiberty.a g++ -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -no-pie -o build/genenums \ build/genenums.o build/read-md.o build/errors.o ../build-powerpc64le-unknown-linux-gnu/libiberty/libiberty.a build/genmddeps ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md > tmp-mddeps /bin/bash ../../src/gcc/../move-if-change tmp-mddeps mddeps.mk echo timestamp > s-mddeps build/genconstants ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md \ > tmp-constants.h build/genenums ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md \ > tmp-enums.c /bin/bash ../../src/gcc/../move-if-change tmp-enums.c insn-enums.c /bin/bash ../../src/gcc/../move-if-change tmp-constants.h insn-constants.h echo timestamp > s-enums echo timestamp > s-constants g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gencheck.o ../../src/gcc/gencheck.c echo timestamp > s-gtype g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genopinit.o ../../src/gcc/genopinit.c g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gencfn-macros.o ../../src/gcc/gencfn-macros.c g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genattrtab.o ../../src/gcc/genattrtab.c g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genautomata.o ../../src/gcc/genautomata.c g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genemit.o ../../src/gcc/genemit.c g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genextract.o ../../src/gcc/genextract.c g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genoutput.o ../../src/gcc/genoutput.c g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genpeep.o ../../src/gcc/genpeep.c g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genrecog.o ../../src/gcc/genrecog.c g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genpreds.o ../../src/gcc/genpreds.c g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/rtl.o ../../src/gcc/rtl.c g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/read-rtl.o ../../src/gcc/read-rtl.c g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/ggc-none.o ../../src/gcc/ggc-none.c g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/vec.o ../../src/gcc/vec.c g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gensupport.o ../../src/gcc/gensupport.c g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/print-rtl.o ../../src/gcc/print-rtl.c g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/hash-table.o ../../src/gcc/hash-table.c g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genflags.o ../../src/gcc/genflags.c g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genconditions.o ../../src/gcc/genconditions.c g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genattr.o ../../src/gcc/genattr.c g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genattr-common.o ../../src/gcc/genattr-common.c g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gencodes.o ../../src/gcc/gencodes.c g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genconfig.o ../../src/gcc/genconfig.c g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gentarget-def.o ../../src/gcc/gentarget-def.c g++ -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -no-pie -o build/gencheck \ build/gencheck.o ../build-powerpc64le-unknown-linux-gnu/libiberty/libiberty.a g++ -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -no-pie -o build/genopinit \ build/genopinit.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-powerpc64le-unknown-linux-gnu/libiberty/libiberty.a g++ -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -no-pie -o build/gencfn-macros \ build/gencfn-macros.o build/errors.o build/hash-table.o build/vec.o build/ggc-none.o build/sort.o ../build-powerpc64le-unknown-linux-gnu/libiberty/libiberty.a g++ -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -no-pie -o build/genattrtab \ build/genattrtab.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-powerpc64le-unknown-linux-gnu/libiberty/libiberty.a g++ -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -no-pie -o build/genautomata \ build/genautomata.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-powerpc64le-unknown-linux-gnu/libiberty/libiberty.a -lm g++ -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -no-pie -o build/genemit \ build/genemit.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-powerpc64le-unknown-linux-gnu/libiberty/libiberty.a g++ -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -no-pie -o build/genextract \ build/genextract.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-powerpc64le-unknown-linux-gnu/libiberty/libiberty.a g++ -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -no-pie -o build/genoutput \ build/genoutput.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-powerpc64le-unknown-linux-gnu/libiberty/libiberty.a g++ -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -no-pie -o build/genpeep \ build/genpeep.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-powerpc64le-unknown-linux-gnu/libiberty/libiberty.a g++ -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -no-pie -o build/genrecog \ build/genrecog.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o build/inchash.o ../build-powerpc64le-unknown-linux-gnu/libiberty/libiberty.a g++ -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -no-pie -o build/genpreds \ build/genpreds.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-powerpc64le-unknown-linux-gnu/libiberty/libiberty.a g++ -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -no-pie -o build/genflags \ build/genflags.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-powerpc64le-unknown-linux-gnu/libiberty/libiberty.a g++ -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -no-pie -o build/genconditions \ build/genconditions.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-powerpc64le-unknown-linux-gnu/libiberty/libiberty.a g++ -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -no-pie -o build/genattr \ build/genattr.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-powerpc64le-unknown-linux-gnu/libiberty/libiberty.a g++ -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -no-pie -o build/genattr-common \ build/genattr-common.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-powerpc64le-unknown-linux-gnu/libiberty/libiberty.a g++ -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -no-pie -o build/gencodes \ build/gencodes.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-powerpc64le-unknown-linux-gnu/libiberty/libiberty.a g++ -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -no-pie -o build/genconfig \ build/genconfig.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-powerpc64le-unknown-linux-gnu/libiberty/libiberty.a build/gencheck > tmp-check.h /bin/bash ../../src/gcc/../move-if-change tmp-check.h tree-check.h echo timestamp > s-check build/gencfn-macros -c \ > tmp-case-cfn-macros.h build/gencfn-macros -o \ > tmp-cfn-operators.pd /bin/bash ../../src/gcc/../move-if-change tmp-case-cfn-macros.h \ case-cfn-macros.h /bin/bash ../../src/gcc/../move-if-change tmp-cfn-operators.pd \ cfn-operators.pd echo timestamp > s-case-cfn-macros echo timestamp > s-cfn-operators build/genpreds ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md > tmp-preds.c build/genpreds -h ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md > tmp-preds.h build/genconditions ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md > tmp-condmd.c /bin/bash ../../src/gcc/../move-if-change tmp-preds.c insn-preds.c /bin/bash ../../src/gcc/../move-if-change tmp-preds.h tm-preds.h echo timestamp > s-preds build/genpreds -c ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md > tmp-constrs.h echo timestamp > s-preds-h g++ -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -no-pie -o build/gentarget-def \ build/gentarget-def.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-powerpc64le-unknown-linux-gnu/libiberty/libiberty.a g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genmatch.o ../../src/gcc/genmatch.c /bin/bash ../../src/gcc/../move-if-change tmp-condmd.c build/gencondmd.c echo timestamp > s-conditions /bin/bash ../../src/gcc/../move-if-change tmp-constrs.h tm-constrs.h echo timestamp > s-constrs-h g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gencondmd.o build/gencondmd.c g++ -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -no-pie -o build/gencondmd \ build/gencondmd.o ../build-powerpc64le-unknown-linux-gnu/libiberty/libiberty.a build/gencondmd > tmp-cond.md /bin/bash ../../src/gcc/../move-if-change tmp-cond.md insn-conditions.md echo timestamp > s-condmd build/genflags ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md \ insn-conditions.md > tmp-flags.h build/genattr ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md \ insn-conditions.md > tmp-attr.h build/genattr-common ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md \ insn-conditions.md > tmp-attr-common.h /bin/bash ../../src/gcc/../move-if-change tmp-attr-common.h insn-attr-common.h /bin/bash ../../src/gcc/../move-if-change tmp-attr.h insn-attr.h echo timestamp > s-attr-common echo timestamp > s-attr build/gencodes ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md \ insn-conditions.md > tmp-codes.h build/genconfig ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md \ insn-conditions.md > tmp-config.h /bin/bash ../../src/gcc/../move-if-change tmp-flags.h insn-flags.h echo timestamp > s-flags build/gentarget-def ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md \ insn-conditions.md > tmp-target-def.h /bin/bash ../../src/gcc/../move-if-change tmp-codes.h insn-codes.h /bin/bash ../../src/gcc/../move-if-change tmp-config.h insn-config.h /bin/bash ../../src/gcc/../move-if-change tmp-target-def.h insn-target-def.h echo timestamp > s-codes build/genopinit ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md \ insn-conditions.md -htmp-opinit.h -ctmp-opinit.c echo timestamp > s-config build/genattrtab ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md insn-conditions.md \ -Atmp-attrtab.c -Dtmp-dfatab.c -Ltmp-latencytab.c echo timestamp > s-target-def build/genautomata ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md \ insn-conditions.md > tmp-automata.c /bin/bash ../../src/gcc/../move-if-change tmp-opinit.h insn-opinit.h /bin/bash ../../src/gcc/../move-if-change tmp-opinit.c insn-opinit.c echo timestamp > s-opinit build/genemit ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md \ insn-conditions.md > tmp-emit.c /bin/bash ../../src/gcc/../move-if-change tmp-emit.c insn-emit.c echo timestamp > s-emit build/genextract ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md \ insn-conditions.md > tmp-extract.c /bin/bash ../../src/gcc/../move-if-change tmp-extract.c insn-extract.c echo timestamp > s-extract build/genoutput ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md \ insn-conditions.md > tmp-output.c /bin/bash ../../src/gcc/../move-if-change tmp-output.c insn-output.c echo timestamp > s-output build/genpeep ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md \ insn-conditions.md > tmp-peep.c /bin/bash ../../src/gcc/../move-if-change tmp-peep.c insn-peep.c echo timestamp > s-peep build/genrecog ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md \ insn-conditions.md > tmp-recog.c Statistics for recog: Number of decisions: 12050 longest path: 126 (code: 1450) longest backtrack: 23 (code: 1639) Statistics for split_insns: Number of decisions: 3139 longest path: 50 (code: 625) longest backtrack: 17 (code: 623) Statistics for peephole2_insns: Number of decisions: 264 longest path: 36 (code: 37) longest backtrack: 4 (code: 27) Shared 9879 out of 23254 states by creating 2783 new states, saving 7096 /bin/bash ../../src/gcc/../move-if-change tmp-recog.c insn-recog.c echo timestamp > s-recog g++ -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gcov-iov.o ../../src/gcc/gcov-iov.c g++ -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -no-pie \ build/gcov-iov.o -o build/gcov-iov build/gcov-iov '9.3.0' '' \ > tmp-gcov-iov.h /bin/bash ../../src/gcc/../move-if-change tmp-gcov-iov.h gcov-iov.h echo timestamp > s-iov g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-lang.o -MT c/c-lang.o -MMD -MP -MF c/.deps/c-lang.TPo ../../src/gcc/c/c-lang.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/stub-objc.o -MT c-family/stub-objc.o -MMD -MP -MF c-family/.deps/stub-objc.TPo ../../src/gcc/c-family/stub-objc.c /bin/bash ../../src/gcc/../move-if-change tmp-attrtab.c insn-attrtab.c /bin/bash ../../src/gcc/../move-if-change tmp-dfatab.c insn-dfatab.c /bin/bash ../../src/gcc/../move-if-change tmp-latencytab.c insn-latencytab.c echo timestamp > s-attrtab g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o attribs.o -MT attribs.o -MMD -MP -MF ./.deps/attribs.TPo ../../src/gcc/attribs.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-errors.o -MT c/c-errors.o -MMD -MP -MF c/.deps/c-errors.TPo ../../src/gcc/c/c-errors.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-decl.o -MT c/c-decl.o -MMD -MP -MF c/.deps/c-decl.TPo ../../src/gcc/c/c-decl.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-typeck.o -MT c/c-typeck.o -MMD -MP -MF c/.deps/c-typeck.TPo ../../src/gcc/c/c-typeck.c ../../src/gcc/c/c-typeck.c: In function ‘int convert_arguments(location_t, vec, tree, vec*, vec*, tree, tree)’: ../../src/gcc/c/c-typeck.c:3601:28: warning: format not a string literal and no format arguments [-Wformat-security] 3601 | error (invalid_func_diag); | ^ ../../src/gcc/c/c-typeck.c: In function ‘tree_node* build_unary_op(location_t, tree_code, tree, bool)’: ../../src/gcc/c/c-typeck.c:4339:42: warning: format not a string literal and no format arguments [-Wformat-security] 4339 | error_at (location, invalid_op_diag); | ^ ../../src/gcc/c/c-typeck.c: In function ‘void warning_init(location_t, int, const char*)’: ../../src/gcc/c/c-typeck.c:6412:43: warning: format not a string literal and no format arguments [-Wformat-security] 6412 | warned = warning_at (exploc, opt, gmsgid); | ^ ../../src/gcc/c/c-typeck.c: In function ‘tree_node* build_binary_op(location_t, tree_code, tree, tree, bool)’: ../../src/gcc/c/c-typeck.c:11492:42: warning: format not a string literal and no format arguments [-Wformat-security] 11492 | error_at (location, invalid_op_diag); | ^ g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-convert.o -MT c/c-convert.o -MMD -MP -MF c/.deps/c-convert.TPo ../../src/gcc/c/c-convert.c ../../src/gcc/c/c-convert.c: In function ‘tree_node* convert(tree, tree)’: ../../src/gcc/c/c-convert.c:81:31: warning: format not a string literal and no format arguments [-Wformat-security] 81 | error (invalid_conv_diag); | ^ g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-aux-info.o -MT c/c-aux-info.o -MMD -MP -MF c/.deps/c-aux-info.TPo ../../src/gcc/c/c-aux-info.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-objc-common.o -MT c/c-objc-common.o -MMD -MP -MF c/.deps/c-objc-common.TPo ../../src/gcc/c/c-objc-common.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-parser.o -MT c/c-parser.o -MMD -MP -MF c/.deps/c-parser.TPo ../../src/gcc/c/c-parser.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-fold.o -MT c/c-fold.o -MMD -MP -MF c/.deps/c-fold.TPo ../../src/gcc/c/c-fold.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/gimple-parser.o -MT c/gimple-parser.o -MMD -MP -MF c/.deps/gimple-parser.TPo ../../src/gcc/c/gimple-parser.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-common.o -MT c-family/c-common.o -MMD -MP -MF c-family/.deps/c-common.TPo ../../src/gcc/c-family/c-common.c ../../src/gcc/c-family/c-common.c: In function ‘void c_parse_error(const char*, cpp_ttype, tree, unsigned char, rich_location*)’: ../../src/gcc/c-family/c-common.c:6144:30: warning: format not a string literal and no format arguments [-Wformat-security] 6144 | error_at (richloc, gmsgid); | ^ ../../src/gcc/c-family/c-common.c:6148:33: warning: format not a string literal and no format arguments [-Wformat-security] 6148 | error_at (richloc, message); | ^ g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-cppbuiltin.o -MT c-family/c-cppbuiltin.o -MMD -MP -MF c-family/.deps/c-cppbuiltin.TPo ../../src/gcc/c-family/c-cppbuiltin.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-dump.o -MT c-family/c-dump.o -MMD -MP -MF c-family/.deps/c-dump.TPo ../../src/gcc/c-family/c-dump.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-format.o -MT c-family/c-format.o -MMD -MP -MF c-family/.deps/c-format.TPo ../../src/gcc/c-family/c-format.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-gimplify.o -MT c-family/c-gimplify.o -MMD -MP -MF c-family/.deps/c-gimplify.TPo ../../src/gcc/c-family/c-gimplify.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-indentation.o -MT c-family/c-indentation.o -MMD -MP -MF c-family/.deps/c-indentation.TPo ../../src/gcc/c-family/c-indentation.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-lex.o -MT c-family/c-lex.o -MMD -MP -MF c-family/.deps/c-lex.TPo ../../src/gcc/c-family/c-lex.c /bin/bash ../../src/gcc/../move-if-change tmp-automata.c insn-automata.c echo timestamp > s-automata g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-omp.o -MT c-family/c-omp.o -MMD -MP -MF c-family/.deps/c-omp.TPo ../../src/gcc/c-family/c-omp.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-opts.o -MT c-family/c-opts.o -MMD -MP -MF c-family/.deps/c-opts.TPo ../../src/gcc/c-family/c-opts.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -DHOST_MACHINE=\"powerpc64le-unknown-linux-gnu\" -DTARGET_MACHINE=\"powerpc64le-unknown-linux-gnu\" -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-pch.o -MT c-family/c-pch.o -MMD -MP -MF c-family/.deps/c-pch.TPo ../../src/gcc/c-family/c-pch.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-ppoutput.o -MT c-family/c-ppoutput.o -MMD -MP -MF c-family/.deps/c-ppoutput.TPo ../../src/gcc/c-family/c-ppoutput.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-pragma.o -MT c-family/c-pragma.o -MMD -MP -MF c-family/.deps/c-pragma.TPo ../../src/gcc/c-family/c-pragma.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-pretty-print.o -MT c-family/c-pretty-print.o -MMD -MP -MF c-family/.deps/c-pretty-print.TPo ../../src/gcc/c-family/c-pretty-print.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-semantics.o -MT c-family/c-semantics.o -MMD -MP -MF c-family/.deps/c-semantics.TPo ../../src/gcc/c-family/c-semantics.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-ada-spec.o -MT c-family/c-ada-spec.o -MMD -MP -MF c-family/.deps/c-ada-spec.TPo ../../src/gcc/c-family/c-ada-spec.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-ubsan.o -MT c-family/c-ubsan.o -MMD -MP -MF c-family/.deps/c-ubsan.TPo ../../src/gcc/c-family/c-ubsan.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/known-headers.o -MT c-family/known-headers.o -MMD -MP -MF c-family/.deps/known-headers.TPo ../../src/gcc/c-family/known-headers.cc g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-attribs.o -MT c-family/c-attribs.o -MMD -MP -MF c-family/.deps/c-attribs.TPo ../../src/gcc/c-family/c-attribs.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-warn.o -MT c-family/c-warn.o -MMD -MP -MF c-family/.deps/c-warn.TPo ../../src/gcc/c-family/c-warn.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-spellcheck.o -MT c-family/c-spellcheck.o -MMD -MP -MF c-family/.deps/c-spellcheck.TPo ../../src/gcc/c-family/c-spellcheck.cc g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o glibc-c.o -MT glibc-c.o -MMD -MP -MF ./.deps/glibc-c.TPo ../../src/gcc/config/glibc-c.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rs6000-c.o -MT rs6000-c.o -MMD -MP -MF ./.deps/rs6000-c.TPo ../../src/gcc/config/rs6000/rs6000-c.c g++ -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -no-pie -o build/genmatch \ build/genmatch.o ../build-powerpc64le-unknown-linux-gnu/libcpp/libcpp.a build/errors.o build/vec.o build/hash-table.o build/sort.o ../build-powerpc64le-unknown-linux-gnu/libiberty/libiberty.a g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-emit.o -MT insn-emit.o -MMD -MP -MF ./.deps/insn-emit.TPo insn-emit.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-extract.o -MT insn-extract.o -MMD -MP -MF ./.deps/insn-extract.TPo insn-extract.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-modes.o -MT insn-modes.o -MMD -MP -MF ./.deps/insn-modes.TPo insn-modes.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-opinit.o -MT insn-opinit.o -MMD -MP -MF ./.deps/insn-opinit.TPo insn-opinit.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-output.o -MT insn-output.o -MMD -MP -MF ./.deps/insn-output.TPo insn-output.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-peep.o -MT insn-peep.o -MMD -MP -MF ./.deps/insn-peep.TPo insn-peep.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-preds.o -MT insn-preds.o -MMD -MP -MF ./.deps/insn-preds.TPo insn-preds.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-recog.o -MT insn-recog.o -MMD -MP -MF ./.deps/insn-recog.TPo insn-recog.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-enums.o -MT insn-enums.o -MMD -MP -MF ./.deps/insn-enums.TPo insn-enums.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ggc-page.o -MT ggc-page.o -MMD -MP -MF ./.deps/ggc-page.TPo ../../src/gcc/ggc-page.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o alias.o -MT alias.o -MMD -MP -MF ./.deps/alias.TPo ../../src/gcc/alias.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o alloc-pool.o -MT alloc-pool.o -MMD -MP -MF ./.deps/alloc-pool.TPo ../../src/gcc/alloc-pool.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o auto-inc-dec.o -MT auto-inc-dec.o -MMD -MP -MF ./.deps/auto-inc-dec.TPo ../../src/gcc/auto-inc-dec.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o auto-profile.o -MT auto-profile.o -MMD -MP -MF ./.deps/auto-profile.TPo ../../src/gcc/auto-profile.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o bb-reorder.o -MT bb-reorder.o -MMD -MP -MF ./.deps/bb-reorder.TPo ../../src/gcc/bb-reorder.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o bitmap.o -MT bitmap.o -MMD -MP -MF ./.deps/bitmap.TPo ../../src/gcc/bitmap.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o bt-load.o -MT bt-load.o -MMD -MP -MF ./.deps/bt-load.TPo ../../src/gcc/bt-load.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o builtins.o -MT builtins.o -MMD -MP -MF ./.deps/builtins.TPo ../../src/gcc/builtins.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o caller-save.o -MT caller-save.o -MMD -MP -MF ./.deps/caller-save.TPo ../../src/gcc/caller-save.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o calls.o -MT calls.o -MMD -MP -MF ./.deps/calls.TPo ../../src/gcc/calls.c ../../src/gcc/c-family/c-common.c: In function ‘void c_common_nodes_and_builtins()’: ../../src/gcc/c-family/c-common.c:3991:1: note: variable tracking size limit exceeded with ‘-fvar-tracking-assignments’, retrying without 3991 | c_common_nodes_and_builtins (void) | ^~~~~~~~~~~~~~~~~~~~~~~~~~~ g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ccmp.o -MT ccmp.o -MMD -MP -MF ./.deps/ccmp.TPo ../../src/gcc/ccmp.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfg.o -MT cfg.o -MMD -MP -MF ./.deps/cfg.TPo ../../src/gcc/cfg.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfganal.o -MT cfganal.o -MMD -MP -MF ./.deps/cfganal.TPo ../../src/gcc/cfganal.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgbuild.o -MT cfgbuild.o -MMD -MP -MF ./.deps/cfgbuild.TPo ../../src/gcc/cfgbuild.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgcleanup.o -MT cfgcleanup.o -MMD -MP -MF ./.deps/cfgcleanup.TPo ../../src/gcc/cfgcleanup.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgexpand.o -MT cfgexpand.o -MMD -MP -MF ./.deps/cfgexpand.TPo ../../src/gcc/cfgexpand.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfghooks.o -MT cfghooks.o -MMD -MP -MF ./.deps/cfghooks.TPo ../../src/gcc/cfghooks.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgloop.o -MT cfgloop.o -MMD -MP -MF ./.deps/cfgloop.TPo ../../src/gcc/cfgloop.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgloopanal.o -MT cfgloopanal.o -MMD -MP -MF ./.deps/cfgloopanal.TPo ../../src/gcc/cfgloopanal.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgloopmanip.o -MT cfgloopmanip.o -MMD -MP -MF ./.deps/cfgloopmanip.TPo ../../src/gcc/cfgloopmanip.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgrtl.o -MT cfgrtl.o -MMD -MP -MF ./.deps/cfgrtl.TPo ../../src/gcc/cfgrtl.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o symtab.o -MT symtab.o -MMD -MP -MF ./.deps/symtab.TPo ../../src/gcc/symtab.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cgraph.o -MT cgraph.o -MMD -MP -MF ./.deps/cgraph.TPo ../../src/gcc/cgraph.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cgraphbuild.o -MT cgraphbuild.o -MMD -MP -MF ./.deps/cgraphbuild.TPo ../../src/gcc/cgraphbuild.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cgraphunit.o -MT cgraphunit.o -MMD -MP -MF ./.deps/cgraphunit.TPo ../../src/gcc/cgraphunit.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cgraphclones.o -MT cgraphclones.o -MMD -MP -MF ./.deps/cgraphclones.TPo ../../src/gcc/cgraphclones.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o combine.o -MT combine.o -MMD -MP -MF ./.deps/combine.TPo ../../src/gcc/combine.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o combine-stack-adj.o -MT combine-stack-adj.o -MMD -MP -MF ./.deps/combine-stack-adj.TPo ../../src/gcc/combine-stack-adj.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o compare-elim.o -MT compare-elim.o -MMD -MP -MF ./.deps/compare-elim.TPo ../../src/gcc/compare-elim.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o context.o -MT context.o -MMD -MP -MF ./.deps/context.TPo ../../src/gcc/context.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o convert.o -MT convert.o -MMD -MP -MF ./.deps/convert.TPo ../../src/gcc/convert.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o coverage.o -MT coverage.o -MMD -MP -MF ./.deps/coverage.TPo ../../src/gcc/coverage.c g++ -fno-PIE -c -DGCC_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-unknown-linux-gnu/9.3.0/include\" -DFIXED_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-unknown-linux-gnu/9.3.0/include-fixed\" -DGPLUSPLUS_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-unknown-linux-gnu/9.3.0/../../../../include/c++/9.3.0\" -DGPLUSPLUS_INCLUDE_DIR_ADD_SYSROOT=0 -DGPLUSPLUS_TOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-unknown-linux-gnu/9.3.0/../../../../include//c++/9.3.0\" -DGPLUSPLUS_BACKWARD_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-unknown-linux-gnu/9.3.0/../../../../include/c++/9.3.0/backward\" -DLOCAL_INCLUDE_DIR=\"/usr/local/include\" -DCROSS_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-unknown-linux-gnu/9.3.0/../../../../powerpc64le-unknown-linux-gnu/sys-include\" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-unknown-linux-gnu/9.3.0/../../../../powerpc64le-unknown-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DPREFIX=\"/usr/lib/ghdl/gcc/\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DBASEVER="\"9.3.0\"" -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cppbuiltin.o -MT cppbuiltin.o -MMD -MP -MF ./.deps/cppbuiltin.TPo ../../src/gcc/cppbuiltin.c g++ -fno-PIE -c -DGCC_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-unknown-linux-gnu/9.3.0/include\" -DFIXED_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-unknown-linux-gnu/9.3.0/include-fixed\" -DGPLUSPLUS_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-unknown-linux-gnu/9.3.0/../../../../include/c++/9.3.0\" -DGPLUSPLUS_INCLUDE_DIR_ADD_SYSROOT=0 -DGPLUSPLUS_TOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-unknown-linux-gnu/9.3.0/../../../../include//c++/9.3.0\" -DGPLUSPLUS_BACKWARD_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-unknown-linux-gnu/9.3.0/../../../../include/c++/9.3.0/backward\" -DLOCAL_INCLUDE_DIR=\"/usr/local/include\" -DCROSS_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-unknown-linux-gnu/9.3.0/../../../../powerpc64le-unknown-linux-gnu/sys-include\" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-unknown-linux-gnu/9.3.0/../../../../powerpc64le-unknown-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DPREFIX=\"/usr/lib/ghdl/gcc/\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cppdefault.o -MT cppdefault.o -MMD -MP -MF ./.deps/cppdefault.TPo ../../src/gcc/cppdefault.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cprop.o -MT cprop.o -MMD -MP -MF ./.deps/cprop.TPo ../../src/gcc/cprop.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cse.o -MT cse.o -MMD -MP -MF ./.deps/cse.TPo ../../src/gcc/cse.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cselib.o -MT cselib.o -MMD -MP -MF ./.deps/cselib.TPo ../../src/gcc/cselib.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o data-streamer.o -MT data-streamer.o -MMD -MP -MF ./.deps/data-streamer.TPo ../../src/gcc/data-streamer.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o data-streamer-in.o -MT data-streamer-in.o -MMD -MP -MF ./.deps/data-streamer-in.TPo ../../src/gcc/data-streamer-in.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o data-streamer-out.o -MT data-streamer-out.o -MMD -MP -MF ./.deps/data-streamer-out.TPo ../../src/gcc/data-streamer-out.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dbxout.o -MT dbxout.o -MMD -MP -MF ./.deps/dbxout.TPo ../../src/gcc/dbxout.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dbgcnt.o -MT dbgcnt.o -MMD -MP -MF ./.deps/dbgcnt.TPo ../../src/gcc/dbgcnt.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dce.o -MT dce.o -MMD -MP -MF ./.deps/dce.TPo ../../src/gcc/dce.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ddg.o -MT ddg.o -MMD -MP -MF ./.deps/ddg.TPo ../../src/gcc/ddg.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o debug.o -MT debug.o -MMD -MP -MF ./.deps/debug.TPo ../../src/gcc/debug.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o df-core.o -MT df-core.o -MMD -MP -MF ./.deps/df-core.TPo ../../src/gcc/df-core.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o df-problems.o -MT df-problems.o -MMD -MP -MF ./.deps/df-problems.TPo ../../src/gcc/df-problems.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o df-scan.o -MT df-scan.o -MMD -MP -MF ./.deps/df-scan.TPo ../../src/gcc/df-scan.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-strict-aliasing -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dfp.o -MT dfp.o -MMD -MP -MF ./.deps/dfp.TPo ../../src/gcc/dfp.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dojump.o -MT dojump.o -MMD -MP -MF ./.deps/dojump.TPo ../../src/gcc/dojump.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dominance.o -MT dominance.o -MMD -MP -MF ./.deps/dominance.TPo ../../src/gcc/dominance.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o domwalk.o -MT domwalk.o -MMD -MP -MF ./.deps/domwalk.TPo ../../src/gcc/domwalk.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o double-int.o -MT double-int.o -MMD -MP -MF ./.deps/double-int.TPo ../../src/gcc/double-int.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dse.o -MT dse.o -MMD -MP -MF ./.deps/dse.TPo ../../src/gcc/dse.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dumpfile.o -MT dumpfile.o -MMD -MP -MF ./.deps/dumpfile.TPo ../../src/gcc/dumpfile.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dwarf2asm.o -MT dwarf2asm.o -MMD -MP -MF ./.deps/dwarf2asm.TPo ../../src/gcc/dwarf2asm.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dwarf2cfi.o -MT dwarf2cfi.o -MMD -MP -MF ./.deps/dwarf2cfi.TPo ../../src/gcc/dwarf2cfi.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dwarf2out.o -MT dwarf2out.o -MMD -MP -MF ./.deps/dwarf2out.TPo ../../src/gcc/dwarf2out.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o early-remat.o -MT early-remat.o -MMD -MP -MF ./.deps/early-remat.TPo ../../src/gcc/early-remat.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o emit-rtl.o -MT emit-rtl.o -MMD -MP -MF ./.deps/emit-rtl.TPo ../../src/gcc/emit-rtl.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o et-forest.o -MT et-forest.o -MMD -MP -MF ./.deps/et-forest.TPo ../../src/gcc/et-forest.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o except.o -MT except.o -MMD -MP -MF ./.deps/except.TPo ../../src/gcc/except.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o explow.o -MT explow.o -MMD -MP -MF ./.deps/explow.TPo ../../src/gcc/explow.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o expmed.o -MT expmed.o -MMD -MP -MF ./.deps/expmed.TPo ../../src/gcc/expmed.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o expr.o -MT expr.o -MMD -MP -MF ./.deps/expr.TPo ../../src/gcc/expr.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o fibonacci_heap.o -MT fibonacci_heap.o -MMD -MP -MF ./.deps/fibonacci_heap.TPo ../../src/gcc/fibonacci_heap.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o file-prefix-map.o -MT file-prefix-map.o -MMD -MP -MF ./.deps/file-prefix-map.TPo ../../src/gcc/file-prefix-map.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o final.o -MT final.o -MMD -MP -MF ./.deps/final.TPo ../../src/gcc/final.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o fixed-value.o -MT fixed-value.o -MMD -MP -MF ./.deps/fixed-value.TPo ../../src/gcc/fixed-value.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o fold-const.o -MT fold-const.o -MMD -MP -MF ./.deps/fold-const.TPo ../../src/gcc/fold-const.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o fold-const-call.o -MT fold-const-call.o -MMD -MP -MF ./.deps/fold-const-call.TPo ../../src/gcc/fold-const-call.c ../../src/gcc/fold-const.c: In function ‘void fold_overflow_warning(const char*, warn_strict_overflow_code)’: ../../src/gcc/fold-const.c:303:42: warning: format not a string literal and no format arguments [-Wformat-security] 303 | warning (OPT_Wstrict_overflow, gmsgid); | ^ g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o function.o -MT function.o -MMD -MP -MF ./.deps/function.TPo ../../src/gcc/function.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o function-tests.o -MT function-tests.o -MMD -MP -MF ./.deps/function-tests.TPo ../../src/gcc/function-tests.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o fwprop.o -MT fwprop.o -MMD -MP -MF ./.deps/fwprop.TPo ../../src/gcc/fwprop.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcc-rich-location.o -MT gcc-rich-location.o -MMD -MP -MF ./.deps/gcc-rich-location.TPo ../../src/gcc/gcc-rich-location.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcse.o -MT gcse.o -MMD -MP -MF ./.deps/gcse.TPo ../../src/gcc/gcse.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcse-common.o -MT gcse-common.o -MMD -MP -MF ./.deps/gcse-common.TPo ../../src/gcc/gcse-common.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ggc-common.o -MT ggc-common.o -MMD -MP -MF ./.deps/ggc-common.TPo ../../src/gcc/ggc-common.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ggc-tests.o -MT ggc-tests.o -MMD -MP -MF ./.deps/ggc-tests.TPo ../../src/gcc/ggc-tests.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple.o -MT gimple.o -MMD -MP -MF ./.deps/gimple.TPo ../../src/gcc/gimple.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-builder.o -MT gimple-builder.o -MMD -MP -MF ./.deps/gimple-builder.TPo ../../src/gcc/gimple-builder.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-expr.o -MT gimple-expr.o -MMD -MP -MF ./.deps/gimple-expr.TPo ../../src/gcc/gimple-expr.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-iterator.o -MT gimple-iterator.o -MMD -MP -MF ./.deps/gimple-iterator.TPo ../../src/gcc/gimple-iterator.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-fold.o -MT gimple-fold.o -MMD -MP -MF ./.deps/gimple-fold.TPo ../../src/gcc/gimple-fold.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-laddress.o -MT gimple-laddress.o -MMD -MP -MF ./.deps/gimple-laddress.TPo ../../src/gcc/gimple-laddress.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-loop-interchange.o -MT gimple-loop-interchange.o -MMD -MP -MF ./.deps/gimple-loop-interchange.TPo ../../src/gcc/gimple-loop-interchange.cc g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-loop-jam.o -MT gimple-loop-jam.o -MMD -MP -MF ./.deps/gimple-loop-jam.TPo ../../src/gcc/gimple-loop-jam.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-loop-versioning.o -MT gimple-loop-versioning.o -MMD -MP -MF ./.deps/gimple-loop-versioning.TPo ../../src/gcc/gimple-loop-versioning.cc g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-low.o -MT gimple-low.o -MMD -MP -MF ./.deps/gimple-low.TPo ../../src/gcc/gimple-low.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-pretty-print.o -MT gimple-pretty-print.o -MMD -MP -MF ./.deps/gimple-pretty-print.TPo ../../src/gcc/gimple-pretty-print.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-backprop.o -MT gimple-ssa-backprop.o -MMD -MP -MF ./.deps/gimple-ssa-backprop.TPo ../../src/gcc/gimple-ssa-backprop.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-evrp.o -MT gimple-ssa-evrp.o -MMD -MP -MF ./.deps/gimple-ssa-evrp.TPo ../../src/gcc/gimple-ssa-evrp.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-evrp-analyze.o -MT gimple-ssa-evrp-analyze.o -MMD -MP -MF ./.deps/gimple-ssa-evrp-analyze.TPo ../../src/gcc/gimple-ssa-evrp-analyze.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-isolate-paths.o -MT gimple-ssa-isolate-paths.o -MMD -MP -MF ./.deps/gimple-ssa-isolate-paths.TPo ../../src/gcc/gimple-ssa-isolate-paths.c ../../src/gcc/gimple-ssa-isolate-paths.c: In function ‘void find_explicit_erroneous_behavior()’: ../../src/gcc/gimple-ssa-isolate-paths.c:554:35: warning: format not a string literal and no format arguments [-Wformat-security] 554 | OPT_Wreturn_local_addr, msg)) | ^ g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-nonnull-compare.o -MT gimple-ssa-nonnull-compare.o -MMD -MP -MF ./.deps/gimple-ssa-nonnull-compare.TPo ../../src/gcc/gimple-ssa-nonnull-compare.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-split-paths.o -MT gimple-ssa-split-paths.o -MMD -MP -MF ./.deps/gimple-ssa-split-paths.TPo ../../src/gcc/gimple-ssa-split-paths.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-store-merging.o -MT gimple-ssa-store-merging.o -MMD -MP -MF ./.deps/gimple-ssa-store-merging.TPo ../../src/gcc/gimple-ssa-store-merging.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-strength-reduction.o -MT gimple-ssa-strength-reduction.o -MMD -MP -MF ./.deps/gimple-ssa-strength-reduction.TPo ../../src/gcc/gimple-ssa-strength-reduction.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-sprintf.o -MT gimple-ssa-sprintf.o -MMD -MP -MF ./.deps/gimple-ssa-sprintf.TPo ../../src/gcc/gimple-ssa-sprintf.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-warn-alloca.o -MT gimple-ssa-warn-alloca.o -MMD -MP -MF ./.deps/gimple-ssa-warn-alloca.TPo ../../src/gcc/gimple-ssa-warn-alloca.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-warn-restrict.o -MT gimple-ssa-warn-restrict.o -MMD -MP -MF ./.deps/gimple-ssa-warn-restrict.TPo ../../src/gcc/gimple-ssa-warn-restrict.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-streamer-in.o -MT gimple-streamer-in.o -MMD -MP -MF ./.deps/gimple-streamer-in.TPo ../../src/gcc/gimple-streamer-in.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-streamer-out.o -MT gimple-streamer-out.o -MMD -MP -MF ./.deps/gimple-streamer-out.TPo ../../src/gcc/gimple-streamer-out.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-walk.o -MT gimple-walk.o -MMD -MP -MF ./.deps/gimple-walk.TPo ../../src/gcc/gimple-walk.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimplify.o -MT gimplify.o -MMD -MP -MF ./.deps/gimplify.TPo ../../src/gcc/gimplify.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimplify-me.o -MT gimplify-me.o -MMD -MP -MF ./.deps/gimplify-me.TPo ../../src/gcc/gimplify-me.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o godump.o -MT godump.o -MMD -MP -MF ./.deps/godump.TPo ../../src/gcc/godump.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o graph.o -MT graph.o -MMD -MP -MF ./.deps/graph.TPo ../../src/gcc/graph.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o graphds.o -MT graphds.o -MMD -MP -MF ./.deps/graphds.TPo ../../src/gcc/graphds.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite.o -MT graphite.o -MMD -MP -MF ./.deps/graphite.TPo ../../src/gcc/graphite.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite-isl-ast-to-gimple.o -MT graphite-isl-ast-to-gimple.o -MMD -MP -MF ./.deps/graphite-isl-ast-to-gimple.TPo ../../src/gcc/graphite-isl-ast-to-gimple.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite-dependences.o -MT graphite-dependences.o -MMD -MP -MF ./.deps/graphite-dependences.TPo ../../src/gcc/graphite-dependences.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite-optimize-isl.o -MT graphite-optimize-isl.o -MMD -MP -MF ./.deps/graphite-optimize-isl.TPo ../../src/gcc/graphite-optimize-isl.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite-poly.o -MT graphite-poly.o -MMD -MP -MF ./.deps/graphite-poly.TPo ../../src/gcc/graphite-poly.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite-scop-detection.o -MT graphite-scop-detection.o -MMD -MP -MF ./.deps/graphite-scop-detection.TPo ../../src/gcc/graphite-scop-detection.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite-sese-to-poly.o -MT graphite-sese-to-poly.o -MMD -MP -MF ./.deps/graphite-sese-to-poly.TPo ../../src/gcc/graphite-sese-to-poly.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gtype-desc.o -MT gtype-desc.o -MMD -MP -MF ./.deps/gtype-desc.TPo gtype-desc.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o haifa-sched.o -MT haifa-sched.o -MMD -MP -MF ./.deps/haifa-sched.TPo ../../src/gcc/haifa-sched.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o hash-map-tests.o -MT hash-map-tests.o -MMD -MP -MF ./.deps/hash-map-tests.TPo ../../src/gcc/hash-map-tests.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o hash-set-tests.o -MT hash-set-tests.o -MMD -MP -MF ./.deps/hash-set-tests.TPo ../../src/gcc/hash-set-tests.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o hsa-common.o -MT hsa-common.o -MMD -MP -MF ./.deps/hsa-common.TPo ../../src/gcc/hsa-common.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o hsa-gen.o -MT hsa-gen.o -MMD -MP -MF ./.deps/hsa-gen.TPo ../../src/gcc/hsa-gen.c ../../src/gcc/hsa-gen.c: In member function ‘void omp_simple_builtin::generate(gimple*, hsa_bb*)’: ../../src/gcc/hsa-gen.c:88:32: warning: format not a string literal and no format arguments [-Wformat-security] 88 | inform (location, message); \ | ^ ../../src/gcc/hsa-gen.c:4548:2: note: in expansion of macro ‘HSA_SORRY_AT’ 4548 | HSA_SORRY_AT (gimple_location (stmt), m_warning_message); | ^~~~~~~~~~~~ ../../src/gcc/hsa-gen.c:4555:68: warning: format not a string literal and no format arguments [-Wformat-security] 4555 | warning_at (gimple_location (stmt), OPT_Whsa, m_warning_message); | ^ g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o hsa-regalloc.o -MT hsa-regalloc.o -MMD -MP -MF ./.deps/hsa-regalloc.TPo ../../src/gcc/hsa-regalloc.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o hsa-brig.o -MT hsa-brig.o -MMD -MP -MF ./.deps/hsa-brig.TPo ../../src/gcc/hsa-brig.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o hsa-dump.o -MT hsa-dump.o -MMD -MP -MF ./.deps/hsa-dump.TPo ../../src/gcc/hsa-dump.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o hw-doloop.o -MT hw-doloop.o -MMD -MP -MF ./.deps/hw-doloop.TPo ../../src/gcc/hw-doloop.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o hwint.o -MT hwint.o -MMD -MP -MF ./.deps/hwint.TPo ../../src/gcc/hwint.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ifcvt.o -MT ifcvt.o -MMD -MP -MF ./.deps/ifcvt.TPo ../../src/gcc/ifcvt.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ree.o -MT ree.o -MMD -MP -MF ./.deps/ree.TPo ../../src/gcc/ree.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o inchash.o -MT inchash.o -MMD -MP -MF ./.deps/inchash.TPo ../../src/gcc/inchash.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o incpath.o -MT incpath.o -MMD -MP -MF ./.deps/incpath.TPo ../../src/gcc/incpath.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o init-regs.o -MT init-regs.o -MMD -MP -MF ./.deps/init-regs.TPo ../../src/gcc/init-regs.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o internal-fn.o -MT internal-fn.o -MMD -MP -MF ./.deps/internal-fn.TPo ../../src/gcc/internal-fn.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-cp.o -MT ipa-cp.o -MMD -MP -MF ./.deps/ipa-cp.TPo ../../src/gcc/ipa-cp.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-devirt.o -MT ipa-devirt.o -MMD -MP -MF ./.deps/ipa-devirt.TPo ../../src/gcc/ipa-devirt.c ../../src/gcc/ipa-devirt.c: In function ‘void warn_odr(tree, tree, tree, tree, bool, bool*, const char*)’: ../../src/gcc/ipa-devirt.c:1050:47: warning: format not a string literal and no format arguments [-Wformat-security] 1050 | inform (DECL_SOURCE_LOCATION (decl2), reason); | ^ g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-fnsummary.o -MT ipa-fnsummary.o -MMD -MP -MF ./.deps/ipa-fnsummary.TPo ../../src/gcc/ipa-fnsummary.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-polymorphic-call.o -MT ipa-polymorphic-call.o -MMD -MP -MF ./.deps/ipa-polymorphic-call.TPo ../../src/gcc/ipa-polymorphic-call.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-split.o -MT ipa-split.o -MMD -MP -MF ./.deps/ipa-split.TPo ../../src/gcc/ipa-split.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-inline.o -MT ipa-inline.o -MMD -MP -MF ./.deps/ipa-inline.TPo ../../src/gcc/ipa-inline.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-comdats.o -MT ipa-comdats.o -MMD -MP -MF ./.deps/ipa-comdats.TPo ../../src/gcc/ipa-comdats.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-visibility.o -MT ipa-visibility.o -MMD -MP -MF ./.deps/ipa-visibility.TPo ../../src/gcc/ipa-visibility.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-inline-analysis.o -MT ipa-inline-analysis.o -MMD -MP -MF ./.deps/ipa-inline-analysis.TPo ../../src/gcc/ipa-inline-analysis.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-inline-transform.o -MT ipa-inline-transform.o -MMD -MP -MF ./.deps/ipa-inline-transform.TPo ../../src/gcc/ipa-inline-transform.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-predicate.o -MT ipa-predicate.o -MMD -MP -MF ./.deps/ipa-predicate.TPo ../../src/gcc/ipa-predicate.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-profile.o -MT ipa-profile.o -MMD -MP -MF ./.deps/ipa-profile.TPo ../../src/gcc/ipa-profile.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-prop.o -MT ipa-prop.o -MMD -MP -MF ./.deps/ipa-prop.TPo ../../src/gcc/ipa-prop.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-param-manipulation.o -MT ipa-param-manipulation.o -MMD -MP -MF ./.deps/ipa-param-manipulation.TPo ../../src/gcc/ipa-param-manipulation.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-pure-const.o -MT ipa-pure-const.o -MMD -MP -MF ./.deps/ipa-pure-const.TPo ../../src/gcc/ipa-pure-const.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-icf.o -MT ipa-icf.o -MMD -MP -MF ./.deps/ipa-icf.TPo ../../src/gcc/ipa-icf.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-icf-gimple.o -MT ipa-icf-gimple.o -MMD -MP -MF ./.deps/ipa-icf-gimple.TPo ../../src/gcc/ipa-icf-gimple.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-reference.o -MT ipa-reference.o -MMD -MP -MF ./.deps/ipa-reference.TPo ../../src/gcc/ipa-reference.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-hsa.o -MT ipa-hsa.o -MMD -MP -MF ./.deps/ipa-hsa.TPo ../../src/gcc/ipa-hsa.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-ref.o -MT ipa-ref.o -MMD -MP -MF ./.deps/ipa-ref.TPo ../../src/gcc/ipa-ref.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-utils.o -MT ipa-utils.o -MMD -MP -MF ./.deps/ipa-utils.TPo ../../src/gcc/ipa-utils.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa.o -MT ipa.o -MMD -MP -MF ./.deps/ipa.TPo ../../src/gcc/ipa.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ira.o -MT ira.o -MMD -MP -MF ./.deps/ira.TPo ../../src/gcc/ira.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ira-build.o -MT ira-build.o -MMD -MP -MF ./.deps/ira-build.TPo ../../src/gcc/ira-build.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ira-costs.o -MT ira-costs.o -MMD -MP -MF ./.deps/ira-costs.TPo ../../src/gcc/ira-costs.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ira-conflicts.o -MT ira-conflicts.o -MMD -MP -MF ./.deps/ira-conflicts.TPo ../../src/gcc/ira-conflicts.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ira-color.o -MT ira-color.o -MMD -MP -MF ./.deps/ira-color.TPo ../../src/gcc/ira-color.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ira-emit.o -MT ira-emit.o -MMD -MP -MF ./.deps/ira-emit.TPo ../../src/gcc/ira-emit.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ira-lives.o -MT ira-lives.o -MMD -MP -MF ./.deps/ira-lives.TPo ../../src/gcc/ira-lives.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o jump.o -MT jump.o -MMD -MP -MF ./.deps/jump.TPo ../../src/gcc/jump.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o langhooks.o -MT langhooks.o -MMD -MP -MF ./.deps/langhooks.TPo ../../src/gcc/langhooks.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lcm.o -MT lcm.o -MMD -MP -MF ./.deps/lcm.TPo ../../src/gcc/lcm.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lists.o -MT lists.o -MMD -MP -MF ./.deps/lists.TPo ../../src/gcc/lists.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o loop-doloop.o -MT loop-doloop.o -MMD -MP -MF ./.deps/loop-doloop.TPo ../../src/gcc/loop-doloop.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o loop-init.o -MT loop-init.o -MMD -MP -MF ./.deps/loop-init.TPo ../../src/gcc/loop-init.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o loop-invariant.o -MT loop-invariant.o -MMD -MP -MF ./.deps/loop-invariant.TPo ../../src/gcc/loop-invariant.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o loop-iv.o -MT loop-iv.o -MMD -MP -MF ./.deps/loop-iv.TPo ../../src/gcc/loop-iv.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o loop-unroll.o -MT loop-unroll.o -MMD -MP -MF ./.deps/loop-unroll.TPo ../../src/gcc/loop-unroll.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lower-subreg.o -MT lower-subreg.o -MMD -MP -MF ./.deps/lower-subreg.TPo ../../src/gcc/lower-subreg.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lra.o -MT lra.o -MMD -MP -MF ./.deps/lra.TPo ../../src/gcc/lra.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-assigns.o -MT lra-assigns.o -MMD -MP -MF ./.deps/lra-assigns.TPo ../../src/gcc/lra-assigns.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-coalesce.o -MT lra-coalesce.o -MMD -MP -MF ./.deps/lra-coalesce.TPo ../../src/gcc/lra-coalesce.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-constraints.o -MT lra-constraints.o -MMD -MP -MF ./.deps/lra-constraints.TPo ../../src/gcc/lra-constraints.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-eliminations.o -MT lra-eliminations.o -MMD -MP -MF ./.deps/lra-eliminations.TPo ../../src/gcc/lra-eliminations.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-lives.o -MT lra-lives.o -MMD -MP -MF ./.deps/lra-lives.TPo ../../src/gcc/lra-lives.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-remat.o -MT lra-remat.o -MMD -MP -MF ./.deps/lra-remat.TPo ../../src/gcc/lra-remat.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-spills.o -MT lra-spills.o -MMD -MP -MF ./.deps/lra-spills.TPo ../../src/gcc/lra-spills.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-cgraph.o -MT lto-cgraph.o -MMD -MP -MF ./.deps/lto-cgraph.TPo ../../src/gcc/lto-cgraph.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-streamer.o -MT lto-streamer.o -MMD -MP -MF ./.deps/lto-streamer.TPo ../../src/gcc/lto-streamer.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-streamer-in.o -MT lto-streamer-in.o -MMD -MP -MF ./.deps/lto-streamer-in.TPo ../../src/gcc/lto-streamer-in.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-streamer-out.o -MT lto-streamer-out.o -MMD -MP -MF ./.deps/lto-streamer-out.TPo ../../src/gcc/lto-streamer-out.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-section-in.o -MT lto-section-in.o -MMD -MP -MF ./.deps/lto-section-in.TPo ../../src/gcc/lto-section-in.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-section-out.o -MT lto-section-out.o -MMD -MP -MF ./.deps/lto-section-out.TPo ../../src/gcc/lto-section-out.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-opts.o -MT lto-opts.o -MMD -MP -MF ./.deps/lto-opts.TPo ../../src/gcc/lto-opts.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-compress.o -MT lto-compress.o -MMD -MP -MF ./.deps/lto-compress.TPo ../../src/gcc/lto-compress.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o mcf.o -MT mcf.o -MMD -MP -MF ./.deps/mcf.TPo ../../src/gcc/mcf.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o mode-switching.o -MT mode-switching.o -MMD -MP -MF ./.deps/mode-switching.TPo ../../src/gcc/mode-switching.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o modulo-sched.o -MT modulo-sched.o -MMD -MP -MF ./.deps/modulo-sched.TPo ../../src/gcc/modulo-sched.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o multiple_target.o -MT multiple_target.o -MMD -MP -MF ./.deps/multiple_target.TPo ../../src/gcc/multiple_target.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o omp-offload.o -MT omp-offload.o -MMD -MP -MF ./.deps/omp-offload.TPo ../../src/gcc/omp-offload.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o omp-expand.o -MT omp-expand.o -MMD -MP -MF ./.deps/omp-expand.TPo ../../src/gcc/omp-expand.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o omp-general.o -MT omp-general.o -MMD -MP -MF ./.deps/omp-general.TPo ../../src/gcc/omp-general.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o omp-grid.o -MT omp-grid.o -MMD -MP -MF ./.deps/omp-grid.TPo ../../src/gcc/omp-grid.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o omp-low.o -MT omp-low.o -MMD -MP -MF ./.deps/omp-low.TPo ../../src/gcc/omp-low.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o omp-simd-clone.o -MT omp-simd-clone.o -MMD -MP -MF ./.deps/omp-simd-clone.TPo ../../src/gcc/omp-simd-clone.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o opt-problem.o -MT opt-problem.o -MMD -MP -MF ./.deps/opt-problem.TPo ../../src/gcc/opt-problem.cc g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o optabs.o -MT optabs.o -MMD -MP -MF ./.deps/optabs.TPo ../../src/gcc/optabs.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o optabs-libfuncs.o -MT optabs-libfuncs.o -MMD -MP -MF ./.deps/optabs-libfuncs.TPo ../../src/gcc/optabs-libfuncs.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o optabs-query.o -MT optabs-query.o -MMD -MP -MF ./.deps/optabs-query.TPo ../../src/gcc/optabs-query.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o optabs-tree.o -MT optabs-tree.o -MMD -MP -MF ./.deps/optabs-tree.TPo ../../src/gcc/optabs-tree.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o optinfo.o -MT optinfo.o -MMD -MP -MF ./.deps/optinfo.TPo ../../src/gcc/optinfo.cc g++ -fno-PIE -c -DTARGET_NAME=\"powerpc64le-unknown-linux-gnu\" -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o optinfo-emit-json.o -MT optinfo-emit-json.o -MMD -MP -MF ./.deps/optinfo-emit-json.TPo ../../src/gcc/optinfo-emit-json.cc g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o options-save.o -MT options-save.o -MMD -MP -MF ./.deps/options-save.TPo options-save.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o opts-global.o -MT opts-global.o -MMD -MP -MF ./.deps/opts-global.TPo ../../src/gcc/opts-global.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o passes.o -MT passes.o -MMD -MP -MF ./.deps/passes.TPo ../../src/gcc/passes.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o plugin.o -MT plugin.o -MMD -MP -MF ./.deps/plugin.TPo ../../src/gcc/plugin.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o postreload-gcse.o -MT postreload-gcse.o -MMD -MP -MF ./.deps/postreload-gcse.TPo ../../src/gcc/postreload-gcse.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o postreload.o -MT postreload.o -MMD -MP -MF ./.deps/postreload.TPo ../../src/gcc/postreload.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o predict.o -MT predict.o -MMD -MP -MF ./.deps/predict.TPo ../../src/gcc/predict.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o print-rtl.o -MT print-rtl.o -MMD -MP -MF ./.deps/print-rtl.TPo ../../src/gcc/print-rtl.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o print-rtl-function.o -MT print-rtl-function.o -MMD -MP -MF ./.deps/print-rtl-function.TPo ../../src/gcc/print-rtl-function.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o print-tree.o -MT print-tree.o -MMD -MP -MF ./.deps/print-tree.TPo ../../src/gcc/print-tree.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o profile.o -MT profile.o -MMD -MP -MF ./.deps/profile.TPo ../../src/gcc/profile.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o profile-count.o -MT profile-count.o -MMD -MP -MF ./.deps/profile-count.TPo ../../src/gcc/profile-count.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o read-md.o -MT read-md.o -MMD -MP -MF ./.deps/read-md.TPo ../../src/gcc/read-md.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o read-rtl.o -MT read-rtl.o -MMD -MP -MF ./.deps/read-rtl.TPo ../../src/gcc/read-rtl.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o read-rtl-function.o -MT read-rtl-function.o -MMD -MP -MF ./.deps/read-rtl-function.TPo ../../src/gcc/read-rtl-function.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o real.o -MT real.o -MMD -MP -MF ./.deps/real.TPo ../../src/gcc/real.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o realmpfr.o -MT realmpfr.o -MMD -MP -MF ./.deps/realmpfr.TPo ../../src/gcc/realmpfr.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o recog.o -MT recog.o -MMD -MP -MF ./.deps/recog.TPo ../../src/gcc/recog.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o reg-stack.o -MT reg-stack.o -MMD -MP -MF ./.deps/reg-stack.TPo ../../src/gcc/reg-stack.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o regcprop.o -MT regcprop.o -MMD -MP -MF ./.deps/regcprop.TPo ../../src/gcc/regcprop.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o reginfo.o -MT reginfo.o -MMD -MP -MF ./.deps/reginfo.TPo ../../src/gcc/reginfo.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o regrename.o -MT regrename.o -MMD -MP -MF ./.deps/regrename.TPo ../../src/gcc/regrename.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o regstat.o -MT regstat.o -MMD -MP -MF ./.deps/regstat.TPo ../../src/gcc/regstat.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o reload.o -MT reload.o -MMD -MP -MF ./.deps/reload.TPo ../../src/gcc/reload.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o reload1.o -MT reload1.o -MMD -MP -MF ./.deps/reload1.TPo ../../src/gcc/reload1.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o reorg.o -MT reorg.o -MMD -MP -MF ./.deps/reorg.TPo ../../src/gcc/reorg.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o resource.o -MT resource.o -MMD -MP -MF ./.deps/resource.TPo ../../src/gcc/resource.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rtl-error.o -MT rtl-error.o -MMD -MP -MF ./.deps/rtl-error.TPo ../../src/gcc/rtl-error.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rtl-tests.o -MT rtl-tests.o -MMD -MP -MF ./.deps/rtl-tests.TPo ../../src/gcc/rtl-tests.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rtl.o -MT rtl.o -MMD -MP -MF ./.deps/rtl.TPo ../../src/gcc/rtl.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rtlhash.o -MT rtlhash.o -MMD -MP -MF ./.deps/rtlhash.TPo ../../src/gcc/rtlhash.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rtlanal.o -MT rtlanal.o -MMD -MP -MF ./.deps/rtlanal.TPo ../../src/gcc/rtlanal.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rtlhooks.o -MT rtlhooks.o -MMD -MP -MF ./.deps/rtlhooks.TPo ../../src/gcc/rtlhooks.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rtx-vector-builder.o -MT rtx-vector-builder.o -MMD -MP -MF ./.deps/rtx-vector-builder.TPo ../../src/gcc/rtx-vector-builder.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o run-rtl-passes.o -MT run-rtl-passes.o -MMD -MP -MF ./.deps/run-rtl-passes.TPo ../../src/gcc/run-rtl-passes.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sched-deps.o -MT sched-deps.o -MMD -MP -MF ./.deps/sched-deps.TPo ../../src/gcc/sched-deps.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sched-ebb.o -MT sched-ebb.o -MMD -MP -MF ./.deps/sched-ebb.TPo ../../src/gcc/sched-ebb.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sched-rgn.o -MT sched-rgn.o -MMD -MP -MF ./.deps/sched-rgn.TPo ../../src/gcc/sched-rgn.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sel-sched-ir.o -MT sel-sched-ir.o -MMD -MP -MF ./.deps/sel-sched-ir.TPo ../../src/gcc/sel-sched-ir.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sel-sched-dump.o -MT sel-sched-dump.o -MMD -MP -MF ./.deps/sel-sched-dump.TPo ../../src/gcc/sel-sched-dump.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sel-sched.o -MT sel-sched.o -MMD -MP -MF ./.deps/sel-sched.TPo ../../src/gcc/sel-sched.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o selftest-rtl.o -MT selftest-rtl.o -MMD -MP -MF ./.deps/selftest-rtl.TPo ../../src/gcc/selftest-rtl.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o selftest-run-tests.o -MT selftest-run-tests.o -MMD -MP -MF ./.deps/selftest-run-tests.TPo ../../src/gcc/selftest-run-tests.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sese.o -MT sese.o -MMD -MP -MF ./.deps/sese.TPo ../../src/gcc/sese.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o shrink-wrap.o -MT shrink-wrap.o -MMD -MP -MF ./.deps/shrink-wrap.TPo ../../src/gcc/shrink-wrap.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o simplify-rtx.o -MT simplify-rtx.o -MMD -MP -MF ./.deps/simplify-rtx.TPo ../../src/gcc/simplify-rtx.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sparseset.o -MT sparseset.o -MMD -MP -MF ./.deps/sparseset.TPo ../../src/gcc/sparseset.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o spellcheck.o -MT spellcheck.o -MMD -MP -MF ./.deps/spellcheck.TPo ../../src/gcc/spellcheck.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o spellcheck-tree.o -MT spellcheck-tree.o -MMD -MP -MF ./.deps/spellcheck-tree.TPo ../../src/gcc/spellcheck-tree.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sreal.o -MT sreal.o -MMD -MP -MF ./.deps/sreal.TPo ../../src/gcc/sreal.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o stack-ptr-mod.o -MT stack-ptr-mod.o -MMD -MP -MF ./.deps/stack-ptr-mod.TPo ../../src/gcc/stack-ptr-mod.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o statistics.o -MT statistics.o -MMD -MP -MF ./.deps/statistics.TPo ../../src/gcc/statistics.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o stmt.o -MT stmt.o -MMD -MP -MF ./.deps/stmt.TPo ../../src/gcc/stmt.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o stor-layout.o -MT stor-layout.o -MMD -MP -MF ./.deps/stor-layout.TPo ../../src/gcc/stor-layout.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o store-motion.o -MT store-motion.o -MMD -MP -MF ./.deps/store-motion.TPo ../../src/gcc/store-motion.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o streamer-hooks.o -MT streamer-hooks.o -MMD -MP -MF ./.deps/streamer-hooks.TPo ../../src/gcc/streamer-hooks.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o stringpool.o -MT stringpool.o -MMD -MP -MF ./.deps/stringpool.TPo ../../src/gcc/stringpool.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o substring-locations.o -MT substring-locations.o -MMD -MP -MF ./.deps/substring-locations.TPo ../../src/gcc/substring-locations.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o target-globals.o -MT target-globals.o -MMD -MP -MF ./.deps/target-globals.TPo ../../src/gcc/target-globals.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o targhooks.o -MT targhooks.o -MMD -MP -MF ./.deps/targhooks.TPo ../../src/gcc/targhooks.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o timevar.o -MT timevar.o -MMD -MP -MF ./.deps/timevar.TPo ../../src/gcc/timevar.c g++ -fno-PIE -c -DTARGET_NAME=\"powerpc64le-unknown-linux-gnu\" -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o toplev.o -MT toplev.o -MMD -MP -MF ./.deps/toplev.TPo ../../src/gcc/toplev.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tracer.o -MT tracer.o -MMD -MP -MF ./.deps/tracer.TPo ../../src/gcc/tracer.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o trans-mem.o -MT trans-mem.o -MMD -MP -MF ./.deps/trans-mem.TPo ../../src/gcc/trans-mem.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-affine.o -MT tree-affine.o -MMD -MP -MF ./.deps/tree-affine.TPo ../../src/gcc/tree-affine.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o asan.o -MT asan.o -MMD -MP -MF ./.deps/asan.TPo ../../src/gcc/asan.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tsan.o -MT tsan.o -MMD -MP -MF ./.deps/tsan.TPo ../../src/gcc/tsan.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ubsan.o -MT ubsan.o -MMD -MP -MF ./.deps/ubsan.TPo ../../src/gcc/ubsan.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sanopt.o -MT sanopt.o -MMD -MP -MF ./.deps/sanopt.TPo ../../src/gcc/sanopt.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sancov.o -MT sancov.o -MMD -MP -MF ./.deps/sancov.TPo ../../src/gcc/sancov.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-call-cdce.o -MT tree-call-cdce.o -MMD -MP -MF ./.deps/tree-call-cdce.TPo ../../src/gcc/tree-call-cdce.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-cfg.o -MT tree-cfg.o -MMD -MP -MF ./.deps/tree-cfg.TPo ../../src/gcc/tree-cfg.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-cfgcleanup.o -MT tree-cfgcleanup.o -MMD -MP -MF ./.deps/tree-cfgcleanup.TPo ../../src/gcc/tree-cfgcleanup.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-chrec.o -MT tree-chrec.o -MMD -MP -MF ./.deps/tree-chrec.TPo ../../src/gcc/tree-chrec.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-complex.o -MT tree-complex.o -MMD -MP -MF ./.deps/tree-complex.TPo ../../src/gcc/tree-complex.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-data-ref.o -MT tree-data-ref.o -MMD -MP -MF ./.deps/tree-data-ref.TPo ../../src/gcc/tree-data-ref.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-dfa.o -MT tree-dfa.o -MMD -MP -MF ./.deps/tree-dfa.TPo ../../src/gcc/tree-dfa.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-diagnostic.o -MT tree-diagnostic.o -MMD -MP -MF ./.deps/tree-diagnostic.TPo ../../src/gcc/tree-diagnostic.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-dump.o -MT tree-dump.o -MMD -MP -MF ./.deps/tree-dump.TPo ../../src/gcc/tree-dump.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-eh.o -MT tree-eh.o -MMD -MP -MF ./.deps/tree-eh.TPo ../../src/gcc/tree-eh.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-emutls.o -MT tree-emutls.o -MMD -MP -MF ./.deps/tree-emutls.TPo ../../src/gcc/tree-emutls.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-if-conv.o -MT tree-if-conv.o -MMD -MP -MF ./.deps/tree-if-conv.TPo ../../src/gcc/tree-if-conv.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-inline.o -MT tree-inline.o -MMD -MP -MF ./.deps/tree-inline.TPo ../../src/gcc/tree-inline.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-into-ssa.o -MT tree-into-ssa.o -MMD -MP -MF ./.deps/tree-into-ssa.TPo ../../src/gcc/tree-into-ssa.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-iterator.o -MT tree-iterator.o -MMD -MP -MF ./.deps/tree-iterator.TPo ../../src/gcc/tree-iterator.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-loop-distribution.o -MT tree-loop-distribution.o -MMD -MP -MF ./.deps/tree-loop-distribution.TPo ../../src/gcc/tree-loop-distribution.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-nested.o -MT tree-nested.o -MMD -MP -MF ./.deps/tree-nested.TPo ../../src/gcc/tree-nested.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-nrv.o -MT tree-nrv.o -MMD -MP -MF ./.deps/tree-nrv.TPo ../../src/gcc/tree-nrv.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-object-size.o -MT tree-object-size.o -MMD -MP -MF ./.deps/tree-object-size.TPo ../../src/gcc/tree-object-size.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-outof-ssa.o -MT tree-outof-ssa.o -MMD -MP -MF ./.deps/tree-outof-ssa.TPo ../../src/gcc/tree-outof-ssa.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-parloops.o -MT tree-parloops.o -MMD -MP -MF ./.deps/tree-parloops.TPo ../../src/gcc/tree-parloops.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-phinodes.o -MT tree-phinodes.o -MMD -MP -MF ./.deps/tree-phinodes.TPo ../../src/gcc/tree-phinodes.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-predcom.o -MT tree-predcom.o -MMD -MP -MF ./.deps/tree-predcom.TPo ../../src/gcc/tree-predcom.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-pretty-print.o -MT tree-pretty-print.o -MMD -MP -MF ./.deps/tree-pretty-print.TPo ../../src/gcc/tree-pretty-print.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-profile.o -MT tree-profile.o -MMD -MP -MF ./.deps/tree-profile.TPo ../../src/gcc/tree-profile.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-scalar-evolution.o -MT tree-scalar-evolution.o -MMD -MP -MF ./.deps/tree-scalar-evolution.TPo ../../src/gcc/tree-scalar-evolution.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-sra.o -MT tree-sra.o -MMD -MP -MF ./.deps/tree-sra.TPo ../../src/gcc/tree-sra.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-switch-conversion.o -MT tree-switch-conversion.o -MMD -MP -MF ./.deps/tree-switch-conversion.TPo ../../src/gcc/tree-switch-conversion.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-address.o -MT tree-ssa-address.o -MMD -MP -MF ./.deps/tree-ssa-address.TPo ../../src/gcc/tree-ssa-address.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-alias.o -MT tree-ssa-alias.o -MMD -MP -MF ./.deps/tree-ssa-alias.TPo ../../src/gcc/tree-ssa-alias.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-ccp.o -MT tree-ssa-ccp.o -MMD -MP -MF ./.deps/tree-ssa-ccp.TPo ../../src/gcc/tree-ssa-ccp.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-coalesce.o -MT tree-ssa-coalesce.o -MMD -MP -MF ./.deps/tree-ssa-coalesce.TPo ../../src/gcc/tree-ssa-coalesce.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-copy.o -MT tree-ssa-copy.o -MMD -MP -MF ./.deps/tree-ssa-copy.TPo ../../src/gcc/tree-ssa-copy.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-dce.o -MT tree-ssa-dce.o -MMD -MP -MF ./.deps/tree-ssa-dce.TPo ../../src/gcc/tree-ssa-dce.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-dom.o -MT tree-ssa-dom.o -MMD -MP -MF ./.deps/tree-ssa-dom.TPo ../../src/gcc/tree-ssa-dom.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-dse.o -MT tree-ssa-dse.o -MMD -MP -MF ./.deps/tree-ssa-dse.TPo ../../src/gcc/tree-ssa-dse.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-forwprop.o -MT tree-ssa-forwprop.o -MMD -MP -MF ./.deps/tree-ssa-forwprop.TPo ../../src/gcc/tree-ssa-forwprop.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-ifcombine.o -MT tree-ssa-ifcombine.o -MMD -MP -MF ./.deps/tree-ssa-ifcombine.TPo ../../src/gcc/tree-ssa-ifcombine.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-live.o -MT tree-ssa-live.o -MMD -MP -MF ./.deps/tree-ssa-live.TPo ../../src/gcc/tree-ssa-live.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-ch.o -MT tree-ssa-loop-ch.o -MMD -MP -MF ./.deps/tree-ssa-loop-ch.TPo ../../src/gcc/tree-ssa-loop-ch.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-im.o -MT tree-ssa-loop-im.o -MMD -MP -MF ./.deps/tree-ssa-loop-im.TPo ../../src/gcc/tree-ssa-loop-im.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-ivcanon.o -MT tree-ssa-loop-ivcanon.o -MMD -MP -MF ./.deps/tree-ssa-loop-ivcanon.TPo ../../src/gcc/tree-ssa-loop-ivcanon.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-ivopts.o -MT tree-ssa-loop-ivopts.o -MMD -MP -MF ./.deps/tree-ssa-loop-ivopts.TPo ../../src/gcc/tree-ssa-loop-ivopts.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-manip.o -MT tree-ssa-loop-manip.o -MMD -MP -MF ./.deps/tree-ssa-loop-manip.TPo ../../src/gcc/tree-ssa-loop-manip.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-niter.o -MT tree-ssa-loop-niter.o -MMD -MP -MF ./.deps/tree-ssa-loop-niter.TPo ../../src/gcc/tree-ssa-loop-niter.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-prefetch.o -MT tree-ssa-loop-prefetch.o -MMD -MP -MF ./.deps/tree-ssa-loop-prefetch.TPo ../../src/gcc/tree-ssa-loop-prefetch.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-split.o -MT tree-ssa-loop-split.o -MMD -MP -MF ./.deps/tree-ssa-loop-split.TPo ../../src/gcc/tree-ssa-loop-split.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-unswitch.o -MT tree-ssa-loop-unswitch.o -MMD -MP -MF ./.deps/tree-ssa-loop-unswitch.TPo ../../src/gcc/tree-ssa-loop-unswitch.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop.o -MT tree-ssa-loop.o -MMD -MP -MF ./.deps/tree-ssa-loop.TPo ../../src/gcc/tree-ssa-loop.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-math-opts.o -MT tree-ssa-math-opts.o -MMD -MP -MF ./.deps/tree-ssa-math-opts.TPo ../../src/gcc/tree-ssa-math-opts.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-operands.o -MT tree-ssa-operands.o -MMD -MP -MF ./.deps/tree-ssa-operands.TPo ../../src/gcc/tree-ssa-operands.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-phiopt.o -MT tree-ssa-phiopt.o -MMD -MP -MF ./.deps/tree-ssa-phiopt.TPo ../../src/gcc/tree-ssa-phiopt.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-phiprop.o -MT tree-ssa-phiprop.o -MMD -MP -MF ./.deps/tree-ssa-phiprop.TPo ../../src/gcc/tree-ssa-phiprop.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-pre.o -MT tree-ssa-pre.o -MMD -MP -MF ./.deps/tree-ssa-pre.TPo ../../src/gcc/tree-ssa-pre.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-propagate.o -MT tree-ssa-propagate.o -MMD -MP -MF ./.deps/tree-ssa-propagate.TPo ../../src/gcc/tree-ssa-propagate.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-reassoc.o -MT tree-ssa-reassoc.o -MMD -MP -MF ./.deps/tree-ssa-reassoc.TPo ../../src/gcc/tree-ssa-reassoc.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-sccvn.o -MT tree-ssa-sccvn.o -MMD -MP -MF ./.deps/tree-ssa-sccvn.TPo ../../src/gcc/tree-ssa-sccvn.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-scopedtables.o -MT tree-ssa-scopedtables.o -MMD -MP -MF ./.deps/tree-ssa-scopedtables.TPo ../../src/gcc/tree-ssa-scopedtables.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-sink.o -MT tree-ssa-sink.o -MMD -MP -MF ./.deps/tree-ssa-sink.TPo ../../src/gcc/tree-ssa-sink.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-strlen.o -MT tree-ssa-strlen.o -MMD -MP -MF ./.deps/tree-ssa-strlen.TPo ../../src/gcc/tree-ssa-strlen.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-structalias.o -MT tree-ssa-structalias.o -MMD -MP -MF ./.deps/tree-ssa-structalias.TPo ../../src/gcc/tree-ssa-structalias.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-tail-merge.o -MT tree-ssa-tail-merge.o -MMD -MP -MF ./.deps/tree-ssa-tail-merge.TPo ../../src/gcc/tree-ssa-tail-merge.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-ter.o -MT tree-ssa-ter.o -MMD -MP -MF ./.deps/tree-ssa-ter.TPo ../../src/gcc/tree-ssa-ter.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-threadbackward.o -MT tree-ssa-threadbackward.o -MMD -MP -MF ./.deps/tree-ssa-threadbackward.TPo ../../src/gcc/tree-ssa-threadbackward.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-threadedge.o -MT tree-ssa-threadedge.o -MMD -MP -MF ./.deps/tree-ssa-threadedge.TPo ../../src/gcc/tree-ssa-threadedge.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-threadupdate.o -MT tree-ssa-threadupdate.o -MMD -MP -MF ./.deps/tree-ssa-threadupdate.TPo ../../src/gcc/tree-ssa-threadupdate.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-uncprop.o -MT tree-ssa-uncprop.o -MMD -MP -MF ./.deps/tree-ssa-uncprop.TPo ../../src/gcc/tree-ssa-uncprop.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-uninit.o -MT tree-ssa-uninit.o -MMD -MP -MF ./.deps/tree-ssa-uninit.TPo ../../src/gcc/tree-ssa-uninit.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa.o -MT tree-ssa.o -MMD -MP -MF ./.deps/tree-ssa.TPo ../../src/gcc/tree-ssa.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssanames.o -MT tree-ssanames.o -MMD -MP -MF ./.deps/tree-ssanames.TPo ../../src/gcc/tree-ssanames.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-stdarg.o -MT tree-stdarg.o -MMD -MP -MF ./.deps/tree-stdarg.TPo ../../src/gcc/tree-stdarg.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-streamer.o -MT tree-streamer.o -MMD -MP -MF ./.deps/tree-streamer.TPo ../../src/gcc/tree-streamer.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-streamer-in.o -MT tree-streamer-in.o -MMD -MP -MF ./.deps/tree-streamer-in.TPo ../../src/gcc/tree-streamer-in.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-streamer-out.o -MT tree-streamer-out.o -MMD -MP -MF ./.deps/tree-streamer-out.TPo ../../src/gcc/tree-streamer-out.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-tailcall.o -MT tree-tailcall.o -MMD -MP -MF ./.deps/tree-tailcall.TPo ../../src/gcc/tree-tailcall.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-generic.o -MT tree-vect-generic.o -MMD -MP -MF ./.deps/tree-vect-generic.TPo ../../src/gcc/tree-vect-generic.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-patterns.o -MT tree-vect-patterns.o -MMD -MP -MF ./.deps/tree-vect-patterns.TPo ../../src/gcc/tree-vect-patterns.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-data-refs.o -MT tree-vect-data-refs.o -MMD -MP -MF ./.deps/tree-vect-data-refs.TPo ../../src/gcc/tree-vect-data-refs.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-stmts.o -MT tree-vect-stmts.o -MMD -MP -MF ./.deps/tree-vect-stmts.TPo ../../src/gcc/tree-vect-stmts.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-loop.o -MT tree-vect-loop.o -MMD -MP -MF ./.deps/tree-vect-loop.TPo ../../src/gcc/tree-vect-loop.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-loop-manip.o -MT tree-vect-loop-manip.o -MMD -MP -MF ./.deps/tree-vect-loop-manip.TPo ../../src/gcc/tree-vect-loop-manip.c ../../src/gcc/tree-vect-stmts.c: In function ‘bool vectorizable_store(stmt_vec_info, gimple_stmt_iterator*, _stmt_vec_info**, slp_tree, stmt_vector_for_cost*)’: ../../src/gcc/tree-vect-stmts.c:6695:29: warning: ‘vec_mask’ may be used uninitialized in this function [-Wmaybe-uninitialized] 6695 | = gimple_build_assign (var, (j & 1) ? VEC_UNPACK_HI_EXPR | ~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 6696 | : VEC_UNPACK_LO_EXPR, | ~~~~~~~~~~~~~~~~~~~~~ 6697 | mask_op); | ~~~~~~~~ g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-slp.o -MT tree-vect-slp.o -MMD -MP -MF ./.deps/tree-vect-slp.TPo ../../src/gcc/tree-vect-slp.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vectorizer.o -MT tree-vectorizer.o -MMD -MP -MF ./.deps/tree-vectorizer.TPo ../../src/gcc/tree-vectorizer.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vector-builder.o -MT tree-vector-builder.o -MMD -MP -MF ./.deps/tree-vector-builder.TPo ../../src/gcc/tree-vector-builder.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vrp.o -MT tree-vrp.o -MMD -MP -MF ./.deps/tree-vrp.TPo ../../src/gcc/tree-vrp.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree.o -MT tree.o -MMD -MP -MF ./.deps/tree.TPo ../../src/gcc/tree.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o typed-splay-tree.o -MT typed-splay-tree.o -MMD -MP -MF ./.deps/typed-splay-tree.TPo ../../src/gcc/typed-splay-tree.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o unique-ptr-tests.o -MT unique-ptr-tests.o -MMD -MP -MF ./.deps/unique-ptr-tests.TPo ../../src/gcc/unique-ptr-tests.cc g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o valtrack.o -MT valtrack.o -MMD -MP -MF ./.deps/valtrack.TPo ../../src/gcc/valtrack.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o value-prof.o -MT value-prof.o -MMD -MP -MF ./.deps/value-prof.TPo ../../src/gcc/value-prof.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o var-tracking.o -MT var-tracking.o -MMD -MP -MF ./.deps/var-tracking.TPo ../../src/gcc/var-tracking.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o varasm.o -MT varasm.o -MMD -MP -MF ./.deps/varasm.TPo ../../src/gcc/varasm.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o varpool.o -MT varpool.o -MMD -MP -MF ./.deps/varpool.TPo ../../src/gcc/varpool.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o vec-perm-indices.o -MT vec-perm-indices.o -MMD -MP -MF ./.deps/vec-perm-indices.TPo ../../src/gcc/vec-perm-indices.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o vmsdbgout.o -MT vmsdbgout.o -MMD -MP -MF ./.deps/vmsdbgout.TPo ../../src/gcc/vmsdbgout.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o vr-values.o -MT vr-values.o -MMD -MP -MF ./.deps/vr-values.TPo ../../src/gcc/vr-values.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o vtable-verify.o -MT vtable-verify.o -MMD -MP -MF ./.deps/vtable-verify.TPo ../../src/gcc/vtable-verify.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o web.o -MT web.o -MMD -MP -MF ./.deps/web.TPo ../../src/gcc/web.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o wide-int.o -MT wide-int.o -MMD -MP -MF ./.deps/wide-int.TPo ../../src/gcc/wide-int.cc g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o wide-int-print.o -MT wide-int-print.o -MMD -MP -MF ./.deps/wide-int-print.TPo ../../src/gcc/wide-int-print.cc g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o wide-int-range.o -MT wide-int-range.o -MMD -MP -MF ./.deps/wide-int-range.TPo ../../src/gcc/wide-int-range.cc g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o xcoffout.o -MT xcoffout.o -MMD -MP -MF ./.deps/xcoffout.TPo ../../src/gcc/xcoffout.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rs6000.o -MT rs6000.o -MMD -MP -MF ./.deps/rs6000.TPo ../../src/gcc/config/rs6000/rs6000.c ../../src/gcc/config/rs6000/rs6000.c: In function ‘bool rs6000_option_override_internal(bool)’: ../../src/gcc/config/rs6000/rs6000.c:4027:19: warning: format not a string literal and no format arguments [-Wformat-security] 4027 | warning (0, msg); | ^ g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rs6000-string.o -MT rs6000-string.o -MMD -MP -MF ./.deps/rs6000-string.TPo ../../src/gcc/config/rs6000/rs6000-string.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rs6000-p8swap.o -MT rs6000-p8swap.o -MMD -MP -MF ./.deps/rs6000-p8swap.TPo ../../src/gcc/config/rs6000/rs6000-p8swap.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o linux.o -MT linux.o -MMD -MP -MF ./.deps/linux.TPo ../../src/gcc/config/linux.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rs6000-linux.o -MT rs6000-linux.o -MMD -MP -MF ./.deps/rs6000-linux.TPo ../../src/gcc/config/rs6000/rs6000-linux.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o host-linux.o -MT host-linux.o -MMD -MP -MF ./.deps/host-linux.TPo ../../src/gcc/config/host-linux.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o main.o -MT main.o -MMD -MP -MF ./.deps/main.TPo ../../src/gcc/main.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rs6000-common.o -MT rs6000-common.o -MMD -MP -MF ./.deps/rs6000-common.TPo ../../src/gcc/common/config/rs6000/rs6000-common.c g++ -fno-PIE -c -DPREFIX=\"/usr/lib/ghdl/gcc\" -DBASEVER="\"9.3.0\"" -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o prefix.o -MT prefix.o -MMD -MP -MF ./.deps/prefix.TPo ../../src/gcc/prefix.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o params.o -MT params.o -MMD -MP -MF ./.deps/params.TPo ../../src/gcc/params.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o opts.o -MT opts.o -MMD -MP -MF ./.deps/opts.TPo ../../src/gcc/opts.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o opts-common.o -MT opts-common.o -MMD -MP -MF ./.deps/opts-common.TPo ../../src/gcc/opts-common.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o options.o -MT options.o -MMD -MP -MF ./.deps/options.TPo options.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o vec.o -MT vec.o -MMD -MP -MF ./.deps/vec.TPo ../../src/gcc/vec.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o hooks.o -MT hooks.o -MMD -MP -MF ./.deps/hooks.TPo ../../src/gcc/hooks.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Icommon -I../../src/gcc -I../../src/gcc/common -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o common/common-targhooks.o -MT common/common-targhooks.o -MMD -MP -MF common/.deps/common-targhooks.TPo ../../src/gcc/common/common-targhooks.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o hash-table.o -MT hash-table.o -MMD -MP -MF ./.deps/hash-table.TPo ../../src/gcc/hash-table.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o file-find.o -MT file-find.o -MMD -MP -MF ./.deps/file-find.TPo ../../src/gcc/file-find.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o selftest.o -MT selftest.o -MMD -MP -MF ./.deps/selftest.TPo ../../src/gcc/selftest.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o opt-suggestions.o -MT opt-suggestions.o -MMD -MP -MF ./.deps/opt-suggestions.TPo ../../src/gcc/opt-suggestions.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o diagnostic.o -MT diagnostic.o -MMD -MP -MF ./.deps/diagnostic.TPo ../../src/gcc/diagnostic.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o diagnostic-color.o -MT diagnostic-color.o -MMD -MP -MF ./.deps/diagnostic-color.TPo ../../src/gcc/diagnostic-color.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o diagnostic-show-locus.o -MT diagnostic-show-locus.o -MMD -MP -MF ./.deps/diagnostic-show-locus.TPo ../../src/gcc/diagnostic-show-locus.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o diagnostic-format-json.o -MT diagnostic-format-json.o -MMD -MP -MF ./.deps/diagnostic-format-json.TPo ../../src/gcc/diagnostic-format-json.cc g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o json.o -MT json.o -MMD -MP -MF ./.deps/json.TPo ../../src/gcc/json.cc g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o edit-context.o -MT edit-context.o -MMD -MP -MF ./.deps/edit-context.TPo ../../src/gcc/edit-context.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o pretty-print.o -MT pretty-print.o -MMD -MP -MF ./.deps/pretty-print.TPo ../../src/gcc/pretty-print.c g++ -fno-PIE -c -DLOCALEDIR=\"/usr/lib/ghdl/gcc/share/locale\" -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o intl.o -MT intl.o -MMD -MP -MF ./.deps/intl.TPo ../../src/gcc/intl.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sbitmap.o -MT sbitmap.o -MMD -MP -MF ./.deps/sbitmap.TPo ../../src/gcc/sbitmap.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o input.o -MT input.o -MMD -MP -MF ./.deps/input.TPo ../../src/gcc/input.c g++ -fno-PIE -c -DBASEVER="\"9.3.0\"" -DDATESTAMP="\"\"" -DREVISION="\"\"" -DDEVPHASE="\"\"" -DPKGVERSION="\"(GCC) \"" -DBUGURL="\"\"" -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o version.o -MT version.o -MMD -MP -MF ./.deps/version.TPo ../../src/gcc/version.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ggc-none.o -MT ggc-none.o -MMD -MP -MF ./.deps/ggc-none.TPo ../../src/gcc/ggc-none.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o memory-block.o -MT memory-block.o -MMD -MP -MF ./.deps/memory-block.TPo ../../src/gcc/memory-block.cc g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o selftest-diagnostic.o -MT selftest-diagnostic.o -MMD -MP -MF ./.deps/selftest-diagnostic.TPo ../../src/gcc/selftest-diagnostic.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sort.o -MT sort.o -MMD -MP -MF ./.deps/sort.TPo ../../src/gcc/sort.cc g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -I. -Ivhdl -I../../src/gcc -I../../src/gcc/vhdl -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o vhdl/ortho-lang.o -MT vhdl/ortho-lang.o -MMD -MP -MF vhdl/.deps/ortho-lang.TPo ../../src/gcc/vhdl/ortho-lang.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcov.o -MT gcov.o -MMD -MP -MF ./.deps/gcov.TPo ../../src/gcc/gcov.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcov-dump.o -MT gcov-dump.o -MMD -MP -MF ./.deps/gcov-dump.TPo ../../src/gcc/gcov-dump.c ../../src/gcc/vhdl/ortho-lang.c: In function ‘tree_node* convert(tree, tree)’: ../../src/gcc/vhdl/ortho-lang.c:631:31: warning: format not a string literal and no format arguments [-Wformat-security] 631 | error (invalid_conv_diag); | ^ g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcov-tool.o -MT gcov-tool.o -MMD -MP -MF ./.deps/gcov-tool.TPo ../../src/gcc/gcov-tool.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-error -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -o libgcov-util.o ../../src/gcc/../libgcc/libgcov-util.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-error -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace \ -DIN_GCOV_TOOL=1 -o libgcov-driver-tool.o ../../src/gcc/../libgcc/libgcov-driver.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-error -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace \ -DIN_GCOV_TOOL=1 -o libgcov-merge-tool.o ../../src/gcc/../libgcc/libgcov-merge.c g++ -fno-PIE -c -DTARGET_MACHINE=\"powerpc64le-unknown-linux-gnu\" -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o collect2.o -MT collect2.o -MMD -MP -MF ./.deps/collect2.TPo ../../src/gcc/collect2.c ../../src/gcc/collect2.c: In function ‘void scan_prog_file(const char*, scanpass, scanfilter)’: ../../src/gcc/collect2.c:2470:37: warning: format not a string literal and no format arguments [-Wformat-security] 2470 | fatal_error (input_location, errmsg); | ^ g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o collect2-aix.o -MT collect2-aix.o -MMD -MP -MF ./.deps/collect2-aix.TPo ../../src/gcc/collect2-aix.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tlink.o -MT tlink.o -MMD -MP -MF ./.deps/tlink.TPo ../../src/gcc/tlink.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o collect-utils.o -MT collect-utils.o -MMD -MP -MF ./.deps/collect-utils.TPo ../../src/gcc/collect-utils.c ../../src/gcc/collect-utils.c: In function ‘pex_obj* collect_execute(const char*, char**, const char*, const char*, int, bool)’: ../../src/gcc/collect-utils.c:195:37: warning: format not a string literal and no format arguments [-Wformat-security] 195 | fatal_error (input_location, errmsg); | ^ g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-wrapper.o -MT lto-wrapper.o -MMD -MP -MF ./.deps/lto-wrapper.TPo ../../src/gcc/lto-wrapper.c g++ -fno-PIE -c -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/libexec/gcc/\" -DDEFAULT_TARGET_VERSION=\"9.3.0\" -DDEFAULT_REAL_TARGET_MACHINE=\"powerpc64le-unknown-linux-gnu\" -DDEFAULT_TARGET_MACHINE=\"powerpc64le-unknown-linux-gnu\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\" -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-unknown-linux-gnu/9.3.0/../../../../powerpc64le-unknown-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DTARGET_MACHINE=\"powerpc64le-unknown-linux-gnu\" -DPERSONALITY=\"ar\" -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcc-ar.o -MT gcc-ar.o -MMD -MP -MF ./.deps/gcc-ar.TPo ../../src/gcc/gcc-ar.c ../../src/gcc/lto-wrapper.c: In function ‘bool find_and_merge_options(int, off_t, const char*, cl_decoded_option**, unsigned int*, const char*)’: ../../src/gcc/lto-wrapper.c:1022:8: warning: ignoring return value of ‘ssize_t read(int, void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] 1022 | read (fd, data, length); | ~~~~~^~~~~~~~~~~~~~~~~~ g++ -fno-PIE -c -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/libexec/gcc/\" -DDEFAULT_TARGET_VERSION=\"9.3.0\" -DDEFAULT_REAL_TARGET_MACHINE=\"powerpc64le-unknown-linux-gnu\" -DDEFAULT_TARGET_MACHINE=\"powerpc64le-unknown-linux-gnu\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\" -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-unknown-linux-gnu/9.3.0/../../../../powerpc64le-unknown-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DTARGET_MACHINE=\"powerpc64le-unknown-linux-gnu\" -DPERSONALITY=\"nm\" -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcc-nm.o -MT gcc-nm.o -MMD -MP -MF ./.deps/gcc-nm.TPo gcc-nm.c g++ -fno-PIE -c -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/libexec/gcc/\" -DDEFAULT_TARGET_VERSION=\"9.3.0\" -DDEFAULT_REAL_TARGET_MACHINE=\"powerpc64le-unknown-linux-gnu\" -DDEFAULT_TARGET_MACHINE=\"powerpc64le-unknown-linux-gnu\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\" -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-unknown-linux-gnu/9.3.0/../../../../powerpc64le-unknown-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DTARGET_MACHINE=\"powerpc64le-unknown-linux-gnu\" -DPERSONALITY=\"ranlib\" -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcc-ranlib.o -MT gcc-ranlib.o -MMD -MP -MF ./.deps/gcc-ranlib.TPo gcc-ranlib.c g++ -fno-PIE -c -DHOST_GENERATOR_FILE -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gengtype.o -MT gengtype.o -MMD -MP -MF ./.deps/gengtype.TPo ../../src/gcc/gengtype.c g++ -fno-PIE -c -DHOST_GENERATOR_FILE -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-error -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gengtype-lex.o -MT gengtype-lex.o -MMD -MP -MF ./.deps/gengtype-lex.TPo ../../src/gcc/gengtype-lex.c /d/gcc-9.3.0/gcc-9.3.0/gcc/gengtype-lex.l: In function ‘int yylex(const char**)’: gengtype-lex.c:365:15: warning: this statement may fall through [-Wimplicit-fallthrough=] /d/gcc-9.3.0/gcc-9.3.0/gcc/gengtype-lex.l:118:1: note: in expansion of macro ‘YY_DO_BEFORE_ACTION’ /d/gcc-9.3.0/gcc-9.3.0/gcc/gengtype-lex.l:113:1: note: here gengtype-lex.c:365:15: warning: this statement may fall through [-Wimplicit-fallthrough=] /d/gcc-9.3.0/gcc-9.3.0/gcc/gengtype-lex.l:135:1: note: in expansion of macro ‘YY_DO_BEFORE_ACTION’ /d/gcc-9.3.0/gcc-9.3.0/gcc/gengtype-lex.l:131:1: note: here g++ -fno-PIE -c -DHOST_GENERATOR_FILE -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gengtype-parse.o -MT gengtype-parse.o -MMD -MP -MF ./.deps/gengtype-parse.TPo ../../src/gcc/gengtype-parse.c g++ -fno-PIE -c -DHOST_GENERATOR_FILE -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gengtype-state.o -MT gengtype-state.o -MMD -MP -MF ./.deps/gengtype-state.TPo ../../src/gcc/gengtype-state.c g++ -fno-PIE -c -DHOST_GENERATOR_FILE -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o errors.o -MT errors.o -MMD -MP -MF ./.deps/errors.TPo ../../src/gcc/errors.c g++ -fno-PIE -c -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/libexec/gcc/\" -DDEFAULT_TARGET_VERSION=\"9.3.0\" -DDEFAULT_REAL_TARGET_MACHINE=\"powerpc64le-unknown-linux-gnu\" -DDEFAULT_TARGET_MACHINE=\"powerpc64le-unknown-linux-gnu\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\" -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-unknown-linux-gnu/9.3.0/../../../../powerpc64le-unknown-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DBASEVER="\"9.3.0\"" -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcc.o -MT gcc.o -MMD -MP -MF ./.deps/gcc.TPo ../../src/gcc/gcc.c ../../src/gcc/gcc.c:32:21: warning: "/*" within comment [-Wcomment] 32 | gcc/config//*.h instead? */ | ../../src/gcc/gcc.c: In function ‘long unsigned int get_random_number()’: ../../src/gcc/gcc.c:9922:12: warning: ignoring return value of ‘ssize_t read(int, void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] 9922 | read (fd, &ret, sizeof (HOST_WIDE_INT)); | ~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../src/gcc/gcc.c: In function ‘void do_report_bug(const char**, int, char**, char**)’: ../../src/gcc/gcc.c:7210:9: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] 7210 | write (fd, "\n//", 3); | ~~~~~~^~~~~~~~~~~~~~~ ../../src/gcc/gcc.c:7213:13: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] 7213 | write (fd, " ", 1); | ~~~~~~^~~~~~~~~~~~ ../../src/gcc/gcc.c:7214:13: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] 7214 | write (fd, new_argv[i], strlen (new_argv[i])); | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../src/gcc/gcc.c:7216:9: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] 7216 | write (fd, "\n\n", 2); | ~~~~~~^~~~~~~~~~~~~~~ ../../src/gcc/gcc.c:7236:10: warning: ignoring return value of ‘int system(const char*)’, declared with attribute warn_unused_result [-Wunused-result] 7236 | system(cmd); | ~~~~~~^~~~~ ../../src/gcc/gcc.c:7249:11: warning: ignoring return value of ‘int system(const char*)’, declared with attribute warn_unused_result [-Wunused-result] 7249 | system (cmd); | ~~~~~~~^~~~~ g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcc-main.o -MT gcc-main.o -MMD -MP -MF ./.deps/gcc-main.TPo ../../src/gcc/gcc-main.c g++ -fno-PIE -c -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/libexec/gcc/\" -DDEFAULT_TARGET_VERSION=\"9.3.0\" -DDEFAULT_REAL_TARGET_MACHINE=\"powerpc64le-unknown-linux-gnu\" -DDEFAULT_TARGET_MACHINE=\"powerpc64le-unknown-linux-gnu\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\" -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-unknown-linux-gnu/9.3.0/../../../../powerpc64le-unknown-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DIN_GCC_FRONTEND -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/gccspec.o -MT c/gccspec.o -MMD -MP -MF c/.deps/gccspec.TPo ../../src/gcc/c/gccspec.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace ../../src/gcc/config/rs6000/driver-rs6000.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/cppspec.o -MT c-family/cppspec.o -MMD -MP -MF c-family/.deps/cppspec.TPo ../../src/gcc/c-family/cppspec.c build/genmatch --gimple ../../src/gcc/match.pd \ > tmp-gimple-match.c GIMPLE decision tree has 2874 leafs, maximum depth 12 and a total number of 11721 nodes removed 1855 duplicate tails build/genmatch --generic ../../src/gcc/match.pd \ > tmp-generic-match.c GENERIC decision tree has 2760 leafs, maximum depth 12 and a total number of 11180 nodes removed 1757 duplicate tails /bin/bash ../../src/gcc/../move-if-change tmp-gimple-match.c \ gimple-match.c /bin/bash ../../src/gcc/../move-if-change tmp-generic-match.c \ generic-match.c echo timestamp > s-match g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-attrtab.o -MT insn-attrtab.o -MMD -MP -MF ./.deps/insn-attrtab.TPo insn-attrtab.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-automata.o -MT insn-automata.o -MMD -MP -MF ./.deps/insn-automata.TPo insn-automata.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-dfatab.o -MT insn-dfatab.o -MMD -MP -MF ./.deps/insn-dfatab.TPo insn-dfatab.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-latencytab.o -MT insn-latencytab.o -MMD -MP -MF ./.deps/insn-latencytab.TPo insn-latencytab.c rm -rf libcommon-target.a ar rc libcommon-target.a rs6000-common.o prefix.o params.o opts.o opts-common.o options.o vec.o hooks.o common/common-targhooks.o hash-table.o file-find.o spellcheck.o selftest.o opt-suggestions.o ranlib libcommon-target.a rm -rf libcommon.a ar rc libcommon.a diagnostic.o diagnostic-color.o diagnostic-show-locus.o diagnostic-format-json.o json.o edit-context.o pretty-print.o intl.o sbitmap.o vec.o input.o version.o hash-table.o ggc-none.o memory-block.o selftest.o selftest-diagnostic.o sort.o ranlib libcommon.a g++ -no-pie -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now gcov.o json.o \ hash-table.o ggc-none.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lz -o gcov g++ -no-pie -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now gcov-dump.o \ hash-table.o ggc-none.o\ libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -o gcov-dump g++ -no-pie -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now gcov-tool.o libgcov-util.o libgcov-driver-tool.o libgcov-merge-tool.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -o gcov-tool g++ -no-pie -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -o Tcollect2 \ collect2.o collect2-aix.o tlink.o vec.o ggc-none.o collect-utils.o file-find.o hash-table.o selftest.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a mv -f Tcollect2 collect2 g++ -no-pie -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -o Tlto-wrapper \ lto-wrapper.o collect-utils.o ggc-none.o libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a mv -f Tlto-wrapper lto-wrapper g++ -no-pie -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now gcc-ar.o -o gcc-ar \ file-find.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a g++ -no-pie -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now gcc-nm.o -o gcc-nm \ file-find.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a g++ -no-pie -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now gcc-ranlib.o -o gcc-ranlib \ file-find.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a g++ -no-pie -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -o gengtype \ gengtype.o gengtype-lex.o gengtype-parse.o gengtype-state.o version.o errors.o libcommon.a ../libcpp/libcpp.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a ../libbacktrace/.libs/libbacktrace.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a g++ -no-pie -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -o xgcc gcc.o gcc-main.o ggc-none.o \ c/gccspec.o driver-rs6000.o libcommon-target.a \ libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a g++ -no-pie -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -o cpp gcc.o gcc-main.o ggc-none.o \ c-family/cppspec.o driver-rs6000.o libcommon-target.a \ libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -dumpspecs > tmp-specs mv tmp-specs specs g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-unused -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-match.o -MT gimple-match.o -MMD -MP -MF ./.deps/gimple-match.TPo gimple-match.c g++ -fno-PIE -c -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-unused -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o generic-match.o -MT generic-match.o -MMD -MP -MF ./.deps/generic-match.TPo generic-match.c : > tmp-libgcc.mvars echo GCC_CFLAGS = '-O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include ' >> tmp-libgcc.mvars echo INHIBIT_LIBC_CFLAGS = '' >> tmp-libgcc.mvars echo TARGET_SYSTEM_ROOT = '' >> tmp-libgcc.mvars if test yes = yes; then \ NO_PIE_CFLAGS="-fno-PIE"; \ else \ NO_PIE_CFLAGS=; \ fi; \ echo NO_PIE_CFLAGS = "$NO_PIE_CFLAGS" >> tmp-libgcc.mvars mv tmp-libgcc.mvars libgcc.mvars if /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -print-sysroot-headers-suffix > /dev/null 2>&1; then \ set -e; for ml in `/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -print-multi-lib`; do \ multi_dir=`echo ${ml} | sed -e 's/;.*$//'`; \ flags=`echo ${ml} | sed -e 's/^[^;]*;//' -e 's/@/ -/g'`; \ sfx=`/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ ${flags} -print-sysroot-headers-suffix`; \ if [ "${multi_dir}" = "." ]; \ then multi_dir=""; \ else \ multi_dir=/${multi_dir}; \ fi; \ echo "${sfx};${multi_dir}"; \ done; \ else \ echo ";"; \ fi > tmp-fixinc_list /bin/bash ../../src/gcc/../move-if-change tmp-fixinc_list fixinc_list echo timestamp > s-fixinc_list rm -rf libbackend.a ar rcT libbackend.a gimple-match.o generic-match.o insn-attrtab.o insn-automata.o insn-dfatab.o insn-emit.o insn-extract.o insn-latencytab.o insn-modes.o insn-opinit.o insn-output.o insn-peep.o insn-preds.o insn-recog.o insn-enums.o ggc-page.o alias.o alloc-pool.o auto-inc-dec.o auto-profile.o bb-reorder.o bitmap.o bt-load.o builtins.o caller-save.o calls.o ccmp.o cfg.o cfganal.o cfgbuild.o cfgcleanup.o cfgexpand.o cfghooks.o cfgloop.o cfgloopanal.o cfgloopmanip.o cfgrtl.o symtab.o cgraph.o cgraphbuild.o cgraphunit.o cgraphclones.o combine.o combine-stack-adj.o compare-elim.o context.o convert.o coverage.o cppbuiltin.o cppdefault.o cprop.o cse.o cselib.o data-streamer.o data-streamer-in.o data-streamer-out.o dbxout.o dbgcnt.o dce.o ddg.o debug.o df-core.o df-problems.o df-scan.o dfp.o dojump.o dominance.o domwalk.o double-int.o dse.o dumpfile.o dwarf2asm.o dwarf2cfi.o dwarf2out.o early-remat.o emit-rtl.o et-forest.o except.o explow.o expmed.o expr.o fibonacci_heap.o file-prefix-map.o final.o fixed-value.o fold-const.o fold-const-call.o function.o function-tests.o fwprop.o gcc-rich-location.o gcse.o gcse-common.o ggc-common.o ggc-tests.o gimple.o gimple-builder.o gimple-expr.o gimple-iterator.o gimple-fold.o gimple-laddress.o gimple-loop-interchange.o gimple-loop-jam.o gimple-loop-versioning.o gimple-low.o gimple-pretty-print.o gimple-ssa-backprop.o gimple-ssa-evrp.o gimple-ssa-evrp-analyze.o gimple-ssa-isolate-paths.o gimple-ssa-nonnull-compare.o gimple-ssa-split-paths.o gimple-ssa-store-merging.o gimple-ssa-strength-reduction.o gimple-ssa-sprintf.o gimple-ssa-warn-alloca.o gimple-ssa-warn-restrict.o gimple-streamer-in.o gimple-streamer-out.o gimple-walk.o gimplify.o gimplify-me.o godump.o graph.o graphds.o graphite.o graphite-isl-ast-to-gimple.o graphite-dependences.o graphite-optimize-isl.o graphite-poly.o graphite-scop-detection.o graphite-sese-to-poly.o gtype-desc.o haifa-sched.o hash-map-tests.o hash-set-tests.o hsa-common.o hsa-gen.o hsa-regalloc.o hsa-brig.o hsa-dump.o hw-doloop.o hwint.o ifcvt.o ree.o inchash.o incpath.o init-regs.o internal-fn.o ipa-cp.o ipa-devirt.o ipa-fnsummary.o ipa-polymorphic-call.o ipa-split.o ipa-inline.o ipa-comdats.o ipa-visibility.o ipa-inline-analysis.o ipa-inline-transform.o ipa-predicate.o ipa-profile.o ipa-prop.o ipa-param-manipulation.o ipa-pure-const.o ipa-icf.o ipa-icf-gimple.o ipa-reference.o ipa-hsa.o ipa-ref.o ipa-utils.o ipa.o ira.o ira-build.o ira-costs.o ira-conflicts.o ira-color.o ira-emit.o ira-lives.o jump.o langhooks.o lcm.o lists.o loop-doloop.o loop-init.o loop-invariant.o loop-iv.o loop-unroll.o lower-subreg.o lra.o lra-assigns.o lra-coalesce.o lra-constraints.o lra-eliminations.o lra-lives.o lra-remat.o lra-spills.o lto-cgraph.o lto-streamer.o lto-streamer-in.o lto-streamer-out.o lto-section-in.o lto-section-out.o lto-opts.o lto-compress.o mcf.o mode-switching.o modulo-sched.o multiple_target.o omp-offload.o omp-expand.o omp-general.o omp-grid.o omp-low.o omp-simd-clone.o opt-problem.o optabs.o optabs-libfuncs.o optabs-query.o optabs-tree.o optinfo.o optinfo-emit-json.o options-save.o opts-global.o passes.o plugin.o postreload-gcse.o postreload.o predict.o print-rtl.o print-rtl-function.o print-tree.o profile.o profile-count.o read-md.o read-rtl.o read-rtl-function.o real.o realmpfr.o recog.o reg-stack.o regcprop.o reginfo.o regrename.o regstat.o reload.o reload1.o reorg.o resource.o rtl-error.o rtl-tests.o rtl.o rtlhash.o rtlanal.o rtlhooks.o rtx-vector-builder.o run-rtl-passes.o sched-deps.o sched-ebb.o sched-rgn.o sel-sched-ir.o sel-sched-dump.o sel-sched.o selftest-rtl.o selftest-run-tests.o sese.o shrink-wrap.o simplify-rtx.o sparseset.o spellcheck.o spellcheck-tree.o sreal.o stack-ptr-mod.o statistics.o stmt.o stor-layout.o store-motion.o streamer-hooks.o stringpool.o substring-locations.o target-globals.o targhooks.o timevar.o toplev.o tracer.o trans-mem.o tree-affine.o asan.o tsan.o ubsan.o sanopt.o sancov.o tree-call-cdce.o tree-cfg.o tree-cfgcleanup.o tree-chrec.o tree-complex.o tree-data-ref.o tree-dfa.o tree-diagnostic.o tree-dump.o tree-eh.o tree-emutls.o tree-if-conv.o tree-inline.o tree-into-ssa.o tree-iterator.o tree-loop-distribution.o tree-nested.o tree-nrv.o tree-object-size.o tree-outof-ssa.o tree-parloops.o tree-phinodes.o tree-predcom.o tree-pretty-print.o tree-profile.o tree-scalar-evolution.o tree-sra.o tree-switch-conversion.o tree-ssa-address.o tree-ssa-alias.o tree-ssa-ccp.o tree-ssa-coalesce.o tree-ssa-copy.o tree-ssa-dce.o tree-ssa-dom.o tree-ssa-dse.o tree-ssa-forwprop.o tree-ssa-ifcombine.o tree-ssa-live.o tree-ssa-loop-ch.o tree-ssa-loop-im.o tree-ssa-loop-ivcanon.o tree-ssa-loop-ivopts.o tree-ssa-loop-manip.o tree-ssa-loop-niter.o tree-ssa-loop-prefetch.o tree-ssa-loop-split.o tree-ssa-loop-unswitch.o tree-ssa-loop.o tree-ssa-math-opts.o tree-ssa-operands.o tree-ssa-phiopt.o tree-ssa-phiprop.o tree-ssa-pre.o tree-ssa-propagate.o tree-ssa-reassoc.o tree-ssa-sccvn.o tree-ssa-scopedtables.o tree-ssa-sink.o tree-ssa-strlen.o tree-ssa-structalias.o tree-ssa-tail-merge.o tree-ssa-ter.o tree-ssa-threadbackward.o tree-ssa-threadedge.o tree-ssa-threadupdate.o tree-ssa-uncprop.o tree-ssa-uninit.o tree-ssa.o tree-ssanames.o tree-stdarg.o tree-streamer.o tree-streamer-in.o tree-streamer-out.o tree-tailcall.o tree-vect-generic.o tree-vect-patterns.o tree-vect-data-refs.o tree-vect-stmts.o tree-vect-loop.o tree-vect-loop-manip.o tree-vect-slp.o tree-vectorizer.o tree-vector-builder.o tree-vrp.o tree.o typed-splay-tree.o unique-ptr-tests.o valtrack.o value-prof.o var-tracking.o varasm.o varpool.o vec-perm-indices.o vmsdbgout.o vr-values.o vtable-verify.o web.o wide-int.o wide-int-print.o wide-int-range.o xcoffout.o rs6000.o rs6000-string.o rs6000-p8swap.o linux.o rs6000-linux.o host-linux.o if [ -f ../stage_final ] \ && cmp -s ../stage_current ../stage_final; then \ cp ../prev-gcc/cc1-checksum.c cc1-checksum.c; \ else \ build/genchecksum c/c-lang.o c-family/stub-objc.o attribs.o c/c-errors.o c/c-decl.o c/c-typeck.o c/c-convert.o c/c-aux-info.o c/c-objc-common.o c/c-parser.o c/c-fold.o c/gimple-parser.o c-family/c-common.o c-family/c-cppbuiltin.o c-family/c-dump.o c-family/c-format.o c-family/c-gimplify.o c-family/c-indentation.o c-family/c-lex.o c-family/c-omp.o c-family/c-opts.o c-family/c-pch.o c-family/c-ppoutput.o c-family/c-pragma.o c-family/c-pretty-print.o c-family/c-semantics.o c-family/c-ada-spec.o c-family/c-ubsan.o c-family/known-headers.o c-family/c-attribs.o c-family/c-warn.o c-family/c-spellcheck.o glibc-c.o rs6000-c.o libbackend.a main.o libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a ../libbacktrace/.libs/libbacktrace.a \ checksum-options > cc1-checksum.c.tmp && \ ../../src/gcc/../move-if-change cc1-checksum.c.tmp cc1-checksum.c; \ fi gnatmake -c -aI../../src/gcc/vhdl --subdirs=vhdl ortho_gcc-main \ -cargs -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/ortho_gcc-main.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/ortho_gcc-main.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/ortho_gcc.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/ortho_gcc.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/ortho_gcc_front.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/ortho_gcc_front.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/ortho_ident.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/ortho_ident.adb g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cc1-checksum.o -MT cc1-checksum.o -MMD -MP -MF ./.deps/cc1-checksum.TPo cc1-checksum.c powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/ortho_front.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/ortho_front.adb g++ -no-pie -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -o cc1 c/c-lang.o c-family/stub-objc.o attribs.o c/c-errors.o c/c-decl.o c/c-typeck.o c/c-convert.o c/c-aux-info.o c/c-objc-common.o c/c-parser.o c/c-fold.o c/gimple-parser.o c-family/c-common.o c-family/c-cppbuiltin.o c-family/c-dump.o c-family/c-format.o c-family/c-gimplify.o c-family/c-indentation.o c-family/c-lex.o c-family/c-omp.o c-family/c-opts.o c-family/c-pch.o c-family/c-ppoutput.o c-family/c-pragma.o c-family/c-pretty-print.o c-family/c-semantics.o c-family/c-ada-spec.o c-family/c-ubsan.o c-family/known-headers.o c-family/c-attribs.o c-family/c-warn.o c-family/c-spellcheck.o glibc-c.o rs6000-c.o \ cc1-checksum.o libbackend.a main.o libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lmpc -lmpfr -lgmp -rdynamic -ldl -lz powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/bug.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/bug.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/dyn_interning.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/dyn_interning.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/dyn_tables.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/dyn_tables.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/errorout.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/errorout.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/errorout-console.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/errorout-console.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/flags.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/flags.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/hash.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/hash.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/interning.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/interning.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/libraries.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/libraries.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/name_table.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/name_table.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/options.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/options.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans_be.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/trans_be.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/translation.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/translation.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/types.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/types.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-configuration.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-configuration.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-errors.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-errors.adb echo | /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -E -dM - | \ sed -n -e 's/^#define \([^_][a-zA-Z0-9_]*\).*/\1/p' \ -e 's/^#define \(_[^_A-Z][a-zA-Z0-9_]*\).*/\1/p' | \ sort -u > tmp-macro_list /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -xc -nostdinc /dev/null -S -o /dev/null -fself-test=../../src/gcc/testsuite/selftests cc1: note: self-tests are not enabled in this build /bin/bash ../../src/gcc/../move-if-change tmp-macro_list macro_list echo timestamp > s-selftest-c echo timestamp > s-macro_list rm -rf include-fixed; mkdir include-fixed chmod a+rx include-fixed if [ -d ../prev-gcc ]; then \ cd ../prev-gcc && \ /usr/bin/make real-install-headers-tar DESTDIR=`pwd`/../gcc/ \ libsubdir=. ; \ else \ set -e; for ml in `cat fixinc_list`; do \ sysroot_headers_suffix=`echo ${ml} | sed -e 's/;.*$//'`; \ multi_dir=`echo ${ml} | sed -e 's/^[^;]*;//'`; \ fix_dir=include-fixed${multi_dir}; \ if ! false && test ! -d `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`; then \ echo The directory that should contain system headers does not exist: >&2 ; \ echo " `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`" >&2 ; \ tooldir_sysinc=`echo "/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-unknown-linux-gnu/9.3.0/../../../../powerpc64le-unknown-linux-gnu/sys-include" | sed -e :a -e "s,[^/]*/\.\.\/,," -e ta`; \ if test "x`echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`" = "x${tooldir_sysinc}"; \ then sleep 1; else exit 1; fi; \ fi; \ /bin/bash ../../src/gcc/../mkinstalldirs ${fix_dir}; \ chmod a+rx ${fix_dir} || true; \ (TARGET_MACHINE='powerpc64le-unknown-linux-gnu'; srcdir=`cd ../../src/gcc; ${PWDCMD-pwd}`; \ SHELL='/bin/bash'; MACRO_LIST=`${PWDCMD-pwd}`/macro_list ; \ gcc_dir=`${PWDCMD-pwd}` ; \ export TARGET_MACHINE srcdir SHELL MACRO_LIST && \ cd ../build-powerpc64le-unknown-linux-gnu/fixincludes && \ /bin/bash ./fixinc.sh "${gcc_dir}/${fix_dir}" \ `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta` ); \ rm -f ${fix_dir}/syslimits.h; \ if [ -f ${fix_dir}/limits.h ]; then \ mv ${fix_dir}/limits.h ${fix_dir}/syslimits.h; \ else \ cp ../../src/gcc/gsyslimits.h ${fix_dir}/syslimits.h; \ fi; \ chmod a+r ${fix_dir}/syslimits.h; \ done; \ fi Fixing headers into /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/include-fixed for powerpc64le-unknown-linux-gnu target Forbidden identifiers: Finding directories and links to directories Searching /usr/include/. Searching /usr/include/./clang/10/include Searching /usr/include/./clang/10.0.0/include Searching /usr/include/./llvm-c Searching /usr/include/./llvm Making symbolic directory links powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-nodes.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-nodes.adb Fixing directory /usr/include into /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/include-fixed Applying io_quotes_use to rdma/rdma_user_ioctl.h Applying io_quotes_use to video/sisfb.h Applying io_quotes_use to misc/cxl.h Applying io_quotes_use to misc/ocxl.h Applying io_quotes_use to misc/xilinx_sdfec.h Applying sun_malloc to malloc.h Applying sysv68_string to string.h Applying pthread_incomplete_struct_argument to pthread.h Applying glibc_stdint to stdint.h Applying io_quotes_use to mtd/ubi-user.h Applying io_quotes_use to linux/cciss_ioctl.h Applying io_quotes_use to linux/ipmi_bmc.h Applying io_quotes_use to linux/kvm.h Applying io_quotes_use to linux/ppdev.h Applying io_quotes_use to linux/if_pppox.h Applying io_quotes_use to linux/random.h Applying io_quotes_use to linux/dm-ioctl.h Applying io_quotes_use to linux/spi/spidev.h Applying io_quotes_use to linux/psp-sev.h Applying io_quotes_def to linux/pci_regs.h Applying io_quotes_use to linux/ptp_clock.h Applying io_quotes_use to linux/fd.h Applying io_quotes_use to linux/isst_if.h Applying io_quotes_use to linux/ndctl.h Applying io_quotes_use to linux/blkpg.h Applying io_quotes_use to linux/vhost.h Applying io_quotes_use to linux/aspeed-lpc-ctrl.h Applying io_quotes_use to linux/synclink.h Applying io_quotes_use to linux/agpgart.h Applying io_quotes_use to linux/aufs_type.h Applying io_quotes_use to linux/tee.h Applying io_quotes_use to linux/nbd.h Applying io_quotes_use to linux/suspend_ioctls.h Applying io_quotes_use to linux/hsi/hsi_char.h Applying io_quotes_use to linux/hsi/cs-protocol.h Applying io_quotes_use to linux/mmc/ioctl.h Applying io_quotes_use to linux/gigaset_dev.h Applying io_quotes_use to linux/omapfb.h Applying io_quotes_def to linux/soundcard.h Applying io_quotes_use to linux/usb/tmc.h Applying io_quotes_use to linux/bt-bmc.h Applying io_quotes_use to linux/fs.h Applying io_quotes_use to linux/aspeed-p2a-ctrl.h Applying io_quotes_use to linux/btrfs.h Applying io_quotes_use to linux/cm4000_cs.h Applying io_quotes_use to linux/fpga-dfl.h Applying io_quotes_use to linux/atmbr2684.h Applying io_quotes_use to linux/uinput.h Applying io_quotes_use to linux/blkzoned.h Applying io_quotes_use to linux/userfaultfd.h Applying io_quotes_use to linux/phantom.h Applying io_quotes_use to linux/sync_file.h Applying io_quotes_use to linux/sockios.h Applying io_quotes_use to linux/vtpm_proxy.h Applying io_quotes_def to linux/ppp-comp.h Applying io_quotes_use to linux/auto_fs.h Applying io_quotes_use to linux/nsfs.h Applying io_quotes_use to linux/mmtimer.h Applying io_quotes_use to linux/seccomp.h Applying io_quotes_use to linux/dn.h Applying io_quotes_use to linux/genwqe/genwqe_card.h Applying io_quotes_use to linux/raid/md_u.h Applying io_quotes_use to linux/raw.h Applying io_quotes_use to linux/reiserfs_fs.h Applying io_quotes_use to linux/rpmsg.h Applying io_quotes_use to linux/vm_sockets.h Applying io_quotes_use to linux/rfkill.h Applying io_quotes_use to linux/rio_cm_cdev.h Applying io_quotes_use to linux/i2o-dev.h Applying io_quotes_def to linux/version.h Applying io_quotes_use to linux/gpio.h Applying io_quotes_use to linux/lightnvm.h Applying io_quotes_use to linux/vboxguest.h Applying io_quotes_use to linux/fuse.h Applying io_quotes_use to linux/watchdog.h Applying io_quotes_use to linux/wmi.h Applying io_quotes_use to linux/pktcdvd.h Applying io_quotes_use to linux/vfio.h Applying io_quotes_use to linux/ipmi.h Applying io_quotes_use to linux/dma-buf.h Applying io_quotes_def to llvm-10/llvm/Demangle/ItaniumDemangle.h Applying io_quotes_use to scsi/cxlflash_ioctl.h Applying io_quotes_use to drm/drm.h Applying io_quotes_use to drm/tegra_drm.h Applying io_quotes_use to drm/v3d_drm.h Applying io_quotes_use to drm/panfrost_drm.h Applying io_quotes_use to drm/nouveau_drm.h Applying io_quotes_use to drm/i810_drm.h Applying io_quotes_use to drm/i915_drm.h Applying io_quotes_use to drm/vgem_drm.h Applying io_quotes_use to drm/savage_drm.h Applying io_quotes_use to drm/omap_drm.h Applying io_quotes_use to drm/r128_drm.h Applying io_quotes_use to drm/exynos_drm.h Applying io_quotes_use to drm/vc4_drm.h Applying io_quotes_use to drm/sis_drm.h Applying io_quotes_use to drm/msm_drm.h Applying io_quotes_use to drm/mga_drm.h Applying io_quotes_use to drm/lima_drm.h Applying io_quotes_use to drm/amdgpu_drm.h Applying io_quotes_use to drm/via_drm.h Applying io_quotes_use to drm/radeon_drm.h Applying io_quotes_use to drm/etnaviv_drm.h Applying io_quotes_use to sound/asound.h Applying io_quotes_use to sound/emu10k1.h Applying io_quotes_use to sound/compress_offload.h Applying io_quotes_use to sound/asequencer.h Applying hpux8_bogus_inlines to math.h Applying io_quotes_use to powerpc64le-linux-gnu/sys/mount.h Applying io_quotes_use to powerpc64le-linux-gnu/sys/raw.h Fixing directory /usr/include/clang/10/include into /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/include-fixed/root/usr/lib/llvm-10/lib/clang/10.0.0/include Fixing directory /usr/include/llvm-c into /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/include-fixed/root/usr/lib/llvm-10/include/llvm-c Fixing directory /usr/include/llvm into /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/include-fixed/root/usr/lib/llvm-10/include/llvm Applying io_quotes_def to llvm/Demangle/ItaniumDemangle.h powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-sem.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem.adb Cleaning up unneeded directories: powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-sem_lib.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_lib.adb fixincludes is done echo timestamp > stmp-fixinc if [ -d include ] ; then true; else mkdir include; chmod a+rx include; fi if [ -d include-fixed ] ; then true; else mkdir include-fixed; chmod a+rx include-fixed; fi for file in .. ../../src/gcc/ginclude/float.h ../../src/gcc/ginclude/iso646.h ../../src/gcc/ginclude/stdarg.h ../../src/gcc/ginclude/stdbool.h ../../src/gcc/ginclude/stddef.h ../../src/gcc/ginclude/varargs.h ../../src/gcc/ginclude/stdfix.h ../../src/gcc/ginclude/stdnoreturn.h ../../src/gcc/ginclude/stdalign.h ../../src/gcc/ginclude/stdatomic.h ../../src/gcc/config/rs6000/ppc-asm.h ../../src/gcc/config/rs6000/altivec.h ../../src/gcc/config/rs6000/htmintrin.h ../../src/gcc/config/rs6000/htmxlintrin.h ../../src/gcc/config/rs6000/bmi2intrin.h ../../src/gcc/config/rs6000/bmiintrin.h ../../src/gcc/config/rs6000/xmmintrin.h ../../src/gcc/config/rs6000/mm_malloc.h ../../src/gcc/config/rs6000/emmintrin.h ../../src/gcc/config/rs6000/mmintrin.h ../../src/gcc/config/rs6000/x86intrin.h ../../src/gcc/config/rs6000/pmmintrin.h ../../src/gcc/config/rs6000/tmmintrin.h ../../src/gcc/config/rs6000/smmintrin.h ../../src/gcc/config/rs6000/ppu_intrinsics.h ../../src/gcc/config/rs6000/spu2vmx.h ../../src/gcc/config/rs6000/vec_types.h ../../src/gcc/config/rs6000/si2vmx.h ../../src/gcc/config/rs6000/amo.h; do \ if [ X$file != X.. ]; then \ realfile=`echo $file | sed -e 's|.*/\([^/]*\)$|\1|'`; \ echo timestamp > include/$realfile; \ rm -f include/$realfile; \ cp $file include; \ chmod a+r include/$realfile; \ fi; \ done powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-std_package.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-std_package.adb for file in .. ; do \ if [ X$file != X.. ]; then \ mv include/$file include/x_$file; \ echo "#include_next <$file>" >include/$file; \ cat include/x_$file >>include/$file; \ rm -f include/x_$file; \ chmod a+r include/$file; \ fi; \ done for file in .. ; do \ if [ X$file != X.. ]; then \ echo "#include_next <$file>" >>include/$file; \ chmod a+r include/$file; \ fi; \ done rm -f include/stdint.h if [ wrap = wrap ]; then \ rm -f include/stdint-gcc.h; \ cp ../../src/gcc/ginclude/stdint-gcc.h include/stdint-gcc.h; \ chmod a+r include/stdint-gcc.h; \ cp ../../src/gcc/ginclude/stdint-wrap.h include/stdint.h; \ chmod a+r include/stdint.h; \ elif [ wrap = provide ]; then \ cp ../../src/gcc/ginclude/stdint-gcc.h include/stdint.h; \ chmod a+r include/stdint.h; \ fi set -e; for ml in `cat fixinc_list`; do \ sysroot_headers_suffix=`echo ${ml} | sed -e 's/;.*$//'`; \ multi_dir=`echo ${ml} | sed -e 's/^[^;]*;//'`; \ fix_dir=include-fixed${multi_dir}; \ if [ -f `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`/limits.h -o -f `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`//limits.h ] ; then \ cat ../../src/gcc/limitx.h ../../src/gcc/glimits.h ../../src/gcc/limity.h > tmp-xlimits.h; \ else \ cat ../../src/gcc/glimits.h > tmp-xlimits.h; \ fi; \ /bin/bash ../../src/gcc/../mkinstalldirs ${fix_dir}; \ chmod a+rx ${fix_dir} || true; \ /bin/bash ../../src/gcc/../move-if-change \ tmp-xlimits.h tmp-limits.h; \ rm -f ${fix_dir}/limits.h; \ cp -p tmp-limits.h ${fix_dir}/limits.h; \ chmod a+r ${fix_dir}/limits.h; \ done rm -f include-fixed/README cp ../../src/gcc/../fixincludes/README-fixinc include-fixed/README chmod a+r include-fixed/README echo timestamp > stmp-int-hdrs powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-utils.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-utils.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/simple_io.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/simple_io.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/version.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/version.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/files_map.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/files_map.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/str_table.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/str_table.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/logging.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/logging.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/tables.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/tables.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-scanner.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-scanner.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-tokens.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-tokens.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/std_names.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/std_names.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/psl.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-dump_tree.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/psl-dump_tree.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-nodes.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/psl-nodes.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-back_end.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-back_end.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-disp_tree.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-disp_tree.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-parse.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-parse.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/ortho_nodes.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/ortho_nodes.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/trans.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-chap1.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap1.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-chap12.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap12.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-chap2.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap2.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-chap3.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap3.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-chap4.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap4.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-chap7.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap7.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-helpers2.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/trans-helpers2.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-rtis.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/trans-rtis.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans_decls.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/trans_decls.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-canon.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-canon.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-sem_specs.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_specs.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-evaluation.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-evaluation.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-nodes_walk.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-nodes_walk.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-sem_scopes.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_scopes.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-lists.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-lists.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-nodes_meta.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-nodes_meta.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-nodes_priv.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-nodes_priv.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-types.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/psl-types.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-flists.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-flists.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-ieee.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-ieee-std_logic_1164.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-std_logic_1164.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-nodes_utils.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-nodes_utils.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-sem_assocs.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_assocs.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-sem_decls.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_decls.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-sem_expr.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_expr.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-sem_inst.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_inst.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-sem_names.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_names.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-sem_psl.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_psl.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-sem_stmts.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_stmts.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-sem_utils.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_utils.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-xrefs.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-xrefs.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-nodes_gc.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-nodes_gc.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-post_sems.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-post_sems.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-prints.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-prints.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-types.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-types.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/grt.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/grt.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/grt-fcvt.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/grt-fcvt.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-errors.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/psl-errors.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-nodes_meta.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/psl-nodes_meta.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-hash.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/psl-hash.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-elocations.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-elocations.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-parse_psl.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-parse_psl.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-chap5.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap5.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-chap6.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap6.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-chap9.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap9.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-chap8.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap8.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-chap14.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap14.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-foreach_non_composite.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/trans-foreach_non_composite.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-build.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/psl-build.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-nfas.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/psl-nfas.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-nfas-utils.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/psl-nfas-utils.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-rewrites.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/psl-rewrites.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-canon_psl.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-canon_psl.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/lists.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/lists.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-nodes_priv.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/psl-nodes_priv.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/flists.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/flists.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-sem_types.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_types.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/grt-algos.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/grt-algos.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-subsets.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/psl-subsets.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-ieee-math_real.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-math_real.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-ieee-numeric.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-numeric.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-ieee-std_logic_arith.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-std_logic_arith.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-ieee-std_logic_unsigned.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-std_logic_unsigned.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-ieee-vital_timing.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-vital_timing.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-prints.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/psl-prints.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-priorities.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/psl-priorities.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-elocations_meta.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-elocations_meta.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans_analyzes.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/trans_analyzes.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-cse.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/psl-cse.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-disp_nfas.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/psl-disp_nfas.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-optimize.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/psl-optimize.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- -o /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-qm.o /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/psl-qm.adb gnatmake -o ghdl1 -aI../../src/gcc/vhdl -aOvhdl ortho_gcc-main \ -cargs -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa \ -bargs -E \ -largs --LINK=g++ -no-pie -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now attribs.o vhdl/ortho-lang.o \ libbackend.a libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lmpc -lmpfr -lgmp -rdynamic -ldl -lz powerpc64le-linux-gnu-gnatbind-9 -aI../../src/gcc/vhdl -aOvhdl -E -x /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/ortho_gcc-main.ali powerpc64le-linux-gnu-gnatlink-9 /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/ortho_gcc-main.ali -o ghdl1 --LINK=g++ -no-pie -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now attribs.o vhdl/ortho-lang.o libbackend.a libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lmpc -lmpfr -lgmp -rdynamic -ldl -lz gnatmake -o ghdl -aI../../src/gcc/vhdl -aI../../src/gcc/vhdl/ghdldrv \ -aIvhdl -aOvhdl ghdl_gcc \ -cargs -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa \ -bargs -E \ -largs --LINK=g++ -no-pie -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now \ vhdl/grt-cstdio.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdl_gcc.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdldrv.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdllocal.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlmain.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlprint.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/ghdlsynth_maybe.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlvpi.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlxml.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/vhdl/default_paths.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-formatters.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlnull.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/grt-types.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/grt-vstrings.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -I- /<>/ghdl-0.37+dfsg/builddir/gcc/src/gcc/vhdl/grt-c.ads powerpc64le-linux-gnu-gnatbind-9 -aI../../src/gcc/vhdl -aI../../src/gcc/vhdl/ghdldrv -aIvhdl -aOvhdl -E -x ghdl_gcc.ali powerpc64le-linux-gnu-gnatlink-9 ghdl_gcc.ali -o ghdl --LINK=g++ -no-pie -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now vhdl/grt-cstdio.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a rm cpp.pod gcc.pod make[4]: Leaving directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc' Checking multilib configuration for libgcc... mkdir -p -- powerpc64le-unknown-linux-gnu/libgcc Configuring in powerpc64le-unknown-linux-gnu/libgcc make[4]: Entering directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/libcc1' /usr/bin/make all-am make[5]: Entering directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/libcc1' /bin/bash ./libtool --tag=CXX --mode=compile g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT findcomp.lo -MD -MP -MF .deps/findcomp.Tpo -c -o findcomp.lo ../../src/libcc1/findcomp.cc /bin/bash ./libtool --tag=CXX --mode=compile g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT libcc1.lo -MD -MP -MF .deps/libcc1.Tpo -c -o libcc1.lo ../../src/libcc1/libcc1.cc /bin/bash ./libtool --tag=CXX --mode=compile g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT libcp1.lo -MD -MP -MF .deps/libcp1.Tpo -c -o libcp1.lo ../../src/libcc1/libcp1.cc libtool: compile: g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT libcp1.lo -MD -MP -MF .deps/libcp1.Tpo -c ../../src/libcc1/libcp1.cc -fPIC -DPIC -o .libs/libcp1.o libtool: compile: g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT libcc1.lo -MD -MP -MF .deps/libcc1.Tpo -c ../../src/libcc1/libcc1.cc -fPIC -DPIC -o .libs/libcc1.o libtool: compile: g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT findcomp.lo -MD -MP -MF .deps/findcomp.Tpo -c ../../src/libcc1/findcomp.cc -fPIC -DPIC -o .libs/findcomp.o configure: creating cache ./config.cache checking build system type... powerpc64le-unknown-linux-gnu checking host system type... powerpc64le-unknown-linux-gnu checking for --enable-version-specific-runtime-libs... no checking for a BSD-compatible install... /usr/bin/install -c checking for gawk... gawk checking for powerpc64le-unknown-linux-gnu-ar... ar checking for powerpc64le-unknown-linux-gnu-lipo... lipo checking for powerpc64le-unknown-linux-gnu-nm... /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/nm checking for powerpc64le-unknown-linux-gnu-ranlib... ranlib checking for powerpc64le-unknown-linux-gnu-strip... strip checking whether ln -s works... yes checking for powerpc64le-unknown-linux-gnu-gcc... /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include checking for suffix of object files... o checking whether we are using the GNU C compiler... yes checking whether /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include accepts -g... yes checking for /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include option to accept ISO C89... none needed checking how to run the C preprocessor... /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -E checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... no checking size of double... mv -f .deps/findcomp.Tpo .deps/findcomp.Plo /bin/bash ./libtool --tag=CXX --mode=compile g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT names.lo -MD -MP -MF .deps/names.Tpo -c -o names.lo ../../src/libcc1/names.cc libtool: compile: g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT names.lo -MD -MP -MF .deps/names.Tpo -c ../../src/libcc1/names.cc -fPIC -DPIC -o .libs/names.o mv -f .deps/names.Tpo .deps/names.Plo /bin/bash ./libtool --tag=CXX --mode=compile g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT callbacks.lo -MD -MP -MF .deps/callbacks.Tpo -c -o callbacks.lo ../../src/libcc1/callbacks.cc libtool: compile: g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT callbacks.lo -MD -MP -MF .deps/callbacks.Tpo -c ../../src/libcc1/callbacks.cc -fPIC -DPIC -o .libs/callbacks.o 8 checking size of long double... mv -f .deps/callbacks.Tpo .deps/callbacks.Plo /bin/bash ./libtool --tag=CXX --mode=compile g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT connection.lo -MD -MP -MF .deps/connection.Tpo -c -o connection.lo ../../src/libcc1/connection.cc libtool: compile: g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT connection.lo -MD -MP -MF .deps/connection.Tpo -c ../../src/libcc1/connection.cc -fPIC -DPIC -o .libs/connection.o 16 checking for inttypes.h... yes checking for stdint.h... yes checking for stdlib.h... yes checking for ftw.h... yes checking for unistd.h... yes checking for sys/stat.h... yes checking for sys/types.h... yes checking for string.h... mv -f .deps/connection.Tpo .deps/connection.Plo /bin/bash ./libtool --tag=CXX --mode=compile g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT marshall.lo -MD -MP -MF .deps/marshall.Tpo -c -o marshall.lo ../../src/libcc1/marshall.cc yes checking for strings.h... yes libtool: compile: g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT marshall.lo -MD -MP -MF .deps/marshall.Tpo -c ../../src/libcc1/marshall.cc -fPIC -DPIC -o .libs/marshall.o checking for memory.h... yes checking for sys/auxv.h... yes checking for grep that handles long lines and -e... /bin/grep checking for egrep... /bin/grep -E checking for ANSI C header files... yes checking whether decimal floating point is supported... mv -f .deps/marshall.Tpo .deps/marshall.Plo /bin/bash ./libtool --tag=CXX --mode=compile g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -I ../../src/libcc1/../gcc/c -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT libcc1plugin.lo -MD -MP -MF .deps/libcc1plugin.Tpo -c -o libcc1plugin.lo ../../src/libcc1/libcc1plugin.cc yes checking whether fixed-point is supported... libtool: compile: g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -I ../../src/libcc1/../gcc/c -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT libcc1plugin.lo -MD -MP -MF .deps/libcc1plugin.Tpo -c ../../src/libcc1/libcc1plugin.cc -fPIC -DPIC -o .libs/libcc1plugin.o no checking whether the compiler is configured for setjmp/longjmp exceptions... no checking for CET support... no checking if the linker (/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/collect-ld) is GNU ld... yes checking for thread model used by GCC... posix checking whether assembler supports CFI directives... yes checking for target glibc version... mv -f .deps/libcc1.Tpo .deps/libcc1.Plo /bin/bash ./libtool --tag=CXX --mode=compile g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -I ../../src/libcc1/../gcc/cp -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT libcp1plugin.lo -MD -MP -MF .deps/libcp1plugin.Tpo -c -o libcp1plugin.lo ../../src/libcc1/libcp1plugin.cc libtool: compile: g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -I ../../src/libcc1/../gcc/cp -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT libcp1plugin.lo -MD -MP -MF .deps/libcp1plugin.Tpo -c ../../src/libcc1/libcp1plugin.cc -fPIC -DPIC -o .libs/libcp1plugin.o 2.31 checking for PowerPC ISA 2.06 to build __float128 libraries... yes checking for PowerPC ISA 3.0 to build hardware __float128 libraries... yes checking for __attribute__((visibility("hidden")))... yes checking for .cfi_sections .debug_frame... yes checking whether the target assembler supports thread-local storage... yes checking whether the thread-local storage support is from emutls... no checking for init priority support... yes configure: updating cache ./config.cache configure: creating ./config.status config.status: creating Makefile config.status: creating auto-target.h config.status: executing default commands mv -f .deps/libcp1.Tpo .deps/libcp1.Plo /bin/bash ./libtool --tag=CXX --mode=link g++ -W -Wall -fvisibility=hidden -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -module -export-symbols ../../src/libcc1/libcc1.sym -Xcompiler '-static-libstdc++' -Xcompiler '-static-libgcc' '-Wl,-Bsymbolic-functions' '-Wl,-z,relro' '-Wl,-z,now' -o libcc1.la -rpath /usr/lib/ghdl/gcc/lib/../lib findcomp.lo libcc1.lo libcp1.lo names.lo callbacks.lo connection.lo marshall.lo -Wc,../libiberty/pic/libiberty.a make[4]: Entering directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/powerpc64le-unknown-linux-gnu/libgcc' # If this is the top-level multilib, build all the other # multilibs. ln -s ../../../src/libgcc/enable-execute-stack-empty.c enable-execute-stack.c ln -s ../../../src/libgcc/unwind-generic.h unwind.h ln -s ../../../src/libgcc/config/rs6000/linux-unwind.h md-unwind-support.h ln -s ../../../src/libgcc/config/rs6000/sfp-machine.h sfp-machine.h ln -s ../../../src/libgcc/gthr-posix.h gthr-default.h DEFINES='' HEADERS='' \ ../../../src/libgcc/mkheader.sh > tmp-libgcc_tm.h /bin/bash ../../../src/libgcc/../move-if-change tmp-libgcc_tm.h libgcc_tm.h echo timestamp > libgcc_tm.stamp libtool: link: g++ -fPIC -DPIC -shared -nostdlib /usr/lib/gcc/powerpc64le-linux-gnu/9/../../../powerpc64le-linux-gnu/crti.o /usr/lib/gcc/powerpc64le-linux-gnu/9/crtbeginS.o .libs/findcomp.o .libs/libcc1.o .libs/libcp1.o .libs/names.o .libs/callbacks.o .libs/connection.o .libs/marshall.o -L/usr/lib/gcc/powerpc64le-linux-gnu/9 -L/usr/lib/gcc/powerpc64le-linux-gnu/9/../../../powerpc64le-linux-gnu -L/usr/lib/gcc/powerpc64le-linux-gnu/9/../../../../lib -L/lib/powerpc64le-linux-gnu -L/lib/../lib -L/usr/lib/powerpc64le-linux-gnu -L/usr/lib/../lib -L/usr/lib/gcc/powerpc64le-linux-gnu/9/../../.. -lstdc++ -lm -lc -lgcc_s /usr/lib/gcc/powerpc64le-linux-gnu/9/crtendS.o /usr/lib/gcc/powerpc64le-linux-gnu/9/../../../powerpc64le-linux-gnu/crtn.o -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z -Wl,relro -Wl,-z -Wl,now ../libiberty/pic/libiberty.a -Wl,-soname -Wl,libcc1.so.0 -Wl,-retain-symbols-file -Wl,../../src/libcc1/libcc1.sym -o .libs/libcc1.so.0.0.0 /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o eabi_s.o -MT eabi_s.o -MD -MP -MF eabi_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/eabi.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o crtsavfpr_s.o -MT crtsavfpr_s.o -MD -MP -MF crtsavfpr_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/crtsavfpr.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o crtresfpr_s.o -MT crtresfpr_s.o -MD -MP -MF crtresfpr_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/crtresfpr.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o crtsavgpr_s.o -MT crtsavgpr_s.o -MD -MP -MF crtsavgpr_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/crtsavgpr.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o crtresgpr_s.o -MT crtresgpr_s.o -MD -MP -MF crtresgpr_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/crtresgpr.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o crtresxfpr_s.o -MT crtresxfpr_s.o -MD -MP -MF crtresxfpr_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/crtresxfpr.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o crtresxgpr_s.o -MT crtresxgpr_s.o -MD -MP -MF crtresxgpr_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/crtresxgpr.S libtool: link: (cd ".libs" && rm -f "libcc1.so.0" && ln -s "libcc1.so.0.0.0" "libcc1.so.0") /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o crtsavevr_s.o -MT crtsavevr_s.o -MD -MP -MF crtsavevr_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/crtsavevr.S libtool: link: (cd ".libs" && rm -f "libcc1.so" && ln -s "libcc1.so.0.0.0" "libcc1.so") libtool: link: ( cd ".libs" && rm -f "libcc1.la" && ln -s "../libcc1.la" "libcc1.la" ) /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o crtrestvr_s.o -MT crtrestvr_s.o -MD -MP -MF crtrestvr_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/crtrestvr.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtres32gpr_s.o -MT e500crtres32gpr_s.o -MD -MP -MF e500crtres32gpr_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/e500crtres32gpr.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtres64gpr_s.o -MT e500crtres64gpr_s.o -MD -MP -MF e500crtres64gpr_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/e500crtres64gpr.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtres64gprctr_s.o -MT e500crtres64gprctr_s.o -MD -MP -MF e500crtres64gprctr_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/e500crtres64gprctr.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtrest32gpr_s.o -MT e500crtrest32gpr_s.o -MD -MP -MF e500crtrest32gpr_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/e500crtrest32gpr.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtrest64gpr_s.o -MT e500crtrest64gpr_s.o -MD -MP -MF e500crtrest64gpr_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/e500crtrest64gpr.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtresx32gpr_s.o -MT e500crtresx32gpr_s.o -MD -MP -MF e500crtresx32gpr_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/e500crtresx32gpr.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtresx64gpr_s.o -MT e500crtresx64gpr_s.o -MD -MP -MF e500crtresx64gpr_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/e500crtresx64gpr.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtsav32gpr_s.o -MT e500crtsav32gpr_s.o -MD -MP -MF e500crtsav32gpr_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/e500crtsav32gpr.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtsav64gpr_s.o -MT e500crtsav64gpr_s.o -MD -MP -MF e500crtsav64gpr_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/e500crtsav64gpr.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtsav64gprctr_s.o -MT e500crtsav64gprctr_s.o -MD -MP -MF e500crtsav64gprctr_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/e500crtsav64gprctr.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtsavg32gpr_s.o -MT e500crtsavg32gpr_s.o -MD -MP -MF e500crtsavg32gpr_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/e500crtsavg32gpr.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtsavg64gpr_s.o -MT e500crtsavg64gpr_s.o -MD -MP -MF e500crtsavg64gpr_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/e500crtsavg64gpr.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtsavg64gprctr_s.o -MT e500crtsavg64gprctr_s.o -MD -MP -MF e500crtsavg64gprctr_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/e500crtsavg64gprctr.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o morestack_s.o -MT morestack_s.o -MD -MP -MF morestack_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/morestack.S Create addkf3-sw.c Create subkf3-sw.c Create mulkf3-sw.c Create divkf3-sw.c Create negkf2-sw.c Create unordkf2-sw.c Create eqkf2-sw.c Create gekf2-sw.c Create lekf2-sw.c Create extendsfkf2-sw.c Create extenddfkf2-sw.c Create trunckfsf2-sw.c Create trunckfdf2-sw.c Create fixkfsi-sw.c Create fixkfdi-sw.c Create fixunskfsi-sw.c Create fixunskfdi-sw.c Create floatsikf-sw.c Create floatdikf-sw.c Create floatundikf-sw.c Create floatunsikf-sw.c (echo "#define __mulkc3 __mulkc3_hw"; \ cat ../../../src/libgcc/config/rs6000/_mulkc3.c) > _mulkc3-hw.c (echo "#define __divkc3 __divkc3_hw"; \ cat ../../../src/libgcc/config/rs6000/_divkc3.c) > _divkc3-hw.c (echo "#define __powikf2 __powikf2_hw"; \ cat ../../../src/libgcc/config/rs6000/_powikf2.c) > _powikf2-hw.c sed -e 's/__PFX__/__/g' \ -e 's/__FIXPTPFX__/__/g' < ../../../src/libgcc/libgcc-std.ver.in > libgcc-std.ver dest=../.././gcc/include/tmp$$-unwind.h; \ cp unwind.h $dest; \ chmod a+r $dest; \ sh ../../../src/libgcc/../move-if-change $dest ../.././gcc/include/unwind.h /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/nm -pg eabi_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > eabi.visT /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/nm -pg crtsavfpr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > crtsavfpr.visT mv -f eabi.visT eabi.vis /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/nm -pg crtresfpr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > crtresfpr.visT mv -f crtsavfpr.visT crtsavfpr.vis /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/nm -pg crtsavgpr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > crtsavgpr.visT mv -f crtresfpr.visT crtresfpr.vis /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/nm -pg crtresgpr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > crtresgpr.visT mv -f crtsavgpr.visT crtsavgpr.vis /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/nm -pg crtresxfpr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > crtresxfpr.visT mv -f crtresgpr.visT crtresgpr.vis /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/nm -pg crtresxgpr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > crtresxgpr.visT mv -f crtresxfpr.visT crtresxfpr.vis mv -f crtresxgpr.visT crtresxgpr.vis /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/nm -pg crtsavevr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > crtsavevr.visT /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/nm -pg crtrestvr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > crtrestvr.visT mv -f crtsavevr.visT crtsavevr.vis /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/nm -pg e500crtres32gpr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > e500crtres32gpr.visT mv -f crtrestvr.visT crtrestvr.vis mv -f e500crtres32gpr.visT e500crtres32gpr.vis /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/nm -pg e500crtres64gpr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > e500crtres64gpr.visT /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/nm -pg e500crtres64gprctr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > e500crtres64gprctr.visT mv -f e500crtres64gpr.visT e500crtres64gpr.vis mv -f e500crtres64gprctr.visT e500crtres64gprctr.vis /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/nm -pg e500crtrest32gpr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > e500crtrest32gpr.visT /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/nm -pg e500crtrest64gpr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > e500crtrest64gpr.visT mv -f e500crtrest32gpr.visT e500crtrest32gpr.vis mv -f e500crtrest64gpr.visT e500crtrest64gpr.vis /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/nm -pg e500crtresx32gpr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > e500crtresx32gpr.visT /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/nm -pg e500crtresx64gpr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > e500crtresx64gpr.visT mv -f e500crtresx32gpr.visT e500crtresx32gpr.vis mv -f e500crtresx64gpr.visT e500crtresx64gpr.vis /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/nm -pg e500crtsav32gpr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > e500crtsav32gpr.visT /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/nm -pg e500crtsav64gpr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > e500crtsav64gpr.visT mv -f e500crtsav32gpr.visT e500crtsav32gpr.vis /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/nm -pg e500crtsav64gprctr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > e500crtsav64gprctr.visT mv -f e500crtsav64gpr.visT e500crtsav64gpr.vis /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/nm -pg e500crtsavg32gpr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > e500crtsavg32gpr.visT mv -f e500crtsav64gprctr.visT e500crtsav64gprctr.vis /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/nm -pg e500crtsavg64gpr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > e500crtsavg64gpr.visT mv -f e500crtsavg32gpr.visT e500crtsavg32gpr.vis mv -f e500crtsavg64gpr.visT e500crtsavg64gpr.vis /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/nm -pg e500crtsavg64gprctr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > e500crtsavg64gprctr.visT /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o generic-morestack.o -MT generic-morestack.o -MD -MP -MF generic-morestack.dep -c ../../../src/libgcc/generic-morestack.c -fvisibility=hidden -DHIDE_EXPORTS mv -f e500crtsavg64gprctr.visT e500crtsavg64gprctr.vis /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o generic-morestack-thread.o -MT generic-morestack-thread.o -MD -MP -MF generic-morestack-thread.dep -c ../../../src/libgcc/generic-morestack-thread.c -fvisibility=hidden -DHIDE_EXPORTS ../../../src/libgcc/generic-morestack.c: In function ‘__morestack_fail’: ../../../src/libgcc/generic-morestack.c:319:3: warning: ignoring return value of ‘writev’, declared with attribute warn_unused_result [-Wunused-result] 319 | writev (2, iov, 3); | ^~~~~~~~~~~~~~~~~~ /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/nm -pg morestack_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > morestack.visT mv -f morestack.visT morestack.vis /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -o addkf3-sw.o -MT addkf3-sw.o -MD -MP -MF addkf3-sw.dep -c addkf3-sw.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -o subkf3-sw.o -MT subkf3-sw.o -MD -MP -MF subkf3-sw.dep -c subkf3-sw.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -o mulkf3-sw.o -MT mulkf3-sw.o -MD -MP -MF mulkf3-sw.dep -c mulkf3-sw.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -o divkf3-sw.o -MT divkf3-sw.o -MD -MP -MF divkf3-sw.dep -c divkf3-sw.c -fvisibility=hidden -DHIDE_EXPORTS mv -f .deps/libcc1plugin.Tpo .deps/libcc1plugin.Plo /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -o negkf2-sw.o -MT negkf2-sw.o -MD -MP -MF negkf2-sw.dep -c negkf2-sw.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -o unordkf2-sw.o -MT unordkf2-sw.o -MD -MP -MF unordkf2-sw.dep -c unordkf2-sw.c -fvisibility=hidden -DHIDE_EXPORTS /bin/bash ./libtool --tag=CXX --mode=link g++ -W -Wall -fvisibility=hidden -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -module -export-symbols ../../src/libcc1/libcc1plugin.sym -Xcompiler '-static-libstdc++' -Xcompiler '-static-libgcc' '-Wl,-Bsymbolic-functions' '-Wl,-z,relro' '-Wl,-z,now' -o libcc1plugin.la -rpath /usr/lib/ghdl/gcc/lib/gcc/powerpc64le-unknown-linux-gnu/9.3.0/plugin libcc1plugin.lo callbacks.lo connection.lo marshall.lo -Wc,../libiberty/pic/libiberty.a /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -o eqkf2-sw.o -MT eqkf2-sw.o -MD -MP -MF eqkf2-sw.dep -c eqkf2-sw.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -o gekf2-sw.o -MT gekf2-sw.o -MD -MP -MF gekf2-sw.dep -c gekf2-sw.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -o lekf2-sw.o -MT lekf2-sw.o -MD -MP -MF lekf2-sw.dep -c lekf2-sw.c -fvisibility=hidden -DHIDE_EXPORTS libtool: link: g++ -fPIC -DPIC -shared -nostdlib /usr/lib/gcc/powerpc64le-linux-gnu/9/../../../powerpc64le-linux-gnu/crti.o /usr/lib/gcc/powerpc64le-linux-gnu/9/crtbeginS.o .libs/libcc1plugin.o .libs/callbacks.o .libs/connection.o .libs/marshall.o -L/usr/lib/gcc/powerpc64le-linux-gnu/9 -L/usr/lib/gcc/powerpc64le-linux-gnu/9/../../../powerpc64le-linux-gnu -L/usr/lib/gcc/powerpc64le-linux-gnu/9/../../../../lib -L/lib/powerpc64le-linux-gnu -L/lib/../lib -L/usr/lib/powerpc64le-linux-gnu -L/usr/lib/../lib -L/usr/lib/gcc/powerpc64le-linux-gnu/9/../../.. -lstdc++ -lm -lc -lgcc_s /usr/lib/gcc/powerpc64le-linux-gnu/9/crtendS.o /usr/lib/gcc/powerpc64le-linux-gnu/9/../../../powerpc64le-linux-gnu/crtn.o -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z -Wl,relro -Wl,-z -Wl,now ../libiberty/pic/libiberty.a -Wl,-soname -Wl,libcc1plugin.so.0 -Wl,-retain-symbols-file -Wl,../../src/libcc1/libcc1plugin.sym -o .libs/libcc1plugin.so.0.0.0 /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -o extendsfkf2-sw.o -MT extendsfkf2-sw.o -MD -MP -MF extendsfkf2-sw.dep -c extendsfkf2-sw.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -o extenddfkf2-sw.o -MT extenddfkf2-sw.o -MD -MP -MF extenddfkf2-sw.dep -c extenddfkf2-sw.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -o trunckfsf2-sw.o -MT trunckfsf2-sw.o -MD -MP -MF trunckfsf2-sw.dep -c trunckfsf2-sw.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -o trunckfdf2-sw.o -MT trunckfdf2-sw.o -MD -MP -MF trunckfdf2-sw.dep -c trunckfdf2-sw.c -fvisibility=hidden -DHIDE_EXPORTS libtool: link: (cd ".libs" && rm -f "libcc1plugin.so.0" && ln -s "libcc1plugin.so.0.0.0" "libcc1plugin.so.0") libtool: link: (cd ".libs" && rm -f "libcc1plugin.so" && ln -s "libcc1plugin.so.0.0.0" "libcc1plugin.so") libtool: link: ( cd ".libs" && rm -f "libcc1plugin.la" && ln -s "../libcc1plugin.la" "libcc1plugin.la" ) /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -o fixkfsi-sw.o -MT fixkfsi-sw.o -MD -MP -MF fixkfsi-sw.dep -c fixkfsi-sw.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -o fixkfdi-sw.o -MT fixkfdi-sw.o -MD -MP -MF fixkfdi-sw.dep -c fixkfdi-sw.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -o fixunskfsi-sw.o -MT fixunskfsi-sw.o -MD -MP -MF fixunskfsi-sw.dep -c fixunskfsi-sw.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -o fixunskfdi-sw.o -MT fixunskfdi-sw.o -MD -MP -MF fixunskfdi-sw.dep -c fixunskfdi-sw.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -o floatsikf-sw.o -MT floatsikf-sw.o -MD -MP -MF floatsikf-sw.dep -c floatsikf-sw.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -o floatdikf-sw.o -MT floatdikf-sw.o -MD -MP -MF floatdikf-sw.dep -c floatdikf-sw.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -o floatunsikf-sw.o -MT floatunsikf-sw.o -MD -MP -MF floatunsikf-sw.dep -c floatunsikf-sw.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -o floatundikf-sw.o -MT floatundikf-sw.o -MD -MP -MF floatundikf-sw.dep -c floatundikf-sw.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -o floattikf.o -MT floattikf.o -MD -MP -MF floattikf.dep -c ../../../src/libgcc/config/rs6000/floattikf.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -o floatuntikf.o -MT floatuntikf.o -MD -MP -MF floatuntikf.dep -c ../../../src/libgcc/config/rs6000/floatuntikf.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -o fixkfti.o -MT fixkfti.o -MD -MP -MF fixkfti.dep -c ../../../src/libgcc/config/rs6000/fixkfti.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -o fixunskfti.o -MT fixunskfti.o -MD -MP -MF fixunskfti.dep -c ../../../src/libgcc/config/rs6000/fixunskfti.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -o extendkftf2-sw.o -MT extendkftf2-sw.o -MD -MP -MF extendkftf2-sw.dep -c ../../../src/libgcc/config/rs6000/extendkftf2-sw.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -o trunctfkf2-sw.o -MT trunctfkf2-sw.o -MD -MP -MF trunctfkf2-sw.dep -c ../../../src/libgcc/config/rs6000/trunctfkf2-sw.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -o sfp-exceptions.o -MT sfp-exceptions.o -MD -MP -MF sfp-exceptions.dep -c ../../../src/libgcc/config/rs6000/sfp-exceptions.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -o _mulkc3.o -MT _mulkc3.o -MD -MP -MF _mulkc3.dep -c ../../../src/libgcc/config/rs6000/_mulkc3.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -o _divkc3.o -MT _divkc3.o -MD -MP -MF _divkc3.dep -c ../../../src/libgcc/config/rs6000/_divkc3.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -o _powikf2.o -MT _powikf2.o -MD -MP -MF _powikf2.dep -c ../../../src/libgcc/config/rs6000/_powikf2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mpower8-vector -mpower9-vector -mfloat128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -o float128-hw.o -MT float128-hw.o -MD -MP -MF float128-hw.dep -c ../../../src/libgcc/config/rs6000/float128-hw.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mpower8-vector -mpower9-vector -mfloat128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -o _mulkc3-hw.o -MT _mulkc3-hw.o -MD -MP -MF _mulkc3-hw.dep -c _mulkc3-hw.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mpower8-vector -mpower9-vector -mfloat128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -o _divkc3-hw.o -MT _divkc3-hw.o -MD -MP -MF _divkc3-hw.dep -c _divkc3-hw.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mpower8-vector -mpower9-vector -mfloat128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -o _powikf2-hw.o -MT _powikf2-hw.o -MD -MP -MF _powikf2-hw.dep -c _powikf2-hw.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -o float128-ifunc.o -MT float128-ifunc.o -MD -MP -MF float128-ifunc.dep -c ../../../src/libgcc/config/rs6000/float128-ifunc.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_merge_add.o -MT _gcov_merge_add.o -MD -MP -MF _gcov_merge_add.dep -DL_gcov_merge_add -c ../../../src/libgcc/libgcov-merge.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_merge_single.o -MT _gcov_merge_single.o -MD -MP -MF _gcov_merge_single.dep -DL_gcov_merge_single -c ../../../src/libgcc/libgcov-merge.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_merge_ior.o -MT _gcov_merge_ior.o -MD -MP -MF _gcov_merge_ior.dep -DL_gcov_merge_ior -c ../../../src/libgcc/libgcov-merge.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_merge_time_profile.o -MT _gcov_merge_time_profile.o -MD -MP -MF _gcov_merge_time_profile.dep -DL_gcov_merge_time_profile -c ../../../src/libgcc/libgcov-merge.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_merge_icall_topn.o -MT _gcov_merge_icall_topn.o -MD -MP -MF _gcov_merge_icall_topn.dep -DL_gcov_merge_icall_topn -c ../../../src/libgcc/libgcov-merge.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_interval_profiler.o -MT _gcov_interval_profiler.o -MD -MP -MF _gcov_interval_profiler.dep -DL_gcov_interval_profiler -c ../../../src/libgcc/libgcov-profiler.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_interval_profiler_atomic.o -MT _gcov_interval_profiler_atomic.o -MD -MP -MF _gcov_interval_profiler_atomic.dep -DL_gcov_interval_profiler_atomic -c ../../../src/libgcc/libgcov-profiler.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_pow2_profiler.o -MT _gcov_pow2_profiler.o -MD -MP -MF _gcov_pow2_profiler.dep -DL_gcov_pow2_profiler -c ../../../src/libgcc/libgcov-profiler.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_pow2_profiler_atomic.o -MT _gcov_pow2_profiler_atomic.o -MD -MP -MF _gcov_pow2_profiler_atomic.dep -DL_gcov_pow2_profiler_atomic -c ../../../src/libgcc/libgcov-profiler.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_one_value_profiler.o -MT _gcov_one_value_profiler.o -MD -MP -MF _gcov_one_value_profiler.dep -DL_gcov_one_value_profiler -c ../../../src/libgcc/libgcov-profiler.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_one_value_profiler_atomic.o -MT _gcov_one_value_profiler_atomic.o -MD -MP -MF _gcov_one_value_profiler_atomic.dep -DL_gcov_one_value_profiler_atomic -c ../../../src/libgcc/libgcov-profiler.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_average_profiler.o -MT _gcov_average_profiler.o -MD -MP -MF _gcov_average_profiler.dep -DL_gcov_average_profiler -c ../../../src/libgcc/libgcov-profiler.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_average_profiler_atomic.o -MT _gcov_average_profiler_atomic.o -MD -MP -MF _gcov_average_profiler_atomic.dep -DL_gcov_average_profiler_atomic -c ../../../src/libgcc/libgcov-profiler.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_ior_profiler.o -MT _gcov_ior_profiler.o -MD -MP -MF _gcov_ior_profiler.dep -DL_gcov_ior_profiler -c ../../../src/libgcc/libgcov-profiler.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_ior_profiler_atomic.o -MT _gcov_ior_profiler_atomic.o -MD -MP -MF _gcov_ior_profiler_atomic.dep -DL_gcov_ior_profiler_atomic -c ../../../src/libgcc/libgcov-profiler.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_indirect_call_profiler_v3.o -MT _gcov_indirect_call_profiler_v3.o -MD -MP -MF _gcov_indirect_call_profiler_v3.dep -DL_gcov_indirect_call_profiler_v3 -c ../../../src/libgcc/libgcov-profiler.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_time_profiler.o -MT _gcov_time_profiler.o -MD -MP -MF _gcov_time_profiler.dep -DL_gcov_time_profiler -c ../../../src/libgcc/libgcov-profiler.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_indirect_call_topn_profiler.o -MT _gcov_indirect_call_topn_profiler.o -MD -MP -MF _gcov_indirect_call_topn_profiler.dep -DL_gcov_indirect_call_topn_profiler -c ../../../src/libgcc/libgcov-profiler.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_dump.o -MT _gcov_dump.o -MD -MP -MF _gcov_dump.dep -DL_gcov_dump -c ../../../src/libgcc/libgcov-interface.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_flush.o -MT _gcov_flush.o -MD -MP -MF _gcov_flush.dep -DL_gcov_flush -c ../../../src/libgcc/libgcov-interface.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_fork.o -MT _gcov_fork.o -MD -MP -MF _gcov_fork.dep -DL_gcov_fork -c ../../../src/libgcc/libgcov-interface.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_execl.o -MT _gcov_execl.o -MD -MP -MF _gcov_execl.dep -DL_gcov_execl -c ../../../src/libgcc/libgcov-interface.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_execlp.o -MT _gcov_execlp.o -MD -MP -MF _gcov_execlp.dep -DL_gcov_execlp -c ../../../src/libgcc/libgcov-interface.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_execle.o -MT _gcov_execle.o -MD -MP -MF _gcov_execle.dep -DL_gcov_execle -c ../../../src/libgcc/libgcov-interface.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_execv.o -MT _gcov_execv.o -MD -MP -MF _gcov_execv.dep -DL_gcov_execv -c ../../../src/libgcc/libgcov-interface.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_execvp.o -MT _gcov_execvp.o -MD -MP -MF _gcov_execvp.dep -DL_gcov_execvp -c ../../../src/libgcc/libgcov-interface.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_execve.o -MT _gcov_execve.o -MD -MP -MF _gcov_execve.dep -DL_gcov_execve -c ../../../src/libgcc/libgcov-interface.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_reset.o -MT _gcov_reset.o -MD -MP -MF _gcov_reset.dep -DL_gcov_reset -c ../../../src/libgcc/libgcov-interface.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov.o -MT _gcov.o -MD -MP -MF _gcov.dep -DL_gcov -c ../../../src/libgcc/libgcov-driver.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o unwind-dw2.o -MT unwind-dw2.o -MD -MP -MF unwind-dw2.dep -fexceptions -c ../../../src/libgcc/unwind-dw2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o unwind-dw2-fde-dip.o -MT unwind-dw2-fde-dip.o -MD -MP -MF unwind-dw2-fde-dip.dep -fexceptions -c ../../../src/libgcc/unwind-dw2-fde-dip.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o unwind-sjlj.o -MT unwind-sjlj.o -MD -MP -MF unwind-sjlj.dep -fexceptions -c ../../../src/libgcc/unwind-sjlj.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o unwind-c.o -MT unwind-c.o -MD -MP -MF unwind-c.dep -fexceptions -c ../../../src/libgcc/unwind-c.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o emutls.o -MT emutls.o -MD -MP -MF emutls.dep -fexceptions -c ../../../src/libgcc/emutls.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _muldi3_s.o -MT _muldi3_s.o -MD -MP -MF _muldi3_s.dep -DSHARED -DL_muldi3 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _negdi2_s.o -MT _negdi2_s.o -MD -MP -MF _negdi2_s.dep -DSHARED -DL_negdi2 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _lshrdi3_s.o -MT _lshrdi3_s.o -MD -MP -MF _lshrdi3_s.dep -DSHARED -DL_lshrdi3 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ashldi3_s.o -MT _ashldi3_s.o -MD -MP -MF _ashldi3_s.dep -DSHARED -DL_ashldi3 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ashrdi3_s.o -MT _ashrdi3_s.o -MD -MP -MF _ashrdi3_s.dep -DSHARED -DL_ashrdi3 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _cmpdi2_s.o -MT _cmpdi2_s.o -MD -MP -MF _cmpdi2_s.dep -DSHARED -DL_cmpdi2 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ucmpdi2_s.o -MT _ucmpdi2_s.o -MD -MP -MF _ucmpdi2_s.dep -DSHARED -DL_ucmpdi2 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _clear_cache_s.o -MT _clear_cache_s.o -MD -MP -MF _clear_cache_s.dep -DSHARED -DL_clear_cache -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _trampoline_s.o -MT _trampoline_s.o -MD -MP -MF _trampoline_s.dep -DSHARED -DL_trampoline -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o __main_s.o -MT __main_s.o -MD -MP -MF __main_s.dep -DSHARED -DL__main -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _absvsi2_s.o -MT _absvsi2_s.o -MD -MP -MF _absvsi2_s.dep -DSHARED -DL_absvsi2 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _absvdi2_s.o -MT _absvdi2_s.o -MD -MP -MF _absvdi2_s.dep -DSHARED -DL_absvdi2 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _addvsi3_s.o -MT _addvsi3_s.o -MD -MP -MF _addvsi3_s.dep -DSHARED -DL_addvsi3 -c ../../../src/libgcc/libgcc2.c mv -f .deps/libcp1plugin.Tpo .deps/libcp1plugin.Plo /bin/bash ./libtool --tag=CXX --mode=link g++ -W -Wall -fvisibility=hidden -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -module -export-symbols ../../src/libcc1/libcp1plugin.sym -Xcompiler '-static-libstdc++' -Xcompiler '-static-libgcc' '-Wl,-Bsymbolic-functions' '-Wl,-z,relro' '-Wl,-z,now' -o libcp1plugin.la -rpath /usr/lib/ghdl/gcc/lib/gcc/powerpc64le-unknown-linux-gnu/9.3.0/plugin libcp1plugin.lo callbacks.lo connection.lo marshall.lo -Wc,../libiberty/pic/libiberty.a /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _addvdi3_s.o -MT _addvdi3_s.o -MD -MP -MF _addvdi3_s.dep -DSHARED -DL_addvdi3 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _subvsi3_s.o -MT _subvsi3_s.o -MD -MP -MF _subvsi3_s.dep -DSHARED -DL_subvsi3 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _subvdi3_s.o -MT _subvdi3_s.o -MD -MP -MF _subvdi3_s.dep -DSHARED -DL_subvdi3 -c ../../../src/libgcc/libgcc2.c libtool: link: g++ -fPIC -DPIC -shared -nostdlib /usr/lib/gcc/powerpc64le-linux-gnu/9/../../../powerpc64le-linux-gnu/crti.o /usr/lib/gcc/powerpc64le-linux-gnu/9/crtbeginS.o .libs/libcp1plugin.o .libs/callbacks.o .libs/connection.o .libs/marshall.o -L/usr/lib/gcc/powerpc64le-linux-gnu/9 -L/usr/lib/gcc/powerpc64le-linux-gnu/9/../../../powerpc64le-linux-gnu -L/usr/lib/gcc/powerpc64le-linux-gnu/9/../../../../lib -L/lib/powerpc64le-linux-gnu -L/lib/../lib -L/usr/lib/powerpc64le-linux-gnu -L/usr/lib/../lib -L/usr/lib/gcc/powerpc64le-linux-gnu/9/../../.. -lstdc++ -lm -lc -lgcc_s /usr/lib/gcc/powerpc64le-linux-gnu/9/crtendS.o /usr/lib/gcc/powerpc64le-linux-gnu/9/../../../powerpc64le-linux-gnu/crtn.o -static-libstdc++ -static-libgcc -Wl,-Bsymbolic-functions -Wl,-z -Wl,relro -Wl,-z -Wl,now ../libiberty/pic/libiberty.a -Wl,-soname -Wl,libcp1plugin.so.0 -Wl,-retain-symbols-file -Wl,../../src/libcc1/libcp1plugin.sym -o .libs/libcp1plugin.so.0.0.0 /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _mulvsi3_s.o -MT _mulvsi3_s.o -MD -MP -MF _mulvsi3_s.dep -DSHARED -DL_mulvsi3 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _mulvdi3_s.o -MT _mulvdi3_s.o -MD -MP -MF _mulvdi3_s.dep -DSHARED -DL_mulvdi3 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _negvsi2_s.o -MT _negvsi2_s.o -MD -MP -MF _negvsi2_s.dep -DSHARED -DL_negvsi2 -c ../../../src/libgcc/libgcc2.c libtool: link: (cd ".libs" && rm -f "libcp1plugin.so.0" && ln -s "libcp1plugin.so.0.0.0" "libcp1plugin.so.0") libtool: link: (cd ".libs" && rm -f "libcp1plugin.so" && ln -s "libcp1plugin.so.0.0.0" "libcp1plugin.so") libtool: link: ( cd ".libs" && rm -f "libcp1plugin.la" && ln -s "../libcp1plugin.la" "libcp1plugin.la" ) make[5]: Leaving directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/libcc1' make[4]: Leaving directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/libcc1' /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _negvdi2_s.o -MT _negvdi2_s.o -MD -MP -MF _negvdi2_s.dep -DSHARED -DL_negvdi2 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ctors_s.o -MT _ctors_s.o -MD -MP -MF _ctors_s.dep -DSHARED -DL_ctors -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ffssi2_s.o -MT _ffssi2_s.o -MD -MP -MF _ffssi2_s.dep -DSHARED -DL_ffssi2 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ffsdi2_s.o -MT _ffsdi2_s.o -MD -MP -MF _ffsdi2_s.dep -DSHARED -DL_ffsdi2 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _clz_s.o -MT _clz_s.o -MD -MP -MF _clz_s.dep -DSHARED -DL_clz -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _clzsi2_s.o -MT _clzsi2_s.o -MD -MP -MF _clzsi2_s.dep -DSHARED -DL_clzsi2 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _clzdi2_s.o -MT _clzdi2_s.o -MD -MP -MF _clzdi2_s.dep -DSHARED -DL_clzdi2 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ctzsi2_s.o -MT _ctzsi2_s.o -MD -MP -MF _ctzsi2_s.dep -DSHARED -DL_ctzsi2 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ctzdi2_s.o -MT _ctzdi2_s.o -MD -MP -MF _ctzdi2_s.dep -DSHARED -DL_ctzdi2 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _popcount_tab_s.o -MT _popcount_tab_s.o -MD -MP -MF _popcount_tab_s.dep -DSHARED -DL_popcount_tab -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _popcountsi2_s.o -MT _popcountsi2_s.o -MD -MP -MF _popcountsi2_s.dep -DSHARED -DL_popcountsi2 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _popcountdi2_s.o -MT _popcountdi2_s.o -MD -MP -MF _popcountdi2_s.dep -DSHARED -DL_popcountdi2 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _paritysi2_s.o -MT _paritysi2_s.o -MD -MP -MF _paritysi2_s.dep -DSHARED -DL_paritysi2 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _paritydi2_s.o -MT _paritydi2_s.o -MD -MP -MF _paritydi2_s.dep -DSHARED -DL_paritydi2 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _powisf2_s.o -MT _powisf2_s.o -MD -MP -MF _powisf2_s.dep -DSHARED -DL_powisf2 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _powidf2_s.o -MT _powidf2_s.o -MD -MP -MF _powidf2_s.dep -DSHARED -DL_powidf2 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _powixf2_s.o -MT _powixf2_s.o -MD -MP -MF _powixf2_s.dep -DSHARED -DL_powixf2 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _powitf2_s.o -MT _powitf2_s.o -MD -MP -MF _powitf2_s.dep -DSHARED -DL_powitf2 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _mulhc3_s.o -MT _mulhc3_s.o -MD -MP -MF _mulhc3_s.dep -DSHARED -DL_mulhc3 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _mulsc3_s.o -MT _mulsc3_s.o -MD -MP -MF _mulsc3_s.dep -DSHARED -DL_mulsc3 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _muldc3_s.o -MT _muldc3_s.o -MD -MP -MF _muldc3_s.dep -DSHARED -DL_muldc3 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _mulxc3_s.o -MT _mulxc3_s.o -MD -MP -MF _mulxc3_s.dep -DSHARED -DL_mulxc3 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _multc3_s.o -MT _multc3_s.o -MD -MP -MF _multc3_s.dep -DSHARED -DL_multc3 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divhc3_s.o -MT _divhc3_s.o -MD -MP -MF _divhc3_s.dep -DSHARED -DL_divhc3 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divsc3_s.o -MT _divsc3_s.o -MD -MP -MF _divsc3_s.dep -DSHARED -DL_divsc3 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divdc3_s.o -MT _divdc3_s.o -MD -MP -MF _divdc3_s.dep -DSHARED -DL_divdc3 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divxc3_s.o -MT _divxc3_s.o -MD -MP -MF _divxc3_s.dep -DSHARED -DL_divxc3 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divtc3_s.o -MT _divtc3_s.o -MD -MP -MF _divtc3_s.dep -DSHARED -DL_divtc3 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _bswapsi2_s.o -MT _bswapsi2_s.o -MD -MP -MF _bswapsi2_s.dep -DSHARED -DL_bswapsi2 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _bswapdi2_s.o -MT _bswapdi2_s.o -MD -MP -MF _bswapdi2_s.dep -DSHARED -DL_bswapdi2 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _clrsbsi2_s.o -MT _clrsbsi2_s.o -MD -MP -MF _clrsbsi2_s.dep -DSHARED -DL_clrsbsi2 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _clrsbdi2_s.o -MT _clrsbdi2_s.o -MD -MP -MF _clrsbdi2_s.dep -DSHARED -DL_clrsbdi2 -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunssfsi_s.o -MT _fixunssfsi_s.o -MD -MP -MF _fixunssfsi_s.dep -DSHARED -DL_fixunssfsi -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunsdfsi_s.o -MT _fixunsdfsi_s.o -MD -MP -MF _fixunsdfsi_s.dep -DSHARED -DL_fixunsdfsi -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunsxfsi_s.o -MT _fixunsxfsi_s.o -MD -MP -MF _fixunsxfsi_s.dep -DSHARED -DL_fixunsxfsi -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixsfdi_s.o -MT _fixsfdi_s.o -MD -MP -MF _fixsfdi_s.dep -DSHARED -DL_fixsfdi -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixdfdi_s.o -MT _fixdfdi_s.o -MD -MP -MF _fixdfdi_s.dep -DSHARED -DL_fixdfdi -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixxfdi_s.o -MT _fixxfdi_s.o -MD -MP -MF _fixxfdi_s.dep -DSHARED -DL_fixxfdi -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixtfdi_s.o -MT _fixtfdi_s.o -MD -MP -MF _fixtfdi_s.dep -DSHARED -DL_fixtfdi -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunssfdi_s.o -MT _fixunssfdi_s.o -MD -MP -MF _fixunssfdi_s.dep -DSHARED -DL_fixunssfdi -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunsdfdi_s.o -MT _fixunsdfdi_s.o -MD -MP -MF _fixunsdfdi_s.dep -DSHARED -DL_fixunsdfdi -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunsxfdi_s.o -MT _fixunsxfdi_s.o -MD -MP -MF _fixunsxfdi_s.dep -DSHARED -DL_fixunsxfdi -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunstfdi_s.o -MT _fixunstfdi_s.o -MD -MP -MF _fixunstfdi_s.dep -DSHARED -DL_fixunstfdi -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatdisf_s.o -MT _floatdisf_s.o -MD -MP -MF _floatdisf_s.dep -DSHARED -DL_floatdisf -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatdidf_s.o -MT _floatdidf_s.o -MD -MP -MF _floatdidf_s.dep -DSHARED -DL_floatdidf -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatdixf_s.o -MT _floatdixf_s.o -MD -MP -MF _floatdixf_s.dep -DSHARED -DL_floatdixf -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatditf_s.o -MT _floatditf_s.o -MD -MP -MF _floatditf_s.dep -DSHARED -DL_floatditf -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatundisf_s.o -MT _floatundisf_s.o -MD -MP -MF _floatundisf_s.dep -DSHARED -DL_floatundisf -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatundidf_s.o -MT _floatundidf_s.o -MD -MP -MF _floatundidf_s.dep -DSHARED -DL_floatundidf -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatundixf_s.o -MT _floatundixf_s.o -MD -MP -MF _floatundixf_s.dep -DSHARED -DL_floatundixf -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatunditf_s.o -MT _floatunditf_s.o -MD -MP -MF _floatunditf_s.dep -DSHARED -DL_floatunditf -c ../../../src/libgcc/libgcc2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divdi3_s.o -MT _divdi3_s.o -MD -MP -MF _divdi3_s.dep -DSHARED -DL_divdi3 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _moddi3_s.o -MT _moddi3_s.o -MD -MP -MF _moddi3_s.dep -DSHARED -DL_moddi3 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divmoddi4_s.o -MT _divmoddi4_s.o -MD -MP -MF _divmoddi4_s.dep -DSHARED -DL_divmoddi4 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _udivdi3_s.o -MT _udivdi3_s.o -MD -MP -MF _udivdi3_s.dep -DSHARED -DL_udivdi3 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _umoddi3_s.o -MT _umoddi3_s.o -MD -MP -MF _umoddi3_s.dep -DSHARED -DL_umoddi3 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _udivmoddi4_s.o -MT _udivmoddi4_s.o -MD -MP -MF _udivmoddi4_s.dep -DSHARED -DL_udivmoddi4 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _udiv_w_sdiv_s.o -MT _udiv_w_sdiv_s.o -MD -MP -MF _udiv_w_sdiv_s.dep -DSHARED -DL_udiv_w_sdiv -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o ibm-ldouble_s.o -MT ibm-ldouble_s.o -MD -MP -MF ibm-ldouble_s.dep -DSHARED -c ../../../src/libgcc/config/rs6000/ibm-ldouble.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o ppc64-fp_s.o -MT ppc64-fp_s.o -MD -MP -MF ppc64-fp_s.dep -DSHARED -c ../../../src/libgcc/config/rs6000/ppc64-fp.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o enable-execute-stack_s.o -MT enable-execute-stack_s.o -MD -MP -MF enable-execute-stack_s.dep -DSHARED -c enable-execute-stack.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o unwind-dw2_s.o -MT unwind-dw2_s.o -MD -MP -MF unwind-dw2_s.dep -DSHARED -fexceptions -c ../../../src/libgcc/unwind-dw2.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o unwind-dw2-fde-dip_s.o -MT unwind-dw2-fde-dip_s.o -MD -MP -MF unwind-dw2-fde-dip_s.dep -DSHARED -fexceptions -c ../../../src/libgcc/unwind-dw2-fde-dip.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o unwind-sjlj_s.o -MT unwind-sjlj_s.o -MD -MP -MF unwind-sjlj_s.dep -DSHARED -fexceptions -c ../../../src/libgcc/unwind-sjlj.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o unwind-c_s.o -MT unwind-c_s.o -MD -MP -MF unwind-c_s.dep -DSHARED -fexceptions -c ../../../src/libgcc/unwind-c.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o emutls_s.o -MT emutls_s.o -MD -MP -MF emutls_s.dep -DSHARED -fexceptions -c ../../../src/libgcc/emutls.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -o crtbegin.o -MT crtbegin.o -MD -MP -MF crtbegin.dep -msdata=none -O2 -fno-asynchronous-unwind-tables -c ../../../src/libgcc/crtstuff.c -DCRT_BEGIN /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -o crtbeginS.o -MT crtbeginS.o -MD -MP -MF crtbeginS.dep -msdata=none -O2 -fno-asynchronous-unwind-tables -fPIC -c ../../../src/libgcc/crtstuff.c -DCRT_BEGIN -DCRTSTUFFS_O /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -o crtbeginT.o -MT crtbeginT.o -MD -MP -MF crtbeginT.dep -msdata=none -O2 -fno-asynchronous-unwind-tables -c ../../../src/libgcc/crtstuff.c -DCRT_BEGIN -DCRTSTUFFT_O /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -o crtend.o -MT crtend.o -MD -MP -MF crtend.dep -msdata=none -O2 -fno-asynchronous-unwind-tables -c ../../../src/libgcc/crtstuff.c -DCRT_END /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -o crtendS.o -MT crtendS.o -MD -MP -MF crtendS.dep -msdata=none -O2 -fno-asynchronous-unwind-tables -fPIC -c ../../../src/libgcc/crtstuff.c -DCRT_END -DCRTSTUFFS_O /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -o ecrti.o -MT ecrti.o -MD -MP -MF ecrti.dep -c ../../../src/libgcc/config/rs6000/eabi-ci.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -o ecrtn.o -MT ecrtn.o -MD -MP -MF ecrtn.dep -c ../../../src/libgcc/config/rs6000/eabi-cn.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -o ncrti.o -MT ncrti.o -MD -MP -MF ncrti.dep -c ../../../src/libgcc/config/rs6000/sol-ci.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -o ncrtn.o -MT ncrtn.o -MD -MP -MF ncrtn.dep -c ../../../src/libgcc/config/rs6000/sol-cn.S { cat libgcc-std.ver ../../../src/libgcc/config/libgcc-glibc.ver ../../../src/libgcc/config/rs6000/libgcc-glibc.ver \ | sed -e '/^[ ]*#/d' \ -e 's/^%\(if\|else\|elif\|endif\|define\)/#\1/' \ | /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -E -xassembler-with-cpp -; \ } > tmp-libgcc.map.in /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _muldi3.o -MT _muldi3.o -MD -MP -MF _muldi3.dep -DL_muldi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS mv tmp-libgcc.map.in libgcc.map.in /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _negdi2.o -MT _negdi2.o -MD -MP -MF _negdi2.dep -DL_negdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _lshrdi3.o -MT _lshrdi3.o -MD -MP -MF _lshrdi3.dep -DL_lshrdi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ashldi3.o -MT _ashldi3.o -MD -MP -MF _ashldi3.dep -DL_ashldi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ashrdi3.o -MT _ashrdi3.o -MD -MP -MF _ashrdi3.dep -DL_ashrdi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _cmpdi2.o -MT _cmpdi2.o -MD -MP -MF _cmpdi2.dep -DL_cmpdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ucmpdi2.o -MT _ucmpdi2.o -MD -MP -MF _ucmpdi2.dep -DL_ucmpdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _clear_cache.o -MT _clear_cache.o -MD -MP -MF _clear_cache.dep -DL_clear_cache -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _trampoline.o -MT _trampoline.o -MD -MP -MF _trampoline.dep -DL_trampoline -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o __main.o -MT __main.o -MD -MP -MF __main.dep -DL__main -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _absvsi2.o -MT _absvsi2.o -MD -MP -MF _absvsi2.dep -DL_absvsi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _absvdi2.o -MT _absvdi2.o -MD -MP -MF _absvdi2.dep -DL_absvdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _addvsi3.o -MT _addvsi3.o -MD -MP -MF _addvsi3.dep -DL_addvsi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _addvdi3.o -MT _addvdi3.o -MD -MP -MF _addvdi3.dep -DL_addvdi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _subvsi3.o -MT _subvsi3.o -MD -MP -MF _subvsi3.dep -DL_subvsi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _subvdi3.o -MT _subvdi3.o -MD -MP -MF _subvdi3.dep -DL_subvdi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _mulvsi3.o -MT _mulvsi3.o -MD -MP -MF _mulvsi3.dep -DL_mulvsi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _mulvdi3.o -MT _mulvdi3.o -MD -MP -MF _mulvdi3.dep -DL_mulvdi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _negvsi2.o -MT _negvsi2.o -MD -MP -MF _negvsi2.dep -DL_negvsi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _negvdi2.o -MT _negvdi2.o -MD -MP -MF _negvdi2.dep -DL_negvdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ctors.o -MT _ctors.o -MD -MP -MF _ctors.dep -DL_ctors -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ffssi2.o -MT _ffssi2.o -MD -MP -MF _ffssi2.dep -DL_ffssi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ffsdi2.o -MT _ffsdi2.o -MD -MP -MF _ffsdi2.dep -DL_ffsdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _clz.o -MT _clz.o -MD -MP -MF _clz.dep -DL_clz -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _clzsi2.o -MT _clzsi2.o -MD -MP -MF _clzsi2.dep -DL_clzsi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _clzdi2.o -MT _clzdi2.o -MD -MP -MF _clzdi2.dep -DL_clzdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ctzsi2.o -MT _ctzsi2.o -MD -MP -MF _ctzsi2.dep -DL_ctzsi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ctzdi2.o -MT _ctzdi2.o -MD -MP -MF _ctzdi2.dep -DL_ctzdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _popcount_tab.o -MT _popcount_tab.o -MD -MP -MF _popcount_tab.dep -DL_popcount_tab -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _popcountsi2.o -MT _popcountsi2.o -MD -MP -MF _popcountsi2.dep -DL_popcountsi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _popcountdi2.o -MT _popcountdi2.o -MD -MP -MF _popcountdi2.dep -DL_popcountdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _paritysi2.o -MT _paritysi2.o -MD -MP -MF _paritysi2.dep -DL_paritysi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _paritydi2.o -MT _paritydi2.o -MD -MP -MF _paritydi2.dep -DL_paritydi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _powisf2.o -MT _powisf2.o -MD -MP -MF _powisf2.dep -DL_powisf2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _powidf2.o -MT _powidf2.o -MD -MP -MF _powidf2.dep -DL_powidf2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _powixf2.o -MT _powixf2.o -MD -MP -MF _powixf2.dep -DL_powixf2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _powitf2.o -MT _powitf2.o -MD -MP -MF _powitf2.dep -DL_powitf2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _mulhc3.o -MT _mulhc3.o -MD -MP -MF _mulhc3.dep -DL_mulhc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _mulsc3.o -MT _mulsc3.o -MD -MP -MF _mulsc3.dep -DL_mulsc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _muldc3.o -MT _muldc3.o -MD -MP -MF _muldc3.dep -DL_muldc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _mulxc3.o -MT _mulxc3.o -MD -MP -MF _mulxc3.dep -DL_mulxc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _multc3.o -MT _multc3.o -MD -MP -MF _multc3.dep -DL_multc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divhc3.o -MT _divhc3.o -MD -MP -MF _divhc3.dep -DL_divhc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divsc3.o -MT _divsc3.o -MD -MP -MF _divsc3.dep -DL_divsc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divdc3.o -MT _divdc3.o -MD -MP -MF _divdc3.dep -DL_divdc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divxc3.o -MT _divxc3.o -MD -MP -MF _divxc3.dep -DL_divxc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divtc3.o -MT _divtc3.o -MD -MP -MF _divtc3.dep -DL_divtc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _bswapsi2.o -MT _bswapsi2.o -MD -MP -MF _bswapsi2.dep -DL_bswapsi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _bswapdi2.o -MT _bswapdi2.o -MD -MP -MF _bswapdi2.dep -DL_bswapdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _clrsbsi2.o -MT _clrsbsi2.o -MD -MP -MF _clrsbsi2.dep -DL_clrsbsi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _clrsbdi2.o -MT _clrsbdi2.o -MD -MP -MF _clrsbdi2.dep -DL_clrsbdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunssfsi.o -MT _fixunssfsi.o -MD -MP -MF _fixunssfsi.dep -DL_fixunssfsi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunsdfsi.o -MT _fixunsdfsi.o -MD -MP -MF _fixunsdfsi.dep -DL_fixunsdfsi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunsxfsi.o -MT _fixunsxfsi.o -MD -MP -MF _fixunsxfsi.dep -DL_fixunsxfsi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixsfdi.o -MT _fixsfdi.o -MD -MP -MF _fixsfdi.dep -DL_fixsfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixdfdi.o -MT _fixdfdi.o -MD -MP -MF _fixdfdi.dep -DL_fixdfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixxfdi.o -MT _fixxfdi.o -MD -MP -MF _fixxfdi.dep -DL_fixxfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixtfdi.o -MT _fixtfdi.o -MD -MP -MF _fixtfdi.dep -DL_fixtfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunssfdi.o -MT _fixunssfdi.o -MD -MP -MF _fixunssfdi.dep -DL_fixunssfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunsdfdi.o -MT _fixunsdfdi.o -MD -MP -MF _fixunsdfdi.dep -DL_fixunsdfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunsxfdi.o -MT _fixunsxfdi.o -MD -MP -MF _fixunsxfdi.dep -DL_fixunsxfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunstfdi.o -MT _fixunstfdi.o -MD -MP -MF _fixunstfdi.dep -DL_fixunstfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatdisf.o -MT _floatdisf.o -MD -MP -MF _floatdisf.dep -DL_floatdisf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatdidf.o -MT _floatdidf.o -MD -MP -MF _floatdidf.dep -DL_floatdidf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatdixf.o -MT _floatdixf.o -MD -MP -MF _floatdixf.dep -DL_floatdixf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatditf.o -MT _floatditf.o -MD -MP -MF _floatditf.dep -DL_floatditf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatundisf.o -MT _floatundisf.o -MD -MP -MF _floatundisf.dep -DL_floatundisf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatundidf.o -MT _floatundidf.o -MD -MP -MF _floatundidf.dep -DL_floatundidf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatundixf.o -MT _floatundixf.o -MD -MP -MF _floatundixf.dep -DL_floatundixf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatunditf.o -MT _floatunditf.o -MD -MP -MF _floatunditf.dep -DL_floatunditf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _eprintf.o -MT _eprintf.o -MD -MP -MF _eprintf.dep -DL_eprintf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o __gcc_bcmp.o -MT __gcc_bcmp.o -MD -MP -MF __gcc_bcmp.dep -DL__gcc_bcmp -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divdi3.o -MT _divdi3.o -MD -MP -MF _divdi3.dep -DL_divdi3 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _moddi3.o -MT _moddi3.o -MD -MP -MF _moddi3.dep -DL_moddi3 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divmoddi4.o -MT _divmoddi4.o -MD -MP -MF _divmoddi4.dep -DL_divmoddi4 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _udivdi3.o -MT _udivdi3.o -MD -MP -MF _udivdi3.dep -DL_udivdi3 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _umoddi3.o -MT _umoddi3.o -MD -MP -MF _umoddi3.dep -DL_umoddi3 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _udivmoddi4.o -MT _udivmoddi4.o -MD -MP -MF _udivmoddi4.dep -DL_udivmoddi4 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _udiv_w_sdiv.o -MT _udiv_w_sdiv.o -MD -MP -MF _udiv_w_sdiv.dep -DL_udiv_w_sdiv -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o decContext.o -MT decContext.o -MD -MP -MF decContext.dep -c ../../../src/libgcc/../libdecnumber/decContext.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o decNumber.o -MT decNumber.o -MD -MP -MF decNumber.dep -c ../../../src/libgcc/../libdecnumber/decNumber.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o decExcept.o -MT decExcept.o -MD -MP -MF decExcept.dep -c ../../../src/libgcc/../libdecnumber/decExcept.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o decRound.o -MT decRound.o -MD -MP -MF decRound.dep -c ../../../src/libgcc/../libdecnumber/decRound.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o decLibrary.o -MT decLibrary.o -MD -MP -MF decLibrary.dep -c ../../../src/libgcc/../libdecnumber/decLibrary.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o decDouble.o -MT decDouble.o -MD -MP -MF decDouble.dep -c ../../../src/libgcc/../libdecnumber/decDouble.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o decPacked.o -MT decPacked.o -MD -MP -MF decPacked.dep -c ../../../src/libgcc/../libdecnumber/decPacked.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o decQuad.o -MT decQuad.o -MD -MP -MF decQuad.dep -c ../../../src/libgcc/../libdecnumber/decQuad.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o decSingle.o -MT decSingle.o -MD -MP -MF decSingle.dep -c ../../../src/libgcc/../libdecnumber/decSingle.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o decimal32.o -MT decimal32.o -MD -MP -MF decimal32.dep -c ../../../src/libgcc/../libdecnumber/dpd/decimal32.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o decimal64.o -MT decimal64.o -MD -MP -MF decimal64.dep -c ../../../src/libgcc/../libdecnumber/dpd/decimal64.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o decimal128.o -MT decimal128.o -MD -MP -MF decimal128.dep -c ../../../src/libgcc/../libdecnumber/dpd/decimal128.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _addsub_sd.o -MT _addsub_sd.o -MD -MP -MF _addsub_sd.dep -DFINE_GRAINED_LIBRARIES -DL_addsub_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _div_sd.o -MT _div_sd.o -MD -MP -MF _div_sd.dep -DFINE_GRAINED_LIBRARIES -DL_div_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _mul_sd.o -MT _mul_sd.o -MD -MP -MF _mul_sd.dep -DFINE_GRAINED_LIBRARIES -DL_mul_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _plus_sd.o -MT _plus_sd.o -MD -MP -MF _plus_sd.dep -DFINE_GRAINED_LIBRARIES -DL_plus_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _minus_sd.o -MT _minus_sd.o -MD -MP -MF _minus_sd.dep -DFINE_GRAINED_LIBRARIES -DL_minus_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _eq_sd.o -MT _eq_sd.o -MD -MP -MF _eq_sd.dep -DFINE_GRAINED_LIBRARIES -DL_eq_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ne_sd.o -MT _ne_sd.o -MD -MP -MF _ne_sd.dep -DFINE_GRAINED_LIBRARIES -DL_ne_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _lt_sd.o -MT _lt_sd.o -MD -MP -MF _lt_sd.dep -DFINE_GRAINED_LIBRARIES -DL_lt_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gt_sd.o -MT _gt_sd.o -MD -MP -MF _gt_sd.dep -DFINE_GRAINED_LIBRARIES -DL_gt_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _le_sd.o -MT _le_sd.o -MD -MP -MF _le_sd.dep -DFINE_GRAINED_LIBRARIES -DL_le_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ge_sd.o -MT _ge_sd.o -MD -MP -MF _ge_sd.dep -DFINE_GRAINED_LIBRARIES -DL_ge_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _sd_to_si.o -MT _sd_to_si.o -MD -MP -MF _sd_to_si.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_si -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _sd_to_di.o -MT _sd_to_di.o -MD -MP -MF _sd_to_di.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_di -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _sd_to_usi.o -MT _sd_to_usi.o -MD -MP -MF _sd_to_usi.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_usi -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _sd_to_udi.o -MT _sd_to_udi.o -MD -MP -MF _sd_to_udi.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_udi -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _si_to_sd.o -MT _si_to_sd.o -MD -MP -MF _si_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_si_to_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _di_to_sd.o -MT _di_to_sd.o -MD -MP -MF _di_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_di_to_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _usi_to_sd.o -MT _usi_to_sd.o -MD -MP -MF _usi_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_usi_to_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _udi_to_sd.o -MT _udi_to_sd.o -MD -MP -MF _udi_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_udi_to_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _sd_to_sf.o -MT _sd_to_sf.o -MD -MP -MF _sd_to_sf.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_sf -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _sd_to_df.o -MT _sd_to_df.o -MD -MP -MF _sd_to_df.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_df -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _sd_to_xf.o -MT _sd_to_xf.o -MD -MP -MF _sd_to_xf.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_xf -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _sd_to_tf.o -MT _sd_to_tf.o -MD -MP -MF _sd_to_tf.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_tf -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _sf_to_sd.o -MT _sf_to_sd.o -MD -MP -MF _sf_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_sf_to_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _df_to_sd.o -MT _df_to_sd.o -MD -MP -MF _df_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_df_to_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _xf_to_sd.o -MT _xf_to_sd.o -MD -MP -MF _xf_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_xf_to_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _tf_to_sd.o -MT _tf_to_sd.o -MD -MP -MF _tf_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_tf_to_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _sd_to_dd.o -MT _sd_to_dd.o -MD -MP -MF _sd_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_dd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _sd_to_td.o -MT _sd_to_td.o -MD -MP -MF _sd_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_td -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _unord_sd.o -MT _unord_sd.o -MD -MP -MF _unord_sd.dep -DFINE_GRAINED_LIBRARIES -DL_unord_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _conv_sd.o -MT _conv_sd.o -MD -MP -MF _conv_sd.dep -DFINE_GRAINED_LIBRARIES -DL_conv_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _addsub_dd.o -MT _addsub_dd.o -MD -MP -MF _addsub_dd.dep -DFINE_GRAINED_LIBRARIES -DL_addsub_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _div_dd.o -MT _div_dd.o -MD -MP -MF _div_dd.dep -DFINE_GRAINED_LIBRARIES -DL_div_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _mul_dd.o -MT _mul_dd.o -MD -MP -MF _mul_dd.dep -DFINE_GRAINED_LIBRARIES -DL_mul_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _plus_dd.o -MT _plus_dd.o -MD -MP -MF _plus_dd.dep -DFINE_GRAINED_LIBRARIES -DL_plus_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _minus_dd.o -MT _minus_dd.o -MD -MP -MF _minus_dd.dep -DFINE_GRAINED_LIBRARIES -DL_minus_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _eq_dd.o -MT _eq_dd.o -MD -MP -MF _eq_dd.dep -DFINE_GRAINED_LIBRARIES -DL_eq_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ne_dd.o -MT _ne_dd.o -MD -MP -MF _ne_dd.dep -DFINE_GRAINED_LIBRARIES -DL_ne_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _lt_dd.o -MT _lt_dd.o -MD -MP -MF _lt_dd.dep -DFINE_GRAINED_LIBRARIES -DL_lt_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gt_dd.o -MT _gt_dd.o -MD -MP -MF _gt_dd.dep -DFINE_GRAINED_LIBRARIES -DL_gt_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _le_dd.o -MT _le_dd.o -MD -MP -MF _le_dd.dep -DFINE_GRAINED_LIBRARIES -DL_le_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ge_dd.o -MT _ge_dd.o -MD -MP -MF _ge_dd.dep -DFINE_GRAINED_LIBRARIES -DL_ge_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _dd_to_si.o -MT _dd_to_si.o -MD -MP -MF _dd_to_si.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_si -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _dd_to_di.o -MT _dd_to_di.o -MD -MP -MF _dd_to_di.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_di -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _dd_to_usi.o -MT _dd_to_usi.o -MD -MP -MF _dd_to_usi.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_usi -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _dd_to_udi.o -MT _dd_to_udi.o -MD -MP -MF _dd_to_udi.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_udi -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _si_to_dd.o -MT _si_to_dd.o -MD -MP -MF _si_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_si_to_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _di_to_dd.o -MT _di_to_dd.o -MD -MP -MF _di_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_di_to_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _usi_to_dd.o -MT _usi_to_dd.o -MD -MP -MF _usi_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_usi_to_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _udi_to_dd.o -MT _udi_to_dd.o -MD -MP -MF _udi_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_udi_to_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _dd_to_sf.o -MT _dd_to_sf.o -MD -MP -MF _dd_to_sf.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_sf -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _dd_to_df.o -MT _dd_to_df.o -MD -MP -MF _dd_to_df.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_df -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _dd_to_xf.o -MT _dd_to_xf.o -MD -MP -MF _dd_to_xf.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_xf -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _dd_to_tf.o -MT _dd_to_tf.o -MD -MP -MF _dd_to_tf.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_tf -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _sf_to_dd.o -MT _sf_to_dd.o -MD -MP -MF _sf_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_sf_to_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _df_to_dd.o -MT _df_to_dd.o -MD -MP -MF _df_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_df_to_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _xf_to_dd.o -MT _xf_to_dd.o -MD -MP -MF _xf_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_xf_to_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _tf_to_dd.o -MT _tf_to_dd.o -MD -MP -MF _tf_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_tf_to_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _dd_to_sd.o -MT _dd_to_sd.o -MD -MP -MF _dd_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_sd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _dd_to_td.o -MT _dd_to_td.o -MD -MP -MF _dd_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_td -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _unord_dd.o -MT _unord_dd.o -MD -MP -MF _unord_dd.dep -DFINE_GRAINED_LIBRARIES -DL_unord_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _conv_dd.o -MT _conv_dd.o -MD -MP -MF _conv_dd.dep -DFINE_GRAINED_LIBRARIES -DL_conv_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _addsub_td.o -MT _addsub_td.o -MD -MP -MF _addsub_td.dep -DFINE_GRAINED_LIBRARIES -DL_addsub_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _div_td.o -MT _div_td.o -MD -MP -MF _div_td.dep -DFINE_GRAINED_LIBRARIES -DL_div_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _mul_td.o -MT _mul_td.o -MD -MP -MF _mul_td.dep -DFINE_GRAINED_LIBRARIES -DL_mul_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _plus_td.o -MT _plus_td.o -MD -MP -MF _plus_td.dep -DFINE_GRAINED_LIBRARIES -DL_plus_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _minus_td.o -MT _minus_td.o -MD -MP -MF _minus_td.dep -DFINE_GRAINED_LIBRARIES -DL_minus_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _eq_td.o -MT _eq_td.o -MD -MP -MF _eq_td.dep -DFINE_GRAINED_LIBRARIES -DL_eq_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ne_td.o -MT _ne_td.o -MD -MP -MF _ne_td.dep -DFINE_GRAINED_LIBRARIES -DL_ne_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _lt_td.o -MT _lt_td.o -MD -MP -MF _lt_td.dep -DFINE_GRAINED_LIBRARIES -DL_lt_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gt_td.o -MT _gt_td.o -MD -MP -MF _gt_td.dep -DFINE_GRAINED_LIBRARIES -DL_gt_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _le_td.o -MT _le_td.o -MD -MP -MF _le_td.dep -DFINE_GRAINED_LIBRARIES -DL_le_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ge_td.o -MT _ge_td.o -MD -MP -MF _ge_td.dep -DFINE_GRAINED_LIBRARIES -DL_ge_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _td_to_si.o -MT _td_to_si.o -MD -MP -MF _td_to_si.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_si -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _td_to_di.o -MT _td_to_di.o -MD -MP -MF _td_to_di.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_di -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _td_to_usi.o -MT _td_to_usi.o -MD -MP -MF _td_to_usi.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_usi -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _td_to_udi.o -MT _td_to_udi.o -MD -MP -MF _td_to_udi.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_udi -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _si_to_td.o -MT _si_to_td.o -MD -MP -MF _si_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_si_to_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _di_to_td.o -MT _di_to_td.o -MD -MP -MF _di_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_di_to_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _usi_to_td.o -MT _usi_to_td.o -MD -MP -MF _usi_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_usi_to_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _udi_to_td.o -MT _udi_to_td.o -MD -MP -MF _udi_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_udi_to_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _td_to_sf.o -MT _td_to_sf.o -MD -MP -MF _td_to_sf.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_sf -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _td_to_df.o -MT _td_to_df.o -MD -MP -MF _td_to_df.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_df -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _td_to_xf.o -MT _td_to_xf.o -MD -MP -MF _td_to_xf.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_xf -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _td_to_tf.o -MT _td_to_tf.o -MD -MP -MF _td_to_tf.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_tf -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _sf_to_td.o -MT _sf_to_td.o -MD -MP -MF _sf_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_sf_to_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _df_to_td.o -MT _df_to_td.o -MD -MP -MF _df_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_df_to_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _xf_to_td.o -MT _xf_to_td.o -MD -MP -MF _xf_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_xf_to_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _tf_to_td.o -MT _tf_to_td.o -MD -MP -MF _tf_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_tf_to_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _td_to_sd.o -MT _td_to_sd.o -MD -MP -MF _td_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_sd -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _td_to_dd.o -MT _td_to_dd.o -MD -MP -MF _td_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_dd -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _unord_td.o -MT _unord_td.o -MD -MP -MF _unord_td.dep -DFINE_GRAINED_LIBRARIES -DL_unord_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _conv_td.o -MT _conv_td.o -MD -MP -MF _conv_td.dep -DFINE_GRAINED_LIBRARIES -DL_conv_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o ibm-ldouble.o -MT ibm-ldouble.o -MD -MP -MF ibm-ldouble.dep -c ../../../src/libgcc/config/rs6000/ibm-ldouble.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o tramp_s.o -MT tramp_s.o -MD -MP -MF tramp_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/tramp.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o ppc64-fp.o -MT ppc64-fp.o -MD -MP -MF ppc64-fp.dep -c ../../../src/libgcc/config/rs6000/ppc64-fp.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o enable-execute-stack.o -MT enable-execute-stack.o -MD -MP -MF enable-execute-stack.dep -c enable-execute-stack.c -fvisibility=hidden -DHIDE_EXPORTS /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o eabi.o -MT eabi.o -MD -MP -MF eabi.dep -c -xassembler-with-cpp -include eabi.vis ../../../src/libgcc/config/rs6000/eabi.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o crtsavfpr.o -MT crtsavfpr.o -MD -MP -MF crtsavfpr.dep -c -xassembler-with-cpp -include crtsavfpr.vis ../../../src/libgcc/config/rs6000/crtsavfpr.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o crtresfpr.o -MT crtresfpr.o -MD -MP -MF crtresfpr.dep -c -xassembler-with-cpp -include crtresfpr.vis ../../../src/libgcc/config/rs6000/crtresfpr.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o crtsavgpr.o -MT crtsavgpr.o -MD -MP -MF crtsavgpr.dep -c -xassembler-with-cpp -include crtsavgpr.vis ../../../src/libgcc/config/rs6000/crtsavgpr.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o crtresgpr.o -MT crtresgpr.o -MD -MP -MF crtresgpr.dep -c -xassembler-with-cpp -include crtresgpr.vis ../../../src/libgcc/config/rs6000/crtresgpr.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o crtresxfpr.o -MT crtresxfpr.o -MD -MP -MF crtresxfpr.dep -c -xassembler-with-cpp -include crtresxfpr.vis ../../../src/libgcc/config/rs6000/crtresxfpr.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o crtresxgpr.o -MT crtresxgpr.o -MD -MP -MF crtresxgpr.dep -c -xassembler-with-cpp -include crtresxgpr.vis ../../../src/libgcc/config/rs6000/crtresxgpr.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o crtsavevr.o -MT crtsavevr.o -MD -MP -MF crtsavevr.dep -c -xassembler-with-cpp -include crtsavevr.vis ../../../src/libgcc/config/rs6000/crtsavevr.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o crtrestvr.o -MT crtrestvr.o -MD -MP -MF crtrestvr.dep -c -xassembler-with-cpp -include crtrestvr.vis ../../../src/libgcc/config/rs6000/crtrestvr.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtres32gpr.o -MT e500crtres32gpr.o -MD -MP -MF e500crtres32gpr.dep -c -xassembler-with-cpp -include e500crtres32gpr.vis ../../../src/libgcc/config/rs6000/e500crtres32gpr.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtres64gpr.o -MT e500crtres64gpr.o -MD -MP -MF e500crtres64gpr.dep -c -xassembler-with-cpp -include e500crtres64gpr.vis ../../../src/libgcc/config/rs6000/e500crtres64gpr.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtres64gprctr.o -MT e500crtres64gprctr.o -MD -MP -MF e500crtres64gprctr.dep -c -xassembler-with-cpp -include e500crtres64gprctr.vis ../../../src/libgcc/config/rs6000/e500crtres64gprctr.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtrest32gpr.o -MT e500crtrest32gpr.o -MD -MP -MF e500crtrest32gpr.dep -c -xassembler-with-cpp -include e500crtrest32gpr.vis ../../../src/libgcc/config/rs6000/e500crtrest32gpr.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtrest64gpr.o -MT e500crtrest64gpr.o -MD -MP -MF e500crtrest64gpr.dep -c -xassembler-with-cpp -include e500crtrest64gpr.vis ../../../src/libgcc/config/rs6000/e500crtrest64gpr.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtresx32gpr.o -MT e500crtresx32gpr.o -MD -MP -MF e500crtresx32gpr.dep -c -xassembler-with-cpp -include e500crtresx32gpr.vis ../../../src/libgcc/config/rs6000/e500crtresx32gpr.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtresx64gpr.o -MT e500crtresx64gpr.o -MD -MP -MF e500crtresx64gpr.dep -c -xassembler-with-cpp -include e500crtresx64gpr.vis ../../../src/libgcc/config/rs6000/e500crtresx64gpr.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtsav32gpr.o -MT e500crtsav32gpr.o -MD -MP -MF e500crtsav32gpr.dep -c -xassembler-with-cpp -include e500crtsav32gpr.vis ../../../src/libgcc/config/rs6000/e500crtsav32gpr.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtsav64gpr.o -MT e500crtsav64gpr.o -MD -MP -MF e500crtsav64gpr.dep -c -xassembler-with-cpp -include e500crtsav64gpr.vis ../../../src/libgcc/config/rs6000/e500crtsav64gpr.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtsav64gprctr.o -MT e500crtsav64gprctr.o -MD -MP -MF e500crtsav64gprctr.dep -c -xassembler-with-cpp -include e500crtsav64gprctr.vis ../../../src/libgcc/config/rs6000/e500crtsav64gprctr.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtsavg32gpr.o -MT e500crtsavg32gpr.o -MD -MP -MF e500crtsavg32gpr.dep -c -xassembler-with-cpp -include e500crtsavg32gpr.vis ../../../src/libgcc/config/rs6000/e500crtsavg32gpr.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtsavg64gpr.o -MT e500crtsavg64gpr.o -MD -MP -MF e500crtsavg64gpr.dep -c -xassembler-with-cpp -include e500crtsavg64gpr.vis ../../../src/libgcc/config/rs6000/e500crtsavg64gpr.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtsavg64gprctr.o -MT e500crtsavg64gprctr.o -MD -MP -MF e500crtsavg64gprctr.dep -c -xassembler-with-cpp -include e500crtsavg64gprctr.vis ../../../src/libgcc/config/rs6000/e500crtsavg64gprctr.S /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o morestack.o -MT morestack.o -MD -MP -MF morestack.dep -c -xassembler-with-cpp -include morestack.vis ../../../src/libgcc/config/rs6000/morestack.S rm -f libgcov.a objects="_gcov_merge_add.o _gcov_merge_single.o _gcov_merge_ior.o _gcov_merge_time_profile.o _gcov_merge_icall_topn.o _gcov_interval_profiler.o _gcov_interval_profiler_atomic.o _gcov_pow2_profiler.o _gcov_pow2_profiler_atomic.o _gcov_one_value_profiler.o _gcov_one_value_profiler_atomic.o _gcov_average_profiler.o _gcov_average_profiler_atomic.o _gcov_ior_profiler.o _gcov_ior_profiler_atomic.o _gcov_indirect_call_profiler_v3.o _gcov_time_profiler.o _gcov_indirect_call_topn_profiler.o _gcov_dump.o _gcov_flush.o _gcov_fork.o _gcov_execl.o _gcov_execlp.o _gcov_execle.o _gcov_execv.o _gcov_execvp.o _gcov_execve.o _gcov_reset.o _gcov.o"; \ if test -z "$objects"; then \ echo 'int __libgcc_eh_dummy;' > eh_dummy.c; \ /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -fvisibility=hidden -DHIDE_EXPORTS -c eh_dummy.c \ -o eh_dummy.o; \ objects=eh_dummy.o; \ fi; \ ar rc libgcov.a $objects rm -f libgcc_eh.a # Early copyback; see "all" above for the rationale. The { /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/nm -pg _muldi3_s.o _negdi2_s.o _lshrdi3_s.o _ashldi3_s.o _ashrdi3_s.o _cmpdi2_s.o _ucmpdi2_s.o _clear_cache_s.o _trampoline_s.o __main_s.o _absvsi2_s.o _absvdi2_s.o _addvsi3_s.o _addvdi3_s.o _subvsi3_s.o _subvdi3_s.o _mulvsi3_s.o _mulvdi3_s.o _negvsi2_s.o _negvdi2_s.o _ctors_s.o _ffssi2_s.o _ffsdi2_s.o _clz_s.o _clzsi2_s.o _clzdi2_s.o _ctzsi2_s.o _ctzdi2_s.o _popcount_tab_s.o _popcountsi2_s.o _popcountdi2_s.o _paritysi2_s.o _paritydi2_s.o _powisf2_s.o _powidf2_s.o _powixf2_s.o _powitf2_s.o _mulhc3_s.o _mulsc3_s.o _muldc3_s.o _mulxc3_s.o _multc3_s.o _divhc3_s.o _divsc3_s.o _divdc3_s.o _divxc3_s.o _divtc3_s.o _bswapsi2_s.o _bswapdi2_s.o _clrsbsi2_s.o _clrsbdi2_s.o _fixunssfsi_s.o _fixunsdfsi_s.o _fixunsxfsi_s.o _fixsfdi_s.o _fixdfdi_s.o _fixxfdi_s.o _fixtfdi_s.o _fixunssfdi_s.o _fixunsdfdi_s.o _fixunsxfdi_s.o _fixunstfdi_s.o _floatdisf_s.o _floatdidf_s.o _floatdixf_s.o _floatditf_s.o _floatundisf_s.o _floatundidf_s.o _floatundixf_s.o _floatunditf_s.o _divdi3_s.o _moddi3_s.o _divmoddi4_s.o _udivdi3_s.o _umoddi3_s.o _udivmoddi4_s.o _udiv_w_sdiv_s.o ibm-ldouble_s.o tramp_s.o ppc64-fp_s.o enable-execute-stack_s.o unwind-dw2_s.o unwind-dw2-fde-dip_s.o unwind-sjlj_s.o unwind-c_s.o emutls_s.o emutls_s.o; echo %%; \ cat libgcc.map.in; \ } | gawk -f ../../../src/libgcc/mkmap-symver.awk > tmp-libgcc.map ranlib libgcov.a objects="unwind-dw2.o unwind-dw2-fde-dip.o unwind-sjlj.o unwind-c.o emutls.o"; \ if test -z "$objects"; then \ echo 'int __libgcc_eh_dummy;' > eh_dummy.c; \ /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -fvisibility=hidden -DHIDE_EXPORTS -c eh_dummy.c \ -o eh_dummy.o; \ objects=eh_dummy.o; \ fi; \ ar rc libgcc_eh.a $objects # early copy is necessary so that the gcc -B options find # the right startup files when linking shared libgcc. /bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc ranlib libgcc_eh.a parts="crtbegin.o crtbeginS.o crtbeginT.o crtend.o crtendS.o ecrti.o ecrtn.o ncrti.o ncrtn.o"; \ for file in $parts; do \ rm -f ../.././gcc/$file; \ /usr/bin/install -c -m 644 $file ../.././gcc/; \ case $file in \ *.a) \ ranlib ../.././gcc/$file ;; \ esac; \ done /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/nm -pg tramp_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > tramp.visT mv -f tramp.visT tramp.vis /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o tramp.o -MT tramp.o -MD -MP -MF tramp.dep -c -xassembler-with-cpp -include tramp.vis ../../../src/libgcc/config/rs6000/tramp.S rm -f libgcc.a objects="_muldi3.o _negdi2.o _lshrdi3.o _ashldi3.o _ashrdi3.o _cmpdi2.o _ucmpdi2.o _clear_cache.o _trampoline.o __main.o _absvsi2.o _absvdi2.o _addvsi3.o _addvdi3.o _subvsi3.o _subvdi3.o _mulvsi3.o _mulvdi3.o _negvsi2.o _negvdi2.o _ctors.o _ffssi2.o _ffsdi2.o _clz.o _clzsi2.o _clzdi2.o _ctzsi2.o _ctzdi2.o _popcount_tab.o _popcountsi2.o _popcountdi2.o _paritysi2.o _paritydi2.o _powisf2.o _powidf2.o _powixf2.o _powitf2.o _mulhc3.o _mulsc3.o _muldc3.o _mulxc3.o _multc3.o _divhc3.o _divsc3.o _divdc3.o _divxc3.o _divtc3.o _bswapsi2.o _bswapdi2.o _clrsbsi2.o _clrsbdi2.o _fixunssfsi.o _fixunsdfsi.o _fixunsxfsi.o _fixsfdi.o _fixdfdi.o _fixxfdi.o _fixtfdi.o _fixunssfdi.o _fixunsdfdi.o _fixunsxfdi.o _fixunstfdi.o _floatdisf.o _floatdidf.o _floatdixf.o _floatditf.o _floatundisf.o _floatundidf.o _floatundixf.o _floatunditf.o _eprintf.o __gcc_bcmp.o _divdi3.o _moddi3.o _divmoddi4.o _udivdi3.o _umoddi3.o _udivmoddi4.o _udiv_w_sdiv.o decContext.o decNumber.o decExcept.o decRound.o decLibrary.o decDouble.o decPacked.o decQuad.o decSingle.o decimal32.o decimal64.o decimal128.o _addsub_sd.o _div_sd.o _mul_sd.o _plus_sd.o _minus_sd.o _eq_sd.o _ne_sd.o _lt_sd.o _gt_sd.o _le_sd.o _ge_sd.o _sd_to_si.o _sd_to_di.o _sd_to_usi.o _sd_to_udi.o _si_to_sd.o _di_to_sd.o _usi_to_sd.o _udi_to_sd.o _sd_to_sf.o _sd_to_df.o _sd_to_xf.o _sd_to_tf.o _sf_to_sd.o _df_to_sd.o _xf_to_sd.o _tf_to_sd.o _sd_to_dd.o _sd_to_td.o _unord_sd.o _conv_sd.o _addsub_dd.o _div_dd.o _mul_dd.o _plus_dd.o _minus_dd.o _eq_dd.o _ne_dd.o _lt_dd.o _gt_dd.o _le_dd.o _ge_dd.o _dd_to_si.o _dd_to_di.o _dd_to_usi.o _dd_to_udi.o _si_to_dd.o _di_to_dd.o _usi_to_dd.o _udi_to_dd.o _dd_to_sf.o _dd_to_df.o _dd_to_xf.o _dd_to_tf.o _sf_to_dd.o _df_to_dd.o _xf_to_dd.o _tf_to_dd.o _dd_to_sd.o _dd_to_td.o _unord_dd.o _conv_dd.o _addsub_td.o _div_td.o _mul_td.o _plus_td.o _minus_td.o _eq_td.o _ne_td.o _lt_td.o _gt_td.o _le_td.o _ge_td.o _td_to_si.o _td_to_di.o _td_to_usi.o _td_to_udi.o _si_to_td.o _di_to_td.o _usi_to_td.o _udi_to_td.o _td_to_sf.o _td_to_df.o _td_to_xf.o _td_to_tf.o _sf_to_td.o _df_to_td.o _xf_to_td.o _tf_to_td.o _td_to_sd.o _td_to_dd.o _unord_td.o _conv_td.o ibm-ldouble.o tramp.o ppc64-fp.o enable-execute-stack.o eabi.o crtsavfpr.o crtresfpr.o crtsavgpr.o crtresgpr.o crtresxfpr.o crtresxgpr.o crtsavevr.o crtrestvr.o e500crtres32gpr.o e500crtres64gpr.o e500crtres64gprctr.o e500crtrest32gpr.o e500crtrest64gpr.o e500crtresx32gpr.o e500crtresx64gpr.o e500crtsav32gpr.o e500crtsav64gpr.o e500crtsav64gprctr.o e500crtsavg32gpr.o e500crtsavg64gpr.o e500crtsavg64gprctr.o generic-morestack.o generic-morestack-thread.o morestack.o addkf3-sw.o subkf3-sw.o mulkf3-sw.o divkf3-sw.o negkf2-sw.o unordkf2-sw.o eqkf2-sw.o gekf2-sw.o lekf2-sw.o extendsfkf2-sw.o extenddfkf2-sw.o trunckfsf2-sw.o trunckfdf2-sw.o fixkfsi-sw.o fixkfdi-sw.o fixunskfsi-sw.o fixunskfdi-sw.o floatsikf-sw.o floatdikf-sw.o floatunsikf-sw.o floatundikf-sw.o floattikf.o floatuntikf.o fixkfti.o fixunskfti.o extendkftf2-sw.o trunctfkf2-sw.o sfp-exceptions.o _mulkc3.o _divkc3.o _powikf2.o float128-hw.o _mulkc3-hw.o _divkc3-hw.o _powikf2-hw.o float128-ifunc.o"; \ if test -z "$objects"; then \ echo 'int __libgcc_eh_dummy;' > eh_dummy.c; \ /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -fvisibility=hidden -DHIDE_EXPORTS -c eh_dummy.c \ -o eh_dummy.o; \ objects=eh_dummy.o; \ fi; \ ar rc libgcc.a $objects mv tmp-libgcc.map libgcc.map ranlib libgcc.a # @multilib_flags@ is still needed because this may use # /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include and -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector directly. # @multilib_dir@ is not really necessary, but sometimes it has # more uses than just a directory name. /bin/bash ../../../src/libgcc/../mkinstalldirs . /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -O2 -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -shared -nodefaultlibs -Wl,--soname=libgcc_s.so.1 -Wl,--version-script=libgcc.map -o ./libgcc_s.so.1.tmp -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -B./ _muldi3_s.o _negdi2_s.o _lshrdi3_s.o _ashldi3_s.o _ashrdi3_s.o _cmpdi2_s.o _ucmpdi2_s.o _clear_cache_s.o _trampoline_s.o __main_s.o _absvsi2_s.o _absvdi2_s.o _addvsi3_s.o _addvdi3_s.o _subvsi3_s.o _subvdi3_s.o _mulvsi3_s.o _mulvdi3_s.o _negvsi2_s.o _negvdi2_s.o _ctors_s.o _ffssi2_s.o _ffsdi2_s.o _clz_s.o _clzsi2_s.o _clzdi2_s.o _ctzsi2_s.o _ctzdi2_s.o _popcount_tab_s.o _popcountsi2_s.o _popcountdi2_s.o _paritysi2_s.o _paritydi2_s.o _powisf2_s.o _powidf2_s.o _powixf2_s.o _powitf2_s.o _mulhc3_s.o _mulsc3_s.o _muldc3_s.o _mulxc3_s.o _multc3_s.o _divhc3_s.o _divsc3_s.o _divdc3_s.o _divxc3_s.o _divtc3_s.o _bswapsi2_s.o _bswapdi2_s.o _clrsbsi2_s.o _clrsbdi2_s.o _fixunssfsi_s.o _fixunsdfsi_s.o _fixunsxfsi_s.o _fixsfdi_s.o _fixdfdi_s.o _fixxfdi_s.o _fixtfdi_s.o _fixunssfdi_s.o _fixunsdfdi_s.o _fixunsxfdi_s.o _fixunstfdi_s.o _floatdisf_s.o _floatdidf_s.o _floatdixf_s.o _floatditf_s.o _floatundisf_s.o _floatundidf_s.o _floatundixf_s.o _floatunditf_s.o _divdi3_s.o _moddi3_s.o _divmoddi4_s.o _udivdi3_s.o _umoddi3_s.o _udivmoddi4_s.o _udiv_w_sdiv_s.o ibm-ldouble_s.o tramp_s.o ppc64-fp_s.o enable-execute-stack_s.o unwind-dw2_s.o unwind-dw2-fde-dip_s.o unwind-sjlj_s.o unwind-c_s.o emutls_s.o libgcc.a -lc && rm -f ./libgcc_s.so && if [ -f ./libgcc_s.so.1 ]; then mv -f ./libgcc_s.so.1 ./libgcc_s.so.1.backup; else true; fi && mv ./libgcc_s.so.1.tmp ./libgcc_s.so.1 && (echo "/* GNU ld script"; echo " Use the shared library, but some functions are only in"; echo " the static library. */"; echo "GROUP ( libgcc_s.so.1 -lgcc )" ) > ./libgcc_s.so # Now that we have built all the objects, we need to copy # them back to the GCC directory. Too many things (other # in-tree libraries, and DejaGNU) know about the layout # of the build tree, for now. /usr/bin/make install-leaf DESTDIR=../.././gcc \ slibdir= libsubdir= MULTIOSDIR=. make[5]: Entering directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/powerpc64le-unknown-linux-gnu/libgcc' /bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc /usr/bin/install -c -m 644 libgcc_eh.a ../.././gcc/ chmod 644 ../.././gcc/libgcc_eh.a ranlib ../.././gcc/libgcc_eh.a /bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc; /usr/bin/install -c -m 644 ./libgcc_s.so.1 ../.././gcc/libgcc_s.so.1; rm -f ../.././gcc/libgcc_s.so; /usr/bin/install -c -m 644 ./libgcc_s.so ../.././gcc/libgcc_s.so /bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc /usr/bin/install -c -m 644 libgcc.a ../.././gcc/ chmod 644 ../.././gcc/libgcc.a ranlib ../.././gcc/libgcc.a /usr/bin/install -c -m 644 libgcov.a ../.././gcc/ chmod 644 ../.././gcc/libgcov.a ranlib ../.././gcc/libgcov.a parts="crtbegin.o crtbeginS.o crtbeginT.o crtend.o crtendS.o ecrti.o ecrtn.o ncrti.o ncrtn.o"; \ for file in $parts; do \ rm -f ../.././gcc/$file; \ /usr/bin/install -c -m 644 $file ../.././gcc/; \ case $file in \ *.a) \ ranlib ../.././gcc/$file ;; \ esac; \ done make[5]: Leaving directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/powerpc64le-unknown-linux-gnu/libgcc' make[4]: Leaving directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/powerpc64le-unknown-linux-gnu/libgcc' Checking multilib configuration for libatomic... mkdir -p -- powerpc64le-unknown-linux-gnu/libatomic Configuring in powerpc64le-unknown-linux-gnu/libatomic configure: creating cache ./config.cache checking for --enable-version-specific-runtime-libs... no checking for --enable-generated-files-in-srcdir... no checking build system type... powerpc64le-unknown-linux-gnu checking host system type... powerpc64le-unknown-linux-gnu checking target system type... powerpc64le-unknown-linux-gnu checking for a BSD-compatible install... /usr/bin/install -c checking whether build environment is sane... yes checking for a thread-safe mkdir -p... /bin/mkdir -p checking for gawk... gawk checking whether /usr/bin/make sets $(MAKE)... yes checking whether /usr/bin/make supports nested variables... yes checking for powerpc64le-unknown-linux-gnu-gcc... /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include checking whether the C compiler works... yes checking for C compiler default output file name... a.out checking for suffix of executables... checking whether we are cross compiling... no checking for suffix of object files... o checking whether we are using the GNU C compiler... yes checking whether /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include accepts -g... yes checking for /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include option to accept ISO C89... none needed checking whether /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include understands -c and -o together... yes checking for style of include used by /usr/bin/make... GNU checking dependency style of /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include ... gcc3 checking dependency style of /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include ... gcc3 checking for powerpc64le-unknown-linux-gnu-ar... ar checking for powerpc64le-unknown-linux-gnu-nm... /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/nm checking for powerpc64le-unknown-linux-gnu-ranlib... ranlib checking for perl... /usr/bin/perl checking how to print strings... printf checking for a sed that does not truncate output... /bin/sed checking for grep that handles long lines and -e... /bin/grep checking for egrep... /bin/grep -E checking for fgrep... /bin/grep -F checking for ld used by /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include ... /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/collect-ld checking if the linker (/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/collect-ld) is GNU ld... yes checking for BSD- or MS-compatible name lister (nm)... /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/nm checking the name lister (/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/nm) interface... BSD nm checking whether ln -s works... yes checking the maximum length of command line arguments... 1572864 checking whether the shell understands some XSI constructs... yes checking whether the shell understands "+="... yes checking for /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/collect-ld option to reload object files... -r checking for powerpc64le-unknown-linux-gnu-objdump... objdump checking how to recognize dependent libraries... pass_all checking for powerpc64le-unknown-linux-gnu-ar... (cached) ar checking for powerpc64le-unknown-linux-gnu-strip... strip checking for powerpc64le-unknown-linux-gnu-ranlib... (cached) ranlib checking command to parse /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/nm output from /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include object... ok checking how to run the C preprocessor... /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -E checking for ANSI C header files... yes checking for sys/types.h... yes checking for sys/stat.h... yes checking for stdlib.h... yes checking for string.h... yes checking for memory.h... yes checking for strings.h... yes checking for inttypes.h... yes checking for stdint.h... yes checking for unistd.h... yes checking for dlfcn.h... yes checking for objdir... .libs checking if /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include supports -fno-rtti -fno-exceptions... no checking for /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include option to produce PIC... -fPIC -DPIC checking if /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include PIC flag -fPIC -DPIC works... yes checking if /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include static flag -static works... yes checking if /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include supports -c -o file.o... yes checking if /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include supports -c -o file.o... (cached) yes checking whether the /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include linker (/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/collect-ld) supports shared libraries... yes checking whether -lc should be explicitly linked in... no checking dynamic linker characteristics... GNU/Linux ld.so checking how to hardcode library paths into programs... immediate checking whether stripping libraries is possible... yes checking if libtool supports shared libraries... yes checking whether to build shared libraries... yes checking whether to build static libraries... yes checking whether to enable maintainer-specific portions of Makefiles... no checking for thread model used by GCC... posix checking for ANSI C header files... (cached) yes checking whether string.h and strings.h may both be included... yes looking for a compliant stdint.h in stdint.h, checking for uintmax_t... yes checking for uintptr_t... yes checking for int_least32_t... yes checking for int_fast32_t... yes checking for uint64_t... yes checking what to include in gstdint.h... stdint.h (already complete) checking fenv.h usability... yes checking fenv.h presence... yes checking for fenv.h... yes checking for 1 byte integer... yes checking for 2 byte integer... yes checking for 4 byte integer... yes checking for 8 byte integer... yes checking for 16 byte integer... yes checking for __atomic_load/store for size 1... yes checking for __atomic_load/store for size 2... yes checking for __atomic_load/store for size 4... yes checking for __atomic_load/store for size 8... yes checking for __atomic_load/store for size 16... yes checking for __atomic_test_and_set for size 1... yes checking for __atomic_test_and_set for size 2... yes checking for __atomic_test_and_set for size 4... yes checking for __atomic_test_and_set for size 8... yes checking for __atomic_test_and_set for size 16... yes checking for __atomic_exchange for size 1... yes checking for __atomic_exchange for size 2... yes checking for __atomic_exchange for size 4... yes checking for __atomic_exchange for size 8... yes checking for __atomic_exchange for size 16... yes checking for __atomic_compare_exchange for size 1... yes checking for __atomic_compare_exchange for size 2... yes checking for __atomic_compare_exchange for size 4... yes checking for __atomic_compare_exchange for size 8... yes checking for __atomic_compare_exchange for size 16... yes checking for __atomic_fetch_add for size 1... yes checking for __atomic_fetch_add for size 2... yes checking for __atomic_fetch_add for size 4... yes checking for __atomic_fetch_add for size 8... yes checking for __atomic_fetch_add for size 16... yes checking for __atomic_fetch_op for size 1... yes checking for __atomic_fetch_op for size 2... yes checking for __atomic_fetch_op for size 4... yes checking for __atomic_fetch_op for size 8... yes checking for __atomic_fetch_op for size 16... yes checking whether byte ordering is bigendian... no checking for the word size... 8 checking whether the target supports hidden visibility... yes checking whether the target supports dllexport... no checking whether the target supports symbol aliases... yes checking for ld used by /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include ... (cached) /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/collect-ld checking if the linker (/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/collect-ld) is GNU ld... (cached) yes checking for shared libgcc... yes configure: versioning on shared library symbols is gnu configure: updating cache ./config.cache checking for CET support... no checking that generated files are newer than configure... done configure: creating ./config.status config.status: creating Makefile config.status: creating testsuite/Makefile config.status: creating auto-config.h config.status: executing default-1 commands config.status: executing depfiles commands config.status: executing libtool commands config.status: executing gstdint.h commands make[4]: Entering directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/powerpc64le-unknown-linux-gnu/libatomic' Makefile:867: warning: overriding recipe for target 'all-multi' Makefile:861: warning: ignoring old recipe for target 'all-multi' /usr/bin/make all-recursive make[5]: Entering directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/powerpc64le-unknown-linux-gnu/libatomic' Makefile:867: warning: overriding recipe for target 'all-multi' Makefile:861: warning: ignoring old recipe for target 'all-multi' Making all in testsuite make[6]: Entering directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/powerpc64le-unknown-linux-gnu/libatomic/testsuite' make[6]: Nothing to be done for 'all'. make[6]: Leaving directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/powerpc64le-unknown-linux-gnu/libatomic/testsuite' make[6]: Entering directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/powerpc64le-unknown-linux-gnu/libatomic' Makefile:867: warning: overriding recipe for target 'all-multi' Makefile:861: warning: ignoring old recipe for target 'all-multi' /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT gload.lo -MD -MP -MF .deps/gload.Tpo -c -o gload.lo ../../../src/libatomic/gload.c /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT gstore.lo -MD -MP -MF .deps/gstore.Tpo -c -o gstore.lo ../../../src/libatomic/gstore.c /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT gcas.lo -MD -MP -MF .deps/gcas.Tpo -c -o gcas.lo ../../../src/libatomic/gcas.c /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT gexch.lo -MD -MP -MF .deps/gexch.Tpo -c -o gexch.lo ../../../src/libatomic/gexch.c libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT gstore.lo -MD -MP -MF .deps/gstore.Tpo -c ../../../src/libatomic/gstore.c -fPIC -DPIC -o .libs/gstore.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT gcas.lo -MD -MP -MF .deps/gcas.Tpo -c ../../../src/libatomic/gcas.c -fPIC -DPIC -o .libs/gcas.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT gload.lo -MD -MP -MF .deps/gload.Tpo -c ../../../src/libatomic/gload.c -fPIC -DPIC -o .libs/gload.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT gexch.lo -MD -MP -MF .deps/gexch.Tpo -c ../../../src/libatomic/gexch.c -fPIC -DPIC -o .libs/gexch.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT gload.lo -MD -MP -MF .deps/gload.Tpo -c ../../../src/libatomic/gload.c -o gload.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT gstore.lo -MD -MP -MF .deps/gstore.Tpo -c ../../../src/libatomic/gstore.c -o gstore.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT gcas.lo -MD -MP -MF .deps/gcas.Tpo -c ../../../src/libatomic/gcas.c -o gcas.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT gexch.lo -MD -MP -MF .deps/gexch.Tpo -c ../../../src/libatomic/gexch.c -o gexch.o >/dev/null 2>&1 mv -f .deps/gload.Tpo .deps/gload.Plo /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT glfree.lo -MD -MP -MF .deps/glfree.Tpo -c -o glfree.lo ../../../src/libatomic/glfree.c libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT glfree.lo -MD -MP -MF .deps/glfree.Tpo -c ../../../src/libatomic/glfree.c -fPIC -DPIC -o .libs/glfree.o mv -f .deps/gstore.Tpo .deps/gstore.Plo /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT lock.lo -MD -MP -MF .deps/lock.Tpo -c -o lock.lo ../../../src/libatomic/config/posix/lock.c mv -f .deps/gcas.Tpo .deps/gcas.Plo /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT init.lo -MD -MP -MF .deps/init.Tpo -c -o init.lo ../../../src/libatomic/init.c libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT lock.lo -MD -MP -MF .deps/lock.Tpo -c ../../../src/libatomic/config/posix/lock.c -fPIC -DPIC -o .libs/lock.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT glfree.lo -MD -MP -MF .deps/glfree.Tpo -c ../../../src/libatomic/glfree.c -o glfree.o >/dev/null 2>&1 mv -f .deps/gexch.Tpo .deps/gexch.Plo /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fenv.lo -MD -MP -MF .deps/fenv.Tpo -c -o fenv.lo ../../../src/libatomic/fenv.c libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT init.lo -MD -MP -MF .deps/init.Tpo -c ../../../src/libatomic/init.c -fPIC -DPIC -o .libs/init.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fenv.lo -MD -MP -MF .deps/fenv.Tpo -c ../../../src/libatomic/fenv.c -fPIC -DPIC -o .libs/fenv.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT init.lo -MD -MP -MF .deps/init.Tpo -c ../../../src/libatomic/init.c -o init.o >/dev/null 2>&1 mv -f .deps/glfree.Tpo .deps/glfree.Plo /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fence.lo -MD -MP -MF .deps/fence.Tpo -c -o fence.lo ../../../src/libatomic/fence.c mv -f .deps/init.Tpo .deps/init.Plo /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT flag.lo -MD -MP -MF .deps/flag.Tpo -c -o flag.lo ../../../src/libatomic/flag.c libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT lock.lo -MD -MP -MF .deps/lock.Tpo -c ../../../src/libatomic/config/posix/lock.c -o lock.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fenv.lo -MD -MP -MF .deps/fenv.Tpo -c ../../../src/libatomic/fenv.c -o fenv.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fence.lo -MD -MP -MF .deps/fence.Tpo -c ../../../src/libatomic/fence.c -fPIC -DPIC -o .libs/fence.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT flag.lo -MD -MP -MF .deps/flag.Tpo -c ../../../src/libatomic/flag.c -fPIC -DPIC -o .libs/flag.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fence.lo -MD -MP -MF .deps/fence.Tpo -c ../../../src/libatomic/fence.c -o fence.o >/dev/null 2>&1 mv -f .deps/fenv.Tpo .deps/fenv.Plo /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT load_1_.lo -MD -MP -MF .deps/load_1_.lo.Ppo -DN=1 -c -o load_1_.lo ../../../src/libatomic/load_n.c libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT flag.lo -MD -MP -MF .deps/flag.Tpo -c ../../../src/libatomic/flag.c -o flag.o >/dev/null 2>&1 mv -f .deps/lock.Tpo .deps/lock.Plo /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT store_1_.lo -MD -MP -MF .deps/store_1_.lo.Ppo -DN=1 -c -o store_1_.lo ../../../src/libatomic/store_n.c libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT load_1_.lo -MD -MP -MF .deps/load_1_.lo.Ppo -DN=1 -c ../../../src/libatomic/load_n.c -fPIC -DPIC -o .libs/load_1_.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT store_1_.lo -MD -MP -MF .deps/store_1_.lo.Ppo -DN=1 -c ../../../src/libatomic/store_n.c -fPIC -DPIC -o .libs/store_1_.o mv -f .deps/fence.Tpo .deps/fence.Plo /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT cas_1_.lo -MD -MP -MF .deps/cas_1_.lo.Ppo -DN=1 -c -o cas_1_.lo ../../../src/libatomic/cas_n.c mv -f .deps/flag.Tpo .deps/flag.Plo /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT exch_1_.lo -MD -MP -MF .deps/exch_1_.lo.Ppo -DN=1 -c -o exch_1_.lo ../../../src/libatomic/exch_n.c libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT store_1_.lo -MD -MP -MF .deps/store_1_.lo.Ppo -DN=1 -c ../../../src/libatomic/store_n.c -o store_1_.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT load_1_.lo -MD -MP -MF .deps/load_1_.lo.Ppo -DN=1 -c ../../../src/libatomic/load_n.c -o load_1_.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT cas_1_.lo -MD -MP -MF .deps/cas_1_.lo.Ppo -DN=1 -c ../../../src/libatomic/cas_n.c -fPIC -DPIC -o .libs/cas_1_.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT exch_1_.lo -MD -MP -MF .deps/exch_1_.lo.Ppo -DN=1 -c ../../../src/libatomic/exch_n.c -fPIC -DPIC -o .libs/exch_1_.o /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fadd_1_.lo -MD -MP -MF .deps/fadd_1_.lo.Ppo -DN=1 -c -o fadd_1_.lo ../../../src/libatomic/fadd_n.c libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT cas_1_.lo -MD -MP -MF .deps/cas_1_.lo.Ppo -DN=1 -c ../../../src/libatomic/cas_n.c -o cas_1_.o >/dev/null 2>&1 /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fsub_1_.lo -MD -MP -MF .deps/fsub_1_.lo.Ppo -DN=1 -c -o fsub_1_.lo ../../../src/libatomic/fsub_n.c libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT exch_1_.lo -MD -MP -MF .deps/exch_1_.lo.Ppo -DN=1 -c ../../../src/libatomic/exch_n.c -o exch_1_.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fadd_1_.lo -MD -MP -MF .deps/fadd_1_.lo.Ppo -DN=1 -c ../../../src/libatomic/fadd_n.c -fPIC -DPIC -o .libs/fadd_1_.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fsub_1_.lo -MD -MP -MF .deps/fsub_1_.lo.Ppo -DN=1 -c ../../../src/libatomic/fsub_n.c -fPIC -DPIC -o .libs/fsub_1_.o /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fand_1_.lo -MD -MP -MF .deps/fand_1_.lo.Ppo -DN=1 -c -o fand_1_.lo ../../../src/libatomic/fand_n.c /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fior_1_.lo -MD -MP -MF .deps/fior_1_.lo.Ppo -DN=1 -c -o fior_1_.lo ../../../src/libatomic/fior_n.c libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fand_1_.lo -MD -MP -MF .deps/fand_1_.lo.Ppo -DN=1 -c ../../../src/libatomic/fand_n.c -fPIC -DPIC -o .libs/fand_1_.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fadd_1_.lo -MD -MP -MF .deps/fadd_1_.lo.Ppo -DN=1 -c ../../../src/libatomic/fadd_n.c -o fadd_1_.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fsub_1_.lo -MD -MP -MF .deps/fsub_1_.lo.Ppo -DN=1 -c ../../../src/libatomic/fsub_n.c -o fsub_1_.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fior_1_.lo -MD -MP -MF .deps/fior_1_.lo.Ppo -DN=1 -c ../../../src/libatomic/fior_n.c -fPIC -DPIC -o .libs/fior_1_.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fand_1_.lo -MD -MP -MF .deps/fand_1_.lo.Ppo -DN=1 -c ../../../src/libatomic/fand_n.c -o fand_1_.o >/dev/null 2>&1 /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fxor_1_.lo -MD -MP -MF .deps/fxor_1_.lo.Ppo -DN=1 -c -o fxor_1_.lo ../../../src/libatomic/fxor_n.c libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fior_1_.lo -MD -MP -MF .deps/fior_1_.lo.Ppo -DN=1 -c ../../../src/libatomic/fior_n.c -o fior_1_.o >/dev/null 2>&1 /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fnand_1_.lo -MD -MP -MF .deps/fnand_1_.lo.Ppo -DN=1 -c -o fnand_1_.lo ../../../src/libatomic/fnand_n.c libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fnand_1_.lo -MD -MP -MF .deps/fnand_1_.lo.Ppo -DN=1 -c ../../../src/libatomic/fnand_n.c -fPIC -DPIC -o .libs/fnand_1_.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fxor_1_.lo -MD -MP -MF .deps/fxor_1_.lo.Ppo -DN=1 -c ../../../src/libatomic/fxor_n.c -fPIC -DPIC -o .libs/fxor_1_.o /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT tas_1_.lo -MD -MP -MF .deps/tas_1_.lo.Ppo -DN=1 -c -o tas_1_.lo ../../../src/libatomic/tas_n.c /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT load_2_.lo -MD -MP -MF .deps/load_2_.lo.Ppo -DN=2 -c -o load_2_.lo ../../../src/libatomic/load_n.c libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fxor_1_.lo -MD -MP -MF .deps/fxor_1_.lo.Ppo -DN=1 -c ../../../src/libatomic/fxor_n.c -o fxor_1_.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fnand_1_.lo -MD -MP -MF .deps/fnand_1_.lo.Ppo -DN=1 -c ../../../src/libatomic/fnand_n.c -o fnand_1_.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT load_2_.lo -MD -MP -MF .deps/load_2_.lo.Ppo -DN=2 -c ../../../src/libatomic/load_n.c -fPIC -DPIC -o .libs/load_2_.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT tas_1_.lo -MD -MP -MF .deps/tas_1_.lo.Ppo -DN=1 -c ../../../src/libatomic/tas_n.c -fPIC -DPIC -o .libs/tas_1_.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT load_2_.lo -MD -MP -MF .deps/load_2_.lo.Ppo -DN=2 -c ../../../src/libatomic/load_n.c -o load_2_.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT tas_1_.lo -MD -MP -MF .deps/tas_1_.lo.Ppo -DN=1 -c ../../../src/libatomic/tas_n.c -o tas_1_.o >/dev/null 2>&1 /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT store_2_.lo -MD -MP -MF .deps/store_2_.lo.Ppo -DN=2 -c -o store_2_.lo ../../../src/libatomic/store_n.c /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT cas_2_.lo -MD -MP -MF .deps/cas_2_.lo.Ppo -DN=2 -c -o cas_2_.lo ../../../src/libatomic/cas_n.c libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT store_2_.lo -MD -MP -MF .deps/store_2_.lo.Ppo -DN=2 -c ../../../src/libatomic/store_n.c -fPIC -DPIC -o .libs/store_2_.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT cas_2_.lo -MD -MP -MF .deps/cas_2_.lo.Ppo -DN=2 -c ../../../src/libatomic/cas_n.c -fPIC -DPIC -o .libs/cas_2_.o /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT exch_2_.lo -MD -MP -MF .deps/exch_2_.lo.Ppo -DN=2 -c -o exch_2_.lo ../../../src/libatomic/exch_n.c /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fadd_2_.lo -MD -MP -MF .deps/fadd_2_.lo.Ppo -DN=2 -c -o fadd_2_.lo ../../../src/libatomic/fadd_n.c libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT exch_2_.lo -MD -MP -MF .deps/exch_2_.lo.Ppo -DN=2 -c ../../../src/libatomic/exch_n.c -fPIC -DPIC -o .libs/exch_2_.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fadd_2_.lo -MD -MP -MF .deps/fadd_2_.lo.Ppo -DN=2 -c ../../../src/libatomic/fadd_n.c -fPIC -DPIC -o .libs/fadd_2_.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT store_2_.lo -MD -MP -MF .deps/store_2_.lo.Ppo -DN=2 -c ../../../src/libatomic/store_n.c -o store_2_.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT cas_2_.lo -MD -MP -MF .deps/cas_2_.lo.Ppo -DN=2 -c ../../../src/libatomic/cas_n.c -o cas_2_.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT exch_2_.lo -MD -MP -MF .deps/exch_2_.lo.Ppo -DN=2 -c ../../../src/libatomic/exch_n.c -o exch_2_.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fadd_2_.lo -MD -MP -MF .deps/fadd_2_.lo.Ppo -DN=2 -c ../../../src/libatomic/fadd_n.c -o fadd_2_.o >/dev/null 2>&1 /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fsub_2_.lo -MD -MP -MF .deps/fsub_2_.lo.Ppo -DN=2 -c -o fsub_2_.lo ../../../src/libatomic/fsub_n.c /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fand_2_.lo -MD -MP -MF .deps/fand_2_.lo.Ppo -DN=2 -c -o fand_2_.lo ../../../src/libatomic/fand_n.c libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fsub_2_.lo -MD -MP -MF .deps/fsub_2_.lo.Ppo -DN=2 -c ../../../src/libatomic/fsub_n.c -fPIC -DPIC -o .libs/fsub_2_.o /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fior_2_.lo -MD -MP -MF .deps/fior_2_.lo.Ppo -DN=2 -c -o fior_2_.lo ../../../src/libatomic/fior_n.c libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fand_2_.lo -MD -MP -MF .deps/fand_2_.lo.Ppo -DN=2 -c ../../../src/libatomic/fand_n.c -fPIC -DPIC -o .libs/fand_2_.o /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fxor_2_.lo -MD -MP -MF .deps/fxor_2_.lo.Ppo -DN=2 -c -o fxor_2_.lo ../../../src/libatomic/fxor_n.c libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fior_2_.lo -MD -MP -MF .deps/fior_2_.lo.Ppo -DN=2 -c ../../../src/libatomic/fior_n.c -fPIC -DPIC -o .libs/fior_2_.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fsub_2_.lo -MD -MP -MF .deps/fsub_2_.lo.Ppo -DN=2 -c ../../../src/libatomic/fsub_n.c -o fsub_2_.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fxor_2_.lo -MD -MP -MF .deps/fxor_2_.lo.Ppo -DN=2 -c ../../../src/libatomic/fxor_n.c -fPIC -DPIC -o .libs/fxor_2_.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fand_2_.lo -MD -MP -MF .deps/fand_2_.lo.Ppo -DN=2 -c ../../../src/libatomic/fand_n.c -o fand_2_.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fior_2_.lo -MD -MP -MF .deps/fior_2_.lo.Ppo -DN=2 -c ../../../src/libatomic/fior_n.c -o fior_2_.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fxor_2_.lo -MD -MP -MF .deps/fxor_2_.lo.Ppo -DN=2 -c ../../../src/libatomic/fxor_n.c -o fxor_2_.o >/dev/null 2>&1 /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fnand_2_.lo -MD -MP -MF .deps/fnand_2_.lo.Ppo -DN=2 -c -o fnand_2_.lo ../../../src/libatomic/fnand_n.c libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fnand_2_.lo -MD -MP -MF .deps/fnand_2_.lo.Ppo -DN=2 -c ../../../src/libatomic/fnand_n.c -fPIC -DPIC -o .libs/fnand_2_.o /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT tas_2_.lo -MD -MP -MF .deps/tas_2_.lo.Ppo -DN=2 -c -o tas_2_.lo ../../../src/libatomic/tas_n.c /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT load_4_.lo -MD -MP -MF .deps/load_4_.lo.Ppo -DN=4 -c -o load_4_.lo ../../../src/libatomic/load_n.c /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT store_4_.lo -MD -MP -MF .deps/store_4_.lo.Ppo -DN=4 -c -o store_4_.lo ../../../src/libatomic/store_n.c libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT tas_2_.lo -MD -MP -MF .deps/tas_2_.lo.Ppo -DN=2 -c ../../../src/libatomic/tas_n.c -fPIC -DPIC -o .libs/tas_2_.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT load_4_.lo -MD -MP -MF .deps/load_4_.lo.Ppo -DN=4 -c ../../../src/libatomic/load_n.c -fPIC -DPIC -o .libs/load_4_.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT store_4_.lo -MD -MP -MF .deps/store_4_.lo.Ppo -DN=4 -c ../../../src/libatomic/store_n.c -fPIC -DPIC -o .libs/store_4_.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fnand_2_.lo -MD -MP -MF .deps/fnand_2_.lo.Ppo -DN=2 -c ../../../src/libatomic/fnand_n.c -o fnand_2_.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT tas_2_.lo -MD -MP -MF .deps/tas_2_.lo.Ppo -DN=2 -c ../../../src/libatomic/tas_n.c -o tas_2_.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT load_4_.lo -MD -MP -MF .deps/load_4_.lo.Ppo -DN=4 -c ../../../src/libatomic/load_n.c -o load_4_.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT store_4_.lo -MD -MP -MF .deps/store_4_.lo.Ppo -DN=4 -c ../../../src/libatomic/store_n.c -o store_4_.o >/dev/null 2>&1 /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT cas_4_.lo -MD -MP -MF .deps/cas_4_.lo.Ppo -DN=4 -c -o cas_4_.lo ../../../src/libatomic/cas_n.c /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT exch_4_.lo -MD -MP -MF .deps/exch_4_.lo.Ppo -DN=4 -c -o exch_4_.lo ../../../src/libatomic/exch_n.c libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT cas_4_.lo -MD -MP -MF .deps/cas_4_.lo.Ppo -DN=4 -c ../../../src/libatomic/cas_n.c -fPIC -DPIC -o .libs/cas_4_.o /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fadd_4_.lo -MD -MP -MF .deps/fadd_4_.lo.Ppo -DN=4 -c -o fadd_4_.lo ../../../src/libatomic/fadd_n.c libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT exch_4_.lo -MD -MP -MF .deps/exch_4_.lo.Ppo -DN=4 -c ../../../src/libatomic/exch_n.c -fPIC -DPIC -o .libs/exch_4_.o /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fsub_4_.lo -MD -MP -MF .deps/fsub_4_.lo.Ppo -DN=4 -c -o fsub_4_.lo ../../../src/libatomic/fsub_n.c libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fadd_4_.lo -MD -MP -MF .deps/fadd_4_.lo.Ppo -DN=4 -c ../../../src/libatomic/fadd_n.c -fPIC -DPIC -o .libs/fadd_4_.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fsub_4_.lo -MD -MP -MF .deps/fsub_4_.lo.Ppo -DN=4 -c ../../../src/libatomic/fsub_n.c -fPIC -DPIC -o .libs/fsub_4_.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT exch_4_.lo -MD -MP -MF .deps/exch_4_.lo.Ppo -DN=4 -c ../../../src/libatomic/exch_n.c -o exch_4_.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT cas_4_.lo -MD -MP -MF .deps/cas_4_.lo.Ppo -DN=4 -c ../../../src/libatomic/cas_n.c -o cas_4_.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fsub_4_.lo -MD -MP -MF .deps/fsub_4_.lo.Ppo -DN=4 -c ../../../src/libatomic/fsub_n.c -o fsub_4_.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fadd_4_.lo -MD -MP -MF .deps/fadd_4_.lo.Ppo -DN=4 -c ../../../src/libatomic/fadd_n.c -o fadd_4_.o >/dev/null 2>&1 /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fand_4_.lo -MD -MP -MF .deps/fand_4_.lo.Ppo -DN=4 -c -o fand_4_.lo ../../../src/libatomic/fand_n.c /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fior_4_.lo -MD -MP -MF .deps/fior_4_.lo.Ppo -DN=4 -c -o fior_4_.lo ../../../src/libatomic/fior_n.c libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fand_4_.lo -MD -MP -MF .deps/fand_4_.lo.Ppo -DN=4 -c ../../../src/libatomic/fand_n.c -fPIC -DPIC -o .libs/fand_4_.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fior_4_.lo -MD -MP -MF .deps/fior_4_.lo.Ppo -DN=4 -c ../../../src/libatomic/fior_n.c -fPIC -DPIC -o .libs/fior_4_.o /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fxor_4_.lo -MD -MP -MF .deps/fxor_4_.lo.Ppo -DN=4 -c -o fxor_4_.lo ../../../src/libatomic/fxor_n.c /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fnand_4_.lo -MD -MP -MF .deps/fnand_4_.lo.Ppo -DN=4 -c -o fnand_4_.lo ../../../src/libatomic/fnand_n.c libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fxor_4_.lo -MD -MP -MF .deps/fxor_4_.lo.Ppo -DN=4 -c ../../../src/libatomic/fxor_n.c -fPIC -DPIC -o .libs/fxor_4_.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fnand_4_.lo -MD -MP -MF .deps/fnand_4_.lo.Ppo -DN=4 -c ../../../src/libatomic/fnand_n.c -fPIC -DPIC -o .libs/fnand_4_.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fand_4_.lo -MD -MP -MF .deps/fand_4_.lo.Ppo -DN=4 -c ../../../src/libatomic/fand_n.c -o fand_4_.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fior_4_.lo -MD -MP -MF .deps/fior_4_.lo.Ppo -DN=4 -c ../../../src/libatomic/fior_n.c -o fior_4_.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fnand_4_.lo -MD -MP -MF .deps/fnand_4_.lo.Ppo -DN=4 -c ../../../src/libatomic/fnand_n.c -o fnand_4_.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fxor_4_.lo -MD -MP -MF .deps/fxor_4_.lo.Ppo -DN=4 -c ../../../src/libatomic/fxor_n.c -o fxor_4_.o >/dev/null 2>&1 /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT tas_4_.lo -MD -MP -MF .deps/tas_4_.lo.Ppo -DN=4 -c -o tas_4_.lo ../../../src/libatomic/tas_n.c /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT load_8_.lo -MD -MP -MF .deps/load_8_.lo.Ppo -DN=8 -c -o load_8_.lo ../../../src/libatomic/load_n.c libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT tas_4_.lo -MD -MP -MF .deps/tas_4_.lo.Ppo -DN=4 -c ../../../src/libatomic/tas_n.c -fPIC -DPIC -o .libs/tas_4_.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT load_8_.lo -MD -MP -MF .deps/load_8_.lo.Ppo -DN=8 -c ../../../src/libatomic/load_n.c -fPIC -DPIC -o .libs/load_8_.o /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT store_8_.lo -MD -MP -MF .deps/store_8_.lo.Ppo -DN=8 -c -o store_8_.lo ../../../src/libatomic/store_n.c /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT cas_8_.lo -MD -MP -MF .deps/cas_8_.lo.Ppo -DN=8 -c -o cas_8_.lo ../../../src/libatomic/cas_n.c libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT store_8_.lo -MD -MP -MF .deps/store_8_.lo.Ppo -DN=8 -c ../../../src/libatomic/store_n.c -fPIC -DPIC -o .libs/store_8_.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT cas_8_.lo -MD -MP -MF .deps/cas_8_.lo.Ppo -DN=8 -c ../../../src/libatomic/cas_n.c -fPIC -DPIC -o .libs/cas_8_.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT tas_4_.lo -MD -MP -MF .deps/tas_4_.lo.Ppo -DN=4 -c ../../../src/libatomic/tas_n.c -o tas_4_.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT load_8_.lo -MD -MP -MF .deps/load_8_.lo.Ppo -DN=8 -c ../../../src/libatomic/load_n.c -o load_8_.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT store_8_.lo -MD -MP -MF .deps/store_8_.lo.Ppo -DN=8 -c ../../../src/libatomic/store_n.c -o store_8_.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT cas_8_.lo -MD -MP -MF .deps/cas_8_.lo.Ppo -DN=8 -c ../../../src/libatomic/cas_n.c -o cas_8_.o >/dev/null 2>&1 /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT exch_8_.lo -MD -MP -MF .deps/exch_8_.lo.Ppo -DN=8 -c -o exch_8_.lo ../../../src/libatomic/exch_n.c /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fadd_8_.lo -MD -MP -MF .deps/fadd_8_.lo.Ppo -DN=8 -c -o fadd_8_.lo ../../../src/libatomic/fadd_n.c libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT exch_8_.lo -MD -MP -MF .deps/exch_8_.lo.Ppo -DN=8 -c ../../../src/libatomic/exch_n.c -fPIC -DPIC -o .libs/exch_8_.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fadd_8_.lo -MD -MP -MF .deps/fadd_8_.lo.Ppo -DN=8 -c ../../../src/libatomic/fadd_n.c -fPIC -DPIC -o .libs/fadd_8_.o /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fsub_8_.lo -MD -MP -MF .deps/fsub_8_.lo.Ppo -DN=8 -c -o fsub_8_.lo ../../../src/libatomic/fsub_n.c /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fand_8_.lo -MD -MP -MF .deps/fand_8_.lo.Ppo -DN=8 -c -o fand_8_.lo ../../../src/libatomic/fand_n.c libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fsub_8_.lo -MD -MP -MF .deps/fsub_8_.lo.Ppo -DN=8 -c ../../../src/libatomic/fsub_n.c -fPIC -DPIC -o .libs/fsub_8_.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fand_8_.lo -MD -MP -MF .deps/fand_8_.lo.Ppo -DN=8 -c ../../../src/libatomic/fand_n.c -fPIC -DPIC -o .libs/fand_8_.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT exch_8_.lo -MD -MP -MF .deps/exch_8_.lo.Ppo -DN=8 -c ../../../src/libatomic/exch_n.c -o exch_8_.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fadd_8_.lo -MD -MP -MF .deps/fadd_8_.lo.Ppo -DN=8 -c ../../../src/libatomic/fadd_n.c -o fadd_8_.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fand_8_.lo -MD -MP -MF .deps/fand_8_.lo.Ppo -DN=8 -c ../../../src/libatomic/fand_n.c -o fand_8_.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fsub_8_.lo -MD -MP -MF .deps/fsub_8_.lo.Ppo -DN=8 -c ../../../src/libatomic/fsub_n.c -o fsub_8_.o >/dev/null 2>&1 /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fior_8_.lo -MD -MP -MF .deps/fior_8_.lo.Ppo -DN=8 -c -o fior_8_.lo ../../../src/libatomic/fior_n.c /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fxor_8_.lo -MD -MP -MF .deps/fxor_8_.lo.Ppo -DN=8 -c -o fxor_8_.lo ../../../src/libatomic/fxor_n.c libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fior_8_.lo -MD -MP -MF .deps/fior_8_.lo.Ppo -DN=8 -c ../../../src/libatomic/fior_n.c -fPIC -DPIC -o .libs/fior_8_.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fxor_8_.lo -MD -MP -MF .deps/fxor_8_.lo.Ppo -DN=8 -c ../../../src/libatomic/fxor_n.c -fPIC -DPIC -o .libs/fxor_8_.o /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fnand_8_.lo -MD -MP -MF .deps/fnand_8_.lo.Ppo -DN=8 -c -o fnand_8_.lo ../../../src/libatomic/fnand_n.c /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT tas_8_.lo -MD -MP -MF .deps/tas_8_.lo.Ppo -DN=8 -c -o tas_8_.lo ../../../src/libatomic/tas_n.c libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fnand_8_.lo -MD -MP -MF .deps/fnand_8_.lo.Ppo -DN=8 -c ../../../src/libatomic/fnand_n.c -fPIC -DPIC -o .libs/fnand_8_.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fior_8_.lo -MD -MP -MF .deps/fior_8_.lo.Ppo -DN=8 -c ../../../src/libatomic/fior_n.c -o fior_8_.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT tas_8_.lo -MD -MP -MF .deps/tas_8_.lo.Ppo -DN=8 -c ../../../src/libatomic/tas_n.c -fPIC -DPIC -o .libs/tas_8_.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fxor_8_.lo -MD -MP -MF .deps/fxor_8_.lo.Ppo -DN=8 -c ../../../src/libatomic/fxor_n.c -o fxor_8_.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fnand_8_.lo -MD -MP -MF .deps/fnand_8_.lo.Ppo -DN=8 -c ../../../src/libatomic/fnand_n.c -o fnand_8_.o >/dev/null 2>&1 /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT load_16_.lo -MD -MP -MF .deps/load_16_.lo.Ppo -DN=16 -c -o load_16_.lo ../../../src/libatomic/load_n.c libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT tas_8_.lo -MD -MP -MF .deps/tas_8_.lo.Ppo -DN=8 -c ../../../src/libatomic/tas_n.c -o tas_8_.o >/dev/null 2>&1 /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT store_16_.lo -MD -MP -MF .deps/store_16_.lo.Ppo -DN=16 -c -o store_16_.lo ../../../src/libatomic/store_n.c libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT load_16_.lo -MD -MP -MF .deps/load_16_.lo.Ppo -DN=16 -c ../../../src/libatomic/load_n.c -fPIC -DPIC -o .libs/load_16_.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT store_16_.lo -MD -MP -MF .deps/store_16_.lo.Ppo -DN=16 -c ../../../src/libatomic/store_n.c -fPIC -DPIC -o .libs/store_16_.o /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT cas_16_.lo -MD -MP -MF .deps/cas_16_.lo.Ppo -DN=16 -c -o cas_16_.lo ../../../src/libatomic/cas_n.c /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT exch_16_.lo -MD -MP -MF .deps/exch_16_.lo.Ppo -DN=16 -c -o exch_16_.lo ../../../src/libatomic/exch_n.c libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT cas_16_.lo -MD -MP -MF .deps/cas_16_.lo.Ppo -DN=16 -c ../../../src/libatomic/cas_n.c -fPIC -DPIC -o .libs/cas_16_.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT exch_16_.lo -MD -MP -MF .deps/exch_16_.lo.Ppo -DN=16 -c ../../../src/libatomic/exch_n.c -fPIC -DPIC -o .libs/exch_16_.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT load_16_.lo -MD -MP -MF .deps/load_16_.lo.Ppo -DN=16 -c ../../../src/libatomic/load_n.c -o load_16_.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT store_16_.lo -MD -MP -MF .deps/store_16_.lo.Ppo -DN=16 -c ../../../src/libatomic/store_n.c -o store_16_.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT exch_16_.lo -MD -MP -MF .deps/exch_16_.lo.Ppo -DN=16 -c ../../../src/libatomic/exch_n.c -o exch_16_.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT cas_16_.lo -MD -MP -MF .deps/cas_16_.lo.Ppo -DN=16 -c ../../../src/libatomic/cas_n.c -o cas_16_.o >/dev/null 2>&1 /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fadd_16_.lo -MD -MP -MF .deps/fadd_16_.lo.Ppo -DN=16 -c -o fadd_16_.lo ../../../src/libatomic/fadd_n.c /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fsub_16_.lo -MD -MP -MF .deps/fsub_16_.lo.Ppo -DN=16 -c -o fsub_16_.lo ../../../src/libatomic/fsub_n.c libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fadd_16_.lo -MD -MP -MF .deps/fadd_16_.lo.Ppo -DN=16 -c ../../../src/libatomic/fadd_n.c -fPIC -DPIC -o .libs/fadd_16_.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fsub_16_.lo -MD -MP -MF .deps/fsub_16_.lo.Ppo -DN=16 -c ../../../src/libatomic/fsub_n.c -fPIC -DPIC -o .libs/fsub_16_.o /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fand_16_.lo -MD -MP -MF .deps/fand_16_.lo.Ppo -DN=16 -c -o fand_16_.lo ../../../src/libatomic/fand_n.c /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fior_16_.lo -MD -MP -MF .deps/fior_16_.lo.Ppo -DN=16 -c -o fior_16_.lo ../../../src/libatomic/fior_n.c libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fand_16_.lo -MD -MP -MF .deps/fand_16_.lo.Ppo -DN=16 -c ../../../src/libatomic/fand_n.c -fPIC -DPIC -o .libs/fand_16_.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fior_16_.lo -MD -MP -MF .deps/fior_16_.lo.Ppo -DN=16 -c ../../../src/libatomic/fior_n.c -fPIC -DPIC -o .libs/fior_16_.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fadd_16_.lo -MD -MP -MF .deps/fadd_16_.lo.Ppo -DN=16 -c ../../../src/libatomic/fadd_n.c -o fadd_16_.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fsub_16_.lo -MD -MP -MF .deps/fsub_16_.lo.Ppo -DN=16 -c ../../../src/libatomic/fsub_n.c -o fsub_16_.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fand_16_.lo -MD -MP -MF .deps/fand_16_.lo.Ppo -DN=16 -c ../../../src/libatomic/fand_n.c -o fand_16_.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fior_16_.lo -MD -MP -MF .deps/fior_16_.lo.Ppo -DN=16 -c ../../../src/libatomic/fior_n.c -o fior_16_.o >/dev/null 2>&1 /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fxor_16_.lo -MD -MP -MF .deps/fxor_16_.lo.Ppo -DN=16 -c -o fxor_16_.lo ../../../src/libatomic/fxor_n.c /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fnand_16_.lo -MD -MP -MF .deps/fnand_16_.lo.Ppo -DN=16 -c -o fnand_16_.lo ../../../src/libatomic/fnand_n.c libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fxor_16_.lo -MD -MP -MF .deps/fxor_16_.lo.Ppo -DN=16 -c ../../../src/libatomic/fxor_n.c -fPIC -DPIC -o .libs/fxor_16_.o /bin/bash ./libtool --tag=CC --mode=compile /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT tas_16_.lo -MD -MP -MF .deps/tas_16_.lo.Ppo -DN=16 -c -o tas_16_.lo ../../../src/libatomic/tas_n.c libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fnand_16_.lo -MD -MP -MF .deps/fnand_16_.lo.Ppo -DN=16 -c ../../../src/libatomic/fnand_n.c -fPIC -DPIC -o .libs/fnand_16_.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT tas_16_.lo -MD -MP -MF .deps/tas_16_.lo.Ppo -DN=16 -c ../../../src/libatomic/tas_n.c -fPIC -DPIC -o .libs/tas_16_.o libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fxor_16_.lo -MD -MP -MF .deps/fxor_16_.lo.Ppo -DN=16 -c ../../../src/libatomic/fxor_n.c -o fxor_16_.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT fnand_16_.lo -MD -MP -MF .deps/fnand_16_.lo.Ppo -DN=16 -c ../../../src/libatomic/fnand_n.c -o fnand_16_.o >/dev/null 2>&1 libtool: compile: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -DHAVE_CONFIG_H -I../../../src/libatomic/config/powerpc -I../../../src/libatomic/config/posix -I../../../src/libatomic -I. -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -MT tas_16_.lo -MD -MP -MF .deps/tas_16_.lo.Ppo -DN=16 -c ../../../src/libatomic/tas_n.c -o tas_16_.o >/dev/null 2>&1 /bin/bash ./libtool --tag=CC --mode=link /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -Wl,-O1 -o libatomic_convenience.la gload.lo gstore.lo gcas.lo gexch.lo glfree.lo lock.lo init.lo fenv.lo fence.lo flag.lo load_1_.lo store_1_.lo cas_1_.lo exch_1_.lo fadd_1_.lo fsub_1_.lo fand_1_.lo fior_1_.lo fxor_1_.lo fnand_1_.lo tas_1_.lo load_2_.lo store_2_.lo cas_2_.lo exch_2_.lo fadd_2_.lo fsub_2_.lo fand_2_.lo fior_2_.lo fxor_2_.lo fnand_2_.lo tas_2_.lo load_4_.lo store_4_.lo cas_4_.lo exch_4_.lo fadd_4_.lo fsub_4_.lo fand_4_.lo fior_4_.lo fxor_4_.lo fnand_4_.lo tas_4_.lo load_8_.lo store_8_.lo cas_8_.lo exch_8_.lo fadd_8_.lo fsub_8_.lo fand_8_.lo fior_8_.lo fxor_8_.lo fnand_8_.lo tas_8_.lo load_16_.lo store_16_.lo cas_16_.lo exch_16_.lo fadd_16_.lo fsub_16_.lo fand_16_.lo fior_16_.lo fxor_16_.lo fnand_16_.lo tas_16_.lo /bin/bash ./libtool --tag=CC --mode=link /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -Wall -Werror -pthread -O2 -g -O3 -fdebug-prefix-map=/<>/ghdl-0.37+dfsg=. -fstack-protector-strong -version-info 3:0:2 -Wl,--version-script,../../../src/libatomic/libatomic.map -o libatomic.la -rpath /usr/lib/ghdl/gcc/lib/../lib gload.lo gstore.lo gcas.lo gexch.lo glfree.lo lock.lo init.lo fenv.lo fence.lo flag.lo load_1_.lo store_1_.lo cas_1_.lo exch_1_.lo fadd_1_.lo fsub_1_.lo fand_1_.lo fior_1_.lo fxor_1_.lo fnand_1_.lo tas_1_.lo load_2_.lo store_2_.lo cas_2_.lo exch_2_.lo fadd_2_.lo fsub_2_.lo fand_2_.lo fior_2_.lo fxor_2_.lo fnand_2_.lo tas_2_.lo load_4_.lo store_4_.lo cas_4_.lo exch_4_.lo fadd_4_.lo fsub_4_.lo fand_4_.lo fior_4_.lo fxor_4_.lo fnand_4_.lo tas_4_.lo load_8_.lo store_8_.lo cas_8_.lo exch_8_.lo fadd_8_.lo fsub_8_.lo fand_8_.lo fior_8_.lo fxor_8_.lo fnand_8_.lo tas_8_.lo load_16_.lo store_16_.lo cas_16_.lo exch_16_.lo fadd_16_.lo fsub_16_.lo fand_16_.lo fior_16_.lo fxor_16_.lo fnand_16_.lo tas_16_.lo true DO=all multi-do # /usr/bin/make libtool: link: /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-unknown-linux-gnu/sys-include -isystem /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/sys-include -shared -fPIC -DPIC .libs/gload.o .libs/gstore.o .libs/gcas.o .libs/gexch.o .libs/glfree.o .libs/lock.o .libs/init.o .libs/fenv.o .libs/fence.o .libs/flag.o .libs/load_1_.o .libs/store_1_.o .libs/cas_1_.o .libs/exch_1_.o .libs/fadd_1_.o .libs/fsub_1_.o .libs/fand_1_.o .libs/fior_1_.o .libs/fxor_1_.o .libs/fnand_1_.o .libs/tas_1_.o .libs/load_2_.o .libs/store_2_.o .libs/cas_2_.o .libs/exch_2_.o .libs/fadd_2_.o .libs/fsub_2_.o .libs/fand_2_.o .libs/fior_2_.o .libs/fxor_2_.o .libs/fnand_2_.o .libs/tas_2_.o .libs/load_4_.o .libs/store_4_.o .libs/cas_4_.o .libs/exch_4_.o .libs/fadd_4_.o .libs/fsub_4_.o .libs/fand_4_.o .libs/fior_4_.o .libs/fxor_4_.o .libs/fnand_4_.o .libs/tas_4_.o .libs/load_8_.o .libs/store_8_.o .libs/cas_8_.o .libs/exch_8_.o .libs/fadd_8_.o .libs/fsub_8_.o .libs/fand_8_.o .libs/fior_8_.o .libs/fxor_8_.o .libs/fnand_8_.o .libs/tas_8_.o .libs/load_16_.o .libs/store_16_.o .libs/cas_16_.o .libs/exch_16_.o .libs/fadd_16_.o .libs/fsub_16_.o .libs/fand_16_.o .libs/fior_16_.o .libs/fxor_16_.o .libs/fnand_16_.o .libs/tas_16_.o -pthread -Wl,--version-script -Wl,../../../src/libatomic/libatomic.map -pthread -Wl,-soname -Wl,libatomic.so.1 -o .libs/libatomic.so.1.2.0 libtool: link: ar rc .libs/libatomic_convenience.a .libs/gload.o .libs/gstore.o .libs/gcas.o .libs/gexch.o .libs/glfree.o .libs/lock.o .libs/init.o .libs/fenv.o .libs/fence.o .libs/flag.o .libs/load_1_.o .libs/store_1_.o .libs/cas_1_.o .libs/exch_1_.o .libs/fadd_1_.o .libs/fsub_1_.o .libs/fand_1_.o .libs/fior_1_.o .libs/fxor_1_.o .libs/fnand_1_.o .libs/tas_1_.o .libs/load_2_.o .libs/store_2_.o .libs/cas_2_.o .libs/exch_2_.o .libs/fadd_2_.o .libs/fsub_2_.o .libs/fand_2_.o .libs/fior_2_.o .libs/fxor_2_.o .libs/fnand_2_.o .libs/tas_2_.o .libs/load_4_.o .libs/store_4_.o .libs/cas_4_.o .libs/exch_4_.o .libs/fadd_4_.o .libs/fsub_4_.o .libs/fand_4_.o .libs/fior_4_.o .libs/fxor_4_.o .libs/fnand_4_.o .libs/tas_4_.o .libs/load_8_.o .libs/store_8_.o .libs/cas_8_.o .libs/exch_8_.o .libs/fadd_8_.o .libs/fsub_8_.o .libs/fand_8_.o .libs/fior_8_.o .libs/fxor_8_.o .libs/fnand_8_.o .libs/tas_8_.o .libs/load_16_.o .libs/store_16_.o .libs/cas_16_.o .libs/exch_16_.o .libs/fadd_16_.o .libs/fsub_16_.o .libs/fand_16_.o .libs/fior_16_.o .libs/fxor_16_.o .libs/fnand_16_.o .libs/tas_16_.o libtool: link: ranlib .libs/libatomic_convenience.a libtool: link: ( cd ".libs" && rm -f "libatomic_convenience.la" && ln -s "../libatomic_convenience.la" "libatomic_convenience.la" ) libtool: link: (cd ".libs" && rm -f "libatomic.so.1" && ln -s "libatomic.so.1.2.0" "libatomic.so.1") libtool: link: (cd ".libs" && rm -f "libatomic.so" && ln -s "libatomic.so.1.2.0" "libatomic.so") libtool: link: ar rc .libs/libatomic.a gload.o gstore.o gcas.o gexch.o glfree.o lock.o init.o fenv.o fence.o flag.o load_1_.o store_1_.o cas_1_.o exch_1_.o fadd_1_.o fsub_1_.o fand_1_.o fior_1_.o fxor_1_.o fnand_1_.o tas_1_.o load_2_.o store_2_.o cas_2_.o exch_2_.o fadd_2_.o fsub_2_.o fand_2_.o fior_2_.o fxor_2_.o fnand_2_.o tas_2_.o load_4_.o store_4_.o cas_4_.o exch_4_.o fadd_4_.o fsub_4_.o fand_4_.o fior_4_.o fxor_4_.o fnand_4_.o tas_4_.o load_8_.o store_8_.o cas_8_.o exch_8_.o fadd_8_.o fsub_8_.o fand_8_.o fior_8_.o fxor_8_.o fnand_8_.o tas_8_.o load_16_.o store_16_.o cas_16_.o exch_16_.o fadd_16_.o fsub_16_.o fand_16_.o fior_16_.o fxor_16_.o fnand_16_.o tas_16_.o libtool: link: ranlib .libs/libatomic.a libtool: link: ( cd ".libs" && rm -f "libatomic.la" && ln -s "../libatomic.la" "libatomic.la" ) make[6]: Leaving directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/powerpc64le-unknown-linux-gnu/libatomic' make[5]: Leaving directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/powerpc64le-unknown-linux-gnu/libatomic' make[4]: Leaving directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/powerpc64le-unknown-linux-gnu/libatomic' make[3]: Leaving directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild' make[2]: Leaving directory '/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild' make[2]: Entering directory '/<>/ghdl-0.37+dfsg/builddir/gcc' gnatgcc -c -g -fPIC -o jumps.o /<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/config/jumps.c gnatgcc -c -g -fPIC -o times.o /<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/config/times.c gnatgcc -c -g -fPIC -o grt-cstdio.o /<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt-cstdio.c gnatgcc -c -g -fPIC -o grt-cgnatrts.o /<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt-cgnatrts.c gnatgcc -c -g -fPIC -o grt-cvpi.o /<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt-cvpi.c gnatgcc -c -g -fPIC -o grt-cdynload.o /<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt-cdynload.c gnatgcc -c -g -fPIC -o fstapi.o /<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/fst/fstapi.c -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/fst gnatgcc -c -g -fPIC -o lz4.o /<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/fst/lz4.c gnatgcc -c -g -fPIC -o fastlz.o /<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/fst/fastlz.c mkdir grt echo "with Grt.Backtraces.Gcc;" > grt-backtraces-impl.ads echo "package Grt.Backtraces.Impl renames Grt.Backtraces.Gcc;" >> grt-backtraces-impl.ads cd grt; MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -c -aI/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -aI.. \ -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY ghdl_main -cargs -g -fPIC powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/ghdl_main.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-errors.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-main.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-options.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-rtis_binding.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-std_logic_1164.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-types.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-astdio.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-astdio-vhdl.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-hooks.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-stdio.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-change_generics.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-disp.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-disp_signals.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-files.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-images.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-lib.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-modules.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-names.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-processes.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-shadow_ieee.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-signals.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-stats.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-values.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-strings.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-wave_opt.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-wave_opt-file.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-rtis.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-c.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-callbacks.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-avhpi.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-avhpi_utils.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-rtis_addr.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-rtis_utils.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-vstrings.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-vstrings_io.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-errors_exec.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-table.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-to_strings.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-fcvt.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-backtraces.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-disp_rti.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-disp_tree.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-fst.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-psl.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-vcd.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-vcdz.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-vital_annotate.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-vpi.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-waves.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-threads.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-stack2.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/builddir/gcc/grt-backtraces-impl.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-fst_api.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-rtis_types.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-wave_opt-design.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-zlib.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-sdf.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-avls.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-ghw.ads powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-unithread.adb powerpc64le-linux-gnu-gcc-9 -c -I./ -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /<>/ghdl-0.37+dfsg/src/grt/grt-backtraces-gcc.adb sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali cd grt; gnatmake -b ghdl_main.ali -bargs -Lgrt_ -o run-bind.adb -n powerpc64le-linux-gnu-gnatbind-9 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali cd grt; MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -u -c -g -fPIC -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb powerpc64le-linux-gnu-gcc-9 -c -g -fPIC -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY run-bind.adb cd grt; MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -u -c -g -fPIC -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -o main.o /<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/main.adb -Igrt powerpc64le-linux-gnu-gcc-9 -c -I/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/ -g -fPIC -gnatec/<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -Igrt -I- /<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/main.adb sed -e "1,/-- *BEGIN/d" -e "/-- *END/,\$d" \ -e "s/ -- //" < grt/run-bind.adb | tr -d '\r' > grt/grt-files rm -f -f lib/ghdl/gcc/libgrt.a ar rcv lib/ghdl/gcc/libgrt.a `sed -e "/^-/d" -e "s!^!grt/!" < grt/grt-files` \ jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-cvpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o grt/run-bind.o grt/main.o a - grt/./grt.o a - grt/./grt-c.o a - grt/./grt-fcvt.o a - grt/./grt-stdio.o a - grt/./grt-strings.o a - grt/./grt-types.o a - grt/./grt-astdio.o a - grt/./grt-callbacks.o a - grt/./grt-hooks.o a - grt/./grt-astdio-vhdl.o a - grt/./grt-errors.o a - grt/./grt-wave_opt.o a - grt/./grt-wave_opt-file.o a - grt/./grt-options.o a - grt/./grt-rtis.o a - grt/./grt-rtis_binding.o a - grt/./grt-shadow_ieee.o a - grt/./grt-vstrings.o a - grt/./grt-wave_opt-design.o a - grt/./grt-avls.o a - grt/./grt-fst_api.o a - grt/./grt-ghw.o a - grt/./grt-rtis_addr.o a - grt/./grt-stack2.o a - grt/./grt-table.o a - grt/./grt-to_strings.o a - grt/./grt-vstrings_io.o a - grt/./grt-rtis_utils.o a - grt/./grt-avhpi.o a - grt/./grt-avhpi_utils.o a - grt/./grt-psl.o a - grt/./grt-unithread.o a - grt/./grt-threads.o a - grt/./grt-backtraces-gcc.o a - grt/./grt-backtraces-impl.o a - grt/./grt-backtraces.o a - grt/./grt-disp.o a - grt/./grt-signals.o a - grt/./grt-errors_exec.o a - grt/./grt-stats.o a - grt/./grt-processes.o a - grt/./grt-disp_signals.o a - grt/./grt-disp_rti.o a - grt/./grt-disp_tree.o a - grt/./grt-files.o a - grt/./grt-images.o a - grt/./grt-lib.o a - grt/./grt-names.o a - grt/./grt-rtis_types.o a - grt/./grt-vital_annotate.o a - grt/./grt-sdf.o a - grt/./grt-std_logic_1164.o a - grt/./grt-values.o a - grt/./grt-change_generics.o a - grt/./grt-vcd.o a - grt/./grt-fst.o a - grt/./grt-vpi.o a - grt/./grt-waves.o a - grt/./grt-zlib.o a - grt/./grt-vcdz.o a - grt/./grt-modules.o a - grt/./grt-main.o a - grt/./ghdl_main.o a - jumps.o a - times.o a - grt-cstdio.o a - grt-cgnatrts.o a - grt-cvpi.o a - grt-cdynload.o a - fstapi.o a - lz4.o a - fastlz.o a - grt/run-bind.o a - grt/main.o ranlib lib/ghdl/gcc/libgrt.a gnatgcc -c -g -o vpi_thunk.o /<>/ghdl-0.37+dfsg/builddir/gcc/../../src/grt/vpi_thunk.c -fPIC -O -Wall gnatgcc -g -o libghdlvpi.so vpi_thunk.o -shared -Wl,-Bsymbolic-functions -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdlvpi.so make[2]: Leaving directory '/<>/ghdl-0.37+dfsg/builddir/gcc' make[2]: Entering directory '/<>/ghdl-0.37+dfsg/builddir/gcc' make -f ../../libraries/Makefile.inc LIBSRC_DIR="../../libraries" LIBDST_DIR="lib/ghdl/gcc" enable_openieee="true" LN="ln -s" CP="cp" MKDIR="mkdir" GHDL="/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/ghdl" GHDL_FLAGS="--GHDL1=/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 " vhdl.libs.all libs.vhdl.standard make[3]: Entering directory '/<>/ghdl-0.37+dfsg/builddir/gcc' sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/gcc/src/std/v87/textio.vhdl sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/gcc/src/std/v87/textio-body.vhdl rm -f -f lib/ghdl/gcc/std/v87/std-obj87.cf cd lib/ghdl/gcc/std/v87; \ for i in std/v87/textio.vhdl std/v87/textio-body.vhdl; do \ cmd="/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=87 --bootstrap --work=std ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=87 --bootstrap --work=std ../../src/std/v87/textio.vhdl during RTL pass: final /<>/ghdl-0.37+dfsg/builddir/gcc/lib/ghdl/gcc/std/v87/../../src/std/v87/textio.vhdl: In function ‘std__textio__ELAB_SPEC’: /<>/ghdl-0.37+dfsg/builddir/gcc/lib/ghdl/gcc/std/v87/../../src/std/v87/textio.vhdl:1: internal compiler error: in rs6000_output_function_epilogue, at config/rs6000/rs6000.c:29275 1 | -- Std.Textio package declaration. This file is part of GHDL. | 0x10dea66f rs6000_output_function_epilogue ../../src/gcc/config/rs6000/rs6000.c:29275 0x105d3c4b final_end_function() ../../src/gcc/final.c:1887 0x105daa03 rest_of_handle_final ../../src/gcc/final.c:4667 0x105daa03 execute ../../src/gcc/final.c:4737 Please submit a full bug report, with preprocessed source if appropriate. Please include the complete backtrace with any bug report. See for instructions. /<>/ghdl-0.37+dfsg/builddir/gcc/gccbuild/gcc/ghdl: exec error make[3]: *** [../../libraries/Makefile.inc:162: lib/ghdl/gcc/std/v87/std-obj87.cf] Error 1 make[3]: Leaving directory '/<>/ghdl-0.37+dfsg/builddir/gcc' make[2]: *** [Makefile:276: libs.vhdl.gcc] Error 2 make[2]: Leaving directory '/<>/ghdl-0.37+dfsg/builddir/gcc' make[1]: *** [debian/rules:118: override_dh_auto_build] Error 2 make[1]: Leaving directory '/<>/ghdl-0.37+dfsg' make: *** [debian/rules:48: binary-arch] Error 2 dpkg-buildpackage: error: debian/rules binary-arch subprocess returned exit status 2 -------------------------------------------------------------------------------- Build finished at 20200413-1655 Finished -------- E: Build failure (dpkg-buildpackage died) +------------------------------------------------------------------------------+ | Cleanup | +------------------------------------------------------------------------------+ Purging /<> Not removing build depends: as requested +------------------------------------------------------------------------------+ | Summary | +------------------------------------------------------------------------------+ Build Architecture: ppc64el Build-Space: 2431316 Build-Time: 724 Distribution: focal-proposed Fail-Stage: build Host Architecture: ppc64el Install-Time: 34 Job: ghdl_0.37+dfsg-1ubuntu1.dsc Machine Architecture: ppc64el Package: ghdl Package-Time: 759 Source-Version: 0.37+dfsg-1ubuntu1 Space: 2431316 Status: attempted Version: 0.37+dfsg-1ubuntu1 -------------------------------------------------------------------------------- Finished at 20200413-1655 Build needed 00:12:39, 2431316k disc space RUN: /usr/share/launchpad-buildd/bin/in-target scan-for-processes --backend=chroot --series=focal --arch=ppc64el PACKAGEBUILD-19139109 Scanning for processes to kill in build PACKAGEBUILD-19139109